WorldWideScience

Sample records for er implanted si

  1. Lattice sites and stability of implanted Er in FZ and CZ Si

    CERN Document Server

    Wahl, U; Langouche, G; Vantomme, A

    1998-01-01

    We report on the lattice location of $^{167}$Er in Si measured by conversion electron emission channeling. In both FZ and CZ Si, a high fraction of Er (>65%) occupies near-tetrahedral interstitial (T) sites directly following 60 keV room temperature implantation at doses of 6 $\\times 10^{12}$ cm$^{-2}$. For higher doses, the as-implanted near-T fractions of Er visible by emission channeling are smaller, due to the beginning of amorphization. Following the recovery of implantation damage at 600°C, more than 70% of Er is found on near-T sites in both FZ and CZ Si. In FZ Si, Er exhibits a remarkable thermal stability and only prolonged annealing for several hours reduces the near-T fraction. On the other hand, annealing of CZ Si at 900°C for more than 10 minutes results in the majority of Er probes in sites of very low symmetry or disordered surroundings.

  2. Study of thermal treated a-Si implanted with Er and O ions

    CERN Document Server

    Plugaru, R; Piqueras, J; Tate, T J

    2002-01-01

    Visible luminescence of amorphous silicon layers either implanted with Er or co-implanted with Er and O and subsequently annealed in nitrogen has been investigated by cathodoluminescence (CL) in a scanning electron microscope. Co-implanted samples show a more intense luminescence, which is revealed by annealing at lower temperatures than the samples implanted only with erbium. Thermal treatments cause the formation of erbium oxide as well as Er-Si complexes or precipitates. Violet-blue luminescence has been found from CL images and spectra to be related to Er-Si precipitates. Emission in the green-red range is attributed to oxide species.

  3. Site of Er ions in silica layers codoped with Si nanoclusters and Er

    International Nuclear Information System (INIS)

    Pellegrino, P.; Garrido, B.; Arbiol, J.; Garcia, C.; Lebour, Y.; Morante, J.R.

    2006-01-01

    Silica layers implanted with Si and Er ions to various doses and annealed at 950 deg. C have been investigated by means of energy-filtered transmission electron microscopy (EFTEM) and high annular angle dark field (HAADF). EFTEM analysis reveals Si nanoclusters (Si-nc) with an average size around 3 nm for high Si content (15 at. %) whereas no clusters can be imaged for the lowest Si excess (5 at. %). Raman scattering supports that amorphous Si precipitates are present in all the samples. Moreover, the filtered images show that Er ions appear preferentially located outside the Si-nc. HAADF analysis confirms that the Er atoms form agglomerations of 5-10 nm size when the Er concentration exceeds 1x10 20 cm -3 . This observation correlates well with the reduction of the Er population excitable by Si nanoclusters, in the best case corresponding to 10% of the total. A suitable tuning of the annealing drastically reduces this deleterious effect

  4. Optical characterization of Er-implanted ZnO films formed by sol-gel method

    International Nuclear Information System (INIS)

    Fukudome, T.; Kaminaka, A.; Isshiki, H.; Saito, R.; Yugo, S.; Kimura, T.

    2003-01-01

    In this paper, we report on the 1.54 μm photoluminescence (PL) of Er-implanted ZnO thin films formed by a sol-gel method on Si substrates. In spite of the polycrystalline structure of the sol-gel ZnO thin films, they showed strong PL emissions due to the near band edge recombination at 375 nm as well as the Er-related luminescence at 1.54 μm. The Er-related luminescence showed no decrease (quench) in the intensity up to the Er concentration of 1.5 x 10 21 cm -3 . The PL intensity of Er-implanted ZnO at 1.54 μm was found to be as strong as Er-doped PS (porous Si) at 20 K, and the intensity reduced to 1/3 at room temperature

  5. Photoluminescence of Er-doped Si-SiO2 and Al-Si-SiO2 sputtered thin films

    International Nuclear Information System (INIS)

    Rozo, C.; Fonseca, L.F.; Jaque, D.; Sole, J.Garcia

    2008-01-01

    Er-doped Si-SiO 2 and Al-Si-SiO 2 films have been deposited by rf-sputtering being annealed afterwards. Annealing behavior of the Er 3+ : 4 I 13/2 → 4 I 15/2 emission of Er-doped Si-SiO 2 yields a maximum intensity for annealing at 700-800 deg. C. 4 I 13/2 → 4 I 15/2 peak emission for Er-doped Al-Si-SiO 2 at 1525 nm is shifted from that for Er-doped Si-SiO 2 at 1530 nm and the bandwidth increases from 29 to 42 nm. 4 I 13/2 → 4 I 15/2 emission decays present a fast decaying component related to Er ions coupled to Si nanoparticles, defects, or other ions, and a slow decaying component related to isolated Er ions. Excitation wavelength dependence and excitation power dependence for the 4 I 13/2 → 4 I 15/2 emission correspond with energy transfer from Si nanoparticles. Populating of the 4 I 11/2 level in Er-doped Si-SiO 2 involves branching and energy transfer upconversion involving two or more Er ions. Addition of Al reduces the populating of this level to an energy transfer upconversion involving two ions

  6. Effects of material growth technique and Mg doping on Er3+ photoluminescence in Er-implanted GaN

    International Nuclear Information System (INIS)

    Kim, S.; Henry, R. L.; Wickenden, A. E.; Koleske, D. D.; Rhee, S. J.; White, J. O.; Myoung, J. M.; Kim, K.; Li, X.; Coleman, J. J.

    2001-01-01

    Photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies have been carried out at 6 K on the ∼1540 nm 4 I 13/2 - 4 I 15/2 emissions of Er 3+ in Er-implanted and annealed GaN. These studies revealed the existence of multiple Er 3+ centers and associated PL spectra in Er-implanted GaN films grown by metalorganic chemical vapor deposition, hydride vapor phase epitaxy, and molecular beam epitaxy. The results demonstrate that the multiple Er 3+ PL centers and below-gap defect-related absorption bands by which they are selectively excited are universal features of Er-implanted GaN grown by different techniques. It is suggested that implantation-induced defects common to all the GaN samples are responsible for the Er site distortions that give rise to the distinctive, selectively excited Er 3+ PL spectra. The investigations of selectively excited Er 3+ PL and PLE spectra have also been extended to Er-implanted samples of Mg-doped GaN grown by various techniques. In each of these samples, the so-called violet-pumped Er 3+ PL band and its associated broad violet PLE band are significantly enhanced relative to the PL and PLE of the other selectively excited Er 3+ PL centers. More importantly, the violet-pumped Er 3+ PL spectrum dominates the above-gap excited Er 3+ PL spectrum of Er-implanted Mg-doped GaN, whereas it was unobservable under above-gap excitation in Er-implanted undoped GaN. These results confirm the hypothesis that appropriate codopants can increase the efficiency of trap-mediated above-gap excitation of Er 3+ emission in Er-implanted GaN. [copyright] 2001 American Institute of Physics

  7. Effect of radiation damage on luminescence of erbium-implanted SiO sub 2 /Si studied by slow positron beam

    CERN Document Server

    Kawasuso, A; Hirata, K; Sekiguchi, T; Kobayashi, Y; Okada, S

    2000-01-01

    The effect of damage on 1.54 mu m luminescence for 30 keV-Er-implanted SiO sub 2 films has been studied by positron annihilation and cathodoluminescence. It was found that S-parameter in the films decreased after implantation, indicating the suppression of positronium formation. The luminescence appeared with the recovery of the S-parameter after 600 deg. C annealing. The intensity reached a maximum at 900 deg. C annealing whereas the S-parameter did not change significantly. It seems that most damages recover at 600 deg. C and thereafter Er ions transform to an optically active state at 900 deg. C.

  8. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  9. Visible and infrared photoluminescence from Er-doped SiOx

    International Nuclear Information System (INIS)

    Wan, J.; Sheng, C.; Lu, F.; Yuan, S.; Gong, D.W.; Liao, L.S.; Fang, Y.L.; Lin, F.; Wang, X.

    1998-01-01

    The annealing behaviors of photoluminescence of SiO x and Er-doped SiO x grown by molecular beam epitaxy in the wavelength range of visible and infrared light are studied. For SiO x , four PL bands located at 510, 600, 716 and 810 nm, respectively, are observed. For Er-doped SiO x , the 716 nm band, which is believed to be originated from the electron-hole recombination at the interface between crystalline Si and amorphous SiO 2 , disappears in the annealing temperature range of 500-900C. It is suggested the enhancement of Er luminescence is partially due to the energy transfer from the recombination at the interface between crystalline Si and SiO 2 to Er ions. (Copyright (c) 1998 Elsevier Science B.V., Amsterdam. All rights reserved.)

  10. Effect of fluence on the lattice site of implanted Er and implantation induced strain in GaN

    CERN Document Server

    Wahl, U; Decoster, S; Vantomme, A; Correi, J G

    2009-01-01

    A GaN thin film was implanted with 5 × 1014 cm−2 of 60 keV stable 166Er, followed by the implantation of 2 × 1013 cm−2 radioactive 167Tm (t1/2 = 9.3 d) and an annealing sequence up to 900 °C. The emission channeling (EC) technique was applied to assess the lattice location of Er following the Tm decay from the conversion electrons emitted by 167mEr, which showed that more than 50% of 167mEr occupies substitutional Ga sites. The results are briefly compared to a 167mEr lattice location experiment in a GaN sample not pre-implanted with 166Er. In addition, high-resolution X-ray diffraction (HRXRD) was used to characterize the perpendicular strain in the high-fluence implanted film. The HRXRD experiments showed that the Er implantation resulted in an increase of the c-axis lattice constant of the GaN film around 0.5–0.7%. The presence of significant disorder within the implanted region was corroborated by the fact that the EC patterns for off-normal directions exhibit a pronounced angular broadening of t...

  11. Anomalous defect processes in Si implanted amorphous SiO2, II

    International Nuclear Information System (INIS)

    Fujita, Tetsuo; Fukui, Minoru; Okada, Syunji; Shimizu-Iwayama, Tsutomu; Hioki, Tatsumi; Itoh, Noriaki

    1994-01-01

    Aanomalous features of the defects in Si implanted amorphous SiO 2 are reported. The numbers of E 1 prime centers and B 2 centers are found to increase monotonically with implanted Si dose, in contrast to the saturating feature of these numbers in Ar implanted samples. Moreover, when H ions are implanted in amorphous SiO 2 predamaged by Si implantation, both of the density and the number of E 1 prime centers increase and they reach a constant value at a small H dose. We point out that these anomalies can be explained in terms of the difference in the cross-section for defect annihilation in the specimens implanted with Si ions and other ions, in accordance with the homogeneous model proposed by Devine and Golanski. We consider that the main mechanism of defect annihilation is the recombination of an E 1 prime center and an interstitial O, which is stabilized by an implanted Si, reducing the cross-section in Si-implanted specimens. ((orig.))

  12. Growth process and structure of Er/Si(100) thin film

    International Nuclear Information System (INIS)

    Fujii, S.; Michishita, Y.; Miyamae, N.; Suto, H.; Honda, S.; Okado, H.; Oura, K.; Katayama, M.

    2006-01-01

    The solid-phase reactive epitaxial growth processes and structures of Er/Si(100) thin films were investigated by coaxial impact-collision ion scattering spectroscopy (CAICISS), scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The as-deposited Er film grown at room temperature was transformed into crystalline rectangular-shaped islands after annealing at 900 deg. C. These islands have a hexagonal AlB 2 -type structure and the epitaxial relationship is determined to be ErSi 2 (011-bar0)[0001]//Si(100)[011-bar]. It has been revealed that the surface of the Er silicide island is terminated with an Er plane

  13. Excitation mechanism of Er{sup 3+} in a-Si:H; Anregungsmechanismus von Er{sup 3+} in a-Si:H

    Energy Technology Data Exchange (ETDEWEB)

    Kuehne, H.

    2004-07-01

    The aim of this work is the examination of the optoelectronical material a-Si:H (Er). It is characterised in the good electronic properties of the a-Si:H and the emission wavelength of 1.5 micrometer of erbium which coincides with the absorbtion minimum of glasfibres. Photoluminescence measurements confirm the assumption that oxigen is necessary for the optical activation of Er{sup 3+} in addition to the symmetrical breaking of the crystal field. The flexible lattice of a-Si:H enables a high concentration of Erbium up to 5.10{sup 21}/cm{sup 3} with a quantum efficiency of the luminescence of 0.5-1.5.10{sup -4} at room temperature. Photoluminescence excitation and absorption measurements of a-Si:H (Er) show, that there is no direct excitation of the erbium ions because the absorption of the Er{sup 3+} ions is two orders of magnitude below the absorption of silicon. The excitation or the Er{sup 3+} ions takes place through the absorption in silicon with additional energy transfer to Erbium. Photoluminescence measurements are done in order to differentiate between the possible excitation channels, the intrinsic bond-bond channel and the excitation through defects. The different temperature dependence of the intensity of the intrinsic luminescence (77 K - 300 K >3 orders of magnitude) in comparison with the defect luminescence and the Erbium luminescence (both 1-1.5 orders of magnitude) shows that the energy transfer takes place over defects. Luminescence and absorption measurements with boron doped a-Si:H (Er) show no dependence of the Erbium luminescence in dependence of defect density or the electrical charge of the defects. The luminescence spectra show a break in the defect luminescence at 0.84 eV. This agrees with the first excited state of the Er{sup 3+} ion combined with a clearly smaller line width of the defect luminescence (0.18 eV in comparision with >0.3 eV in erbium free a-Si:H). This result shows the resonance of the energy transfer. The resonance is

  14. Determination of optimum Si excess concentration in Er-doped Si-rich SiO2 for optical amplification at 1.54 μm

    International Nuclear Information System (INIS)

    Savchyn, Oleksandr; Coffey, Kevin R.; Kik, Pieter G.

    2010-01-01

    The presence of indirect Er 3+ excitation in Si-rich SiO 2 is demonstrated for Si-excess concentrations in the range of 2.5-37 at. %. The Si excess concentration providing the highest density of sensitized Er 3+ ions is demonstrated to be relatively insensitive to the presence of Si nanocrystals and is found to be ∼14.5 at. % for samples without Si nanocrystals (annealed at 600 deg. C) and ∼11.5 at. % for samples with Si nanocrystals (annealed at 1100 deg. C). The observed optimum is attributed to an increase in the density of Si-related sensitizers as the Si concentration is increased, with subsequent deactivation and removal of these sensitizers at high Si concentrations. The optimized Si excess concentration is predicted to generate maximum Er-related gain at 1.54 μm in devices based on Er-doped Si-rich SiO 2 .

  15. Implant Surface Temperature Changes during Er:YAG Laser Irradiation with Different Cooling Systems.

    Directory of Open Access Journals (Sweden)

    Abbas Monzavi

    2014-04-01

    Full Text Available Peri-implantitis is one of the most common reasons for implant failure. Decontamination of infected implant surfaces can be achieved effectively by laser irradiation; although the associated thermal rise may cause irreversible bone damage and lead to implant loss. Temperature increments of over 10ºC during laser application may suffice for irreversible bone damage.The purpose of this study was to evaluate the temperature increment of implant surface during Er:YAG laser irradiation with different cooling systems.Three implants were placed in a resected block of sheep mandible and irradiated with Er:YAG laser with 3 different cooling systems namely water and air spray, air spray alone and no water or air spray. Temperature changes of the implant surface were monitored during laser irradiation with a K-type thermocouple at the apical area of the fixture.In all 3 groups, the maximum temperature rise was lower than 10°C. Temperature changes were significantly different with different cooling systems used (P<0.001.Based on the results, no thermal damage was observed during implant surface decontamination by Er:YAG laser with and without refrigeration. Thus, Er:YAG laser irradiation can be a safe method for treatment of periimplantitis.

  16. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  17. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  18. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  19. Self-assembled infrared-luminescent Er-Si-O crystallites on silicon

    International Nuclear Information System (INIS)

    Isshiki, H.; Dood, M.J.A. de; Polman, A.; Kimura, T.

    2004-01-01

    Optically active and electrically excitable erbium complexes on silicon are made by wet-chemical synthesis. The single-crystalline Er-Si-O compound is formed by coating a Si(100) substrate with an ErCl 3 /ethanol solution, followed by rapid thermal oxidation and annealing. Room-temperature Er-related 1.53 μm photoluminescence is observed with a peak linewidth as small as 4 meV. The complexes can be excited directly into the Er intra-4f states, or indirectly, through photocarriers. Er concentrations as high as 14 at. % are achieved, incorporated in a crystalline lattice with a 0.9 nm periodicity. Thermal quenching at room temperature is only a factor 5, and the lifetime at 1.535 μm is 200 μs

  20. Magnetic ordering of YPd{sub 2}Si-type HoNi{sub 2}Si and ErNi{sub 2}Si compounds

    Energy Technology Data Exchange (ETDEWEB)

    Morozkin, A.V., E-mail: morozkin@tech.chem.msu.ru [Department of Chemistry, Moscow State University, Leninskie Gory, House 1, Building 3, GSP-2, Moscow, 119992 (Russian Federation); Isnard, O. [CNRS, Insitut. Néel, 25 Rue Des Martyrs BP166 x, F-38042 Grenoble (France); Université Grenoble Alpes, Inst. Néel, F-38042 Grenoble (France); Nirmala, R. [Indian Institute of Technology Madras, Chennai 600 036 (India); Quezado, S.; Malik, S.K. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Natal 59082-970 (Brazil)

    2016-12-01

    Magnetic properties of YPd{sub 2}Si-type HoNi{sub 2}Si and ErNi{sub 2}Si were investigated via neutron diffraction and magnetisation measurements. HoNi{sub 2}Si and ErNi{sub 2}Si show ferromagnetic-like ordering at T{sub C} of 9 K and 7 K, respectively. The paramagnetic Weiss temperatures are 9 K and 11 K and the effective magnetic moments are 10.76 μ{sub B}/fu and 9.79 μ{sub B}/fu for HoNi{sub 2}Si and ErNi{sub 2}Si compounds, respectively. The HoNi{sub 2}Si and ErNi{sub 2}Si are soft ferromagnets with saturation magnetization of 8.1 μ{sub B}/fu and 7.5 μ{sub B}/fu, respectively at 2 K and in field of 140 kOe. The isothermal magnetic entropy change, ΔS{sub m}, has a maximum value of −15.6 J/kg·K at 10 K for HoNi{sub 2}Si and −13.9 J/kg·K at 6 K for ErNi{sub 2}Si for a field change of 50 kOe. Neutron diffraction study in zero applied field shows mixed ferromagnetic-antiferromagnetic ordering of HoNi{sub 2}Si at ~9 K and its magnetic structure is a sum of a-axis ferromagnetic F{sub a}, b-axis antiferromagnetic AF{sub b} and c-axis antiferrromagnetic AF{sub c} components of Pn′a2{sub 1}′={1, m_x′/[1/2, 1/2, 1/2], 2_y′/[0, 1/2, 0], m_z/[1/2, 0, 1/2]} magnetic space group and propagation vector K{sub 0}=[0, 0, 0]. The holmium magnetic moment reaches a value of 9.23(9) μ{sub B} at 1.5 K and the unit cell of HoNi{sub 2}Si undergoes isotropic contraction around the temperature of magnetic transition. - Graphical abstract: HoNi{sub 2}Si: mixed ferro-antiferromagnet (F{sub a}+AF{sub b}+AF{sub c}){sup K0} with Pn′a2{sub 1}′ magnetic space group and K{sub 0}=[0, 0, 0] propagation vector below 10 K. - Highlights: • Ferro-antiferromagnetic ordering is observed in HoNi{sub 2}Si at 9 K and in ErNi{sub 2}Si at 7 K. • HoNi{sub 2}Si is soft ferromagnet with ΔS{sub m} of −15.6 J/kg·K at 10 K in field of 0–50 kOe. • ErNi{sub 2}Si is soft ferromagnet with ΔS{sub m} of −13.9 J/kg·K at 6 K in field of 0–50 kOe. • HoNi{sub 2}Si shows mixed F

  1. Electroluminescence of erbium in Al/α-Si:H(Er)/p-c-Si/Al structure

    International Nuclear Information System (INIS)

    Kon'kov, I.O.; Kuznetsov, A.N.; Pak, P.E.; Terukov, E.I.; Granitsyna, L.S.

    2001-01-01

    It is informed for the first time on the observation of the erbium intensive electroluminescence from the amorphous hydrated silicon layer by application of the Al/α-Si:H(Er)/p-c-Si/Al structure in the direct shift mode. The above structure is the n-p-heterostructure with the barrier values of 0.3-0.4 eV for the electrons and 0.9-1.1 eV for the holes. The electroluminescence efficiency is evaluated at the level ∼ 2 x 10 -5 . The electroluminescence effect in the Al/α-Si:H(Er)/p-c-Si/Al structure is connected with the hole tunneling from the crystal silicon by the amorphous silicon localized states with the subsequent release into the valent zone [ru

  2. Structural and magnetic properties of Mn-implanted Si

    International Nuclear Information System (INIS)

    Zhou Shengqiang; Potzger, K.; Zhang Gufei; Muecklich, A.; Eichhorn, F.; Schell, N.; Groetzschel, R.; Schmidt, B.; Skorupa, W.; Helm, M.; Fassbender, J.; Geiger, D.

    2007-01-01

    Structural and magnetic properties in Mn-implanted, p-type Si were investigated. High resolution structural analysis techniques such as synchrotron x-ray diffraction revealed the formation of MnSi 1.7 nanoparticles already in the as-implanted samples. Depending on the Mn fluence, the size increases from 5 nm to 20 nm upon rapid thermal annealing. No significant evidence is found for Mn substituting Si sites either in the as-implanted or annealed samples. The observed ferromagnetism yields a saturation moment of 0.21μ B per implanted Mn at 10 K, which could be assigned to MnSi 1.7 nanoparticles as revealed by a temperature-dependent magnetization measurement

  3. Improving Passivation Process of Si Nanocrystals Embedded in SiO2 Using Metal Ion Implantation

    Directory of Open Access Journals (Sweden)

    Jhovani Bornacelli

    2013-01-01

    Full Text Available We studied the photoluminescence (PL of Si nanocrystals (Si-NCs embedded in SiO2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm inside the SiO2 achieving a robust and better protected system. After metal ion implantation (Ag or Au, and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H2/N2 and Ar to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  4. Electronic states at Si-SiO2 interface introduced by implantation of Si in thermal SiO2

    International Nuclear Information System (INIS)

    Kalnitsky, A.; Poindexter, E.H.; Caplan, P.J.

    1990-01-01

    Interface traps due to excess Si introduced into the Si-SiO 2 system by ion implantation are investigated. Implanted oxides are shown to have interface traps at or slightly above the Si conduction band edge with densities proportional to the density of off-stoichiometric Si at the Si-SiO 2 interface. Diluted oxygen annealing is shown to result in physical separation of interface traps and equilibrium substrate electrons, demonstrating that ''interface'' states are located within a 0.5 nm thick layer of SiO 2 . Possible charge trapping mechanisms are discussed and the effect of these traps on MOS transistor characteristics is described using a sheet charge model. (author)

  5. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  6. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  7. Improving Passivation Process of Si Nano crystals Embedded in SiO2 Using Metal Ion Implantation

    International Nuclear Information System (INIS)

    Bornacelli, J.; Esqueda, J.A.R.; Fernandez, L.R.; Oliver, A.

    2013-01-01

    We studied the photoluminescence (PL) of Si nano crystals (Si-NCs) embedded in SiO 2 obtained by ion implantation at MeV energy. The Si-NCs are formed at high depth (1-2 μm) inside the SiO 2 achieving a robust and better protected system. After metal ion implantation (Ag or Au), and a subsequent thermal annealing at 600°C under hydrogen-containing atmosphere, the PL signal exhibits a noticeable increase. The ion metal implantation was done at energies such that its distribution inside the silica does not overlap with the previously implanted Si ion . Under proper annealing Ag or Au nanoparticles (NPs) could be nucleated, and the PL signal from Si-NCs could increase due to plasmonic interactions. However, the ion-metal-implantation-induced damage can enhance the amount of hydrogen, or nitrogen, that diffuses into the SiO 2 matrix. As a result, the surface defects on Si-NCs can be better passivated, and consequently, the PL of the system is intensified. We have selected different atmospheres (air, H 2 /N 2 and Ar) to study the relevance of these annealing gases on the final PL from Si-NCs after metal ion implantation. Studies of PL and time-resolved PL indicate that passivation process of surface defects on Si-NCs is more effective when it is assisted by ion metal implantation.

  8. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, Andrey; Tichelaar, F.D.; Verhoeven, J.; Louis, Eric; Bijkerk, Frederik

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10–20 nm were produced by sequential deposition of Si and implantation of 1 keV View the MathML source ions. Only about 3% of the implanted carbon was transferred into the SiC, with a thin, 0.5–1 nm, buried SiC layer being

  9. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  10. Implantation of P ions in SiO2 layers with embedded Si nanocrystals

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I.

    2004-01-01

    The effect of 10 13 -10 16 cm -2 P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO 2 layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10 14 cm -2 , thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs

  11. Er sensitization by a thin Si layer: Interaction-distance dependence

    DEFF Research Database (Denmark)

    Julsgaard, Brian; Lu, Ying-Wei; Jensen, Rasmus Vincentz Skougaard

    2011-01-01

    From photoluminescence measurements on sensitized erbium in a-Si/SiO2:Er/SiO2 multilayers, we determine the characteristic interaction length of the sensitization process from the silicon-layer sensitizer to the erbium-ion receiver to be 0.22±0.02 nm. By using sufficiently low temperatures in the...

  12. Ion-implantation and analysis for doped silicon slot waveguides

    Directory of Open Access Journals (Sweden)

    McCallum J. C.

    2012-10-01

    Full Text Available We have utilised ion implantation to fabricate silicon nanocrystal sensitised erbium-doped slot waveguide structures in a Si/SiO2/Si layered configuration and photoluminescence (PL and Rutherford backscattering spectrometry (RBS to analyse these structures. Slot waveguide structures in which light is confined to a nanometre-scale low-index region between two high-index regions potentially offer significant advantages for realisation of electrically-pumped Si devices with optical gain and possibly quantum optical devices. We are currently investigating an alternative pathway in which high quality thermal oxides are grown on silicon and ion implantation is used to introduce the Er and Si-ncs into the SiO2 layer. This approach provides considerable control over the Er and Si-nc concentrations and depth profiles which is important for exploring the available parameter space and developing optimised structures. RBS is well-suited to compositional analysis of these layered structures. To improve the depth sensitivity we have used a 1 MeV α beam and results indicate that a layered silicon-Er:SiO2/silicon structure has been fabricated as desired. In this paper structural results will be compared to Er photoluminescence profiles for samples processed under a range of conditions.

  13. Modelling of ion implantation in SiC crystals

    Energy Technology Data Exchange (ETDEWEB)

    Chakarov, Ivan [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)]. E-mail: ivan.chakarov@silvaco.com; Temkin, Misha [SILVACO International, 4701 Patrick Henry Drive, Building 2, Santa Clara, CA 95054 (United States)

    2006-01-15

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator.

  14. Modelling of ion implantation in SiC crystals

    International Nuclear Information System (INIS)

    Chakarov, Ivan; Temkin, Misha

    2006-01-01

    An advanced electronic stopping model for ion implantation in SiC has been implemented within the binary collision approximation. The model has been thoroughly tested and validated for Al implantation into 4H-, 6H-SiC under different initial implant conditions. A very good agreement between calculated and experimental profiles has been achieved. The model has been integrated in an industrial technology CAD process simulator

  15. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  16. Properties of tribology for Si implanted PET

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Xu; Liu Andong; Xie Mengxia; Zhang Aimin; Chen Jianmin

    2002-01-01

    Polyethylene terephthalate (PET) has been modified with Si ions from a metal vapor arc source (MEVVA). After implantation, the surface structure has been greatly changed. The experimental results of infrared absorption indicated that the particles are referred to rich carbon and SiC particles. The PET has been strengthened by these dispersed particles. The measurement results using nanometer hardness tester reveal that both surface hardness and modulus increase obviously. Therefore the surface wear resistance improved extremely. Finally the modification mechanism of Si implanted PET was discussed

  17. Formation of Si/SiC multilayers by low-energy ion implantation and thermal annealing

    NARCIS (Netherlands)

    Dobrovolskiy, S.; Yakshin, A. E.; Tichelaar, F. D.; Verhoeven, J.; E. Louis,; F. Bijkerk,

    2010-01-01

    Si/SiC multilayer systems for XUV reflection optics with a periodicity of 10-20 nm were produced by sequential deposition of Si and implantation of 1 key CHx+ ions. Only about 3% of the implanted carbon was transferred into the SIC, with a thin, 0.5-1 nm, buried SIC layer being formed. We

  18. Effect of substrate temperature on the radiation damage from MeV Si implantation in Si

    International Nuclear Information System (INIS)

    Yu, X.K.; Shao Lin; Rusakova, Irene; Wang, X.M.; Ma, K.B.; Chen, H.; Liu, Jiarui; Chu, W.-K.

    2006-01-01

    We have investigated the radiation damage by MeV implantation of Si in Si and its evolution under thermal annealing. Si wafers were implanted with MeV Si at various substrate temperatures. Damages were characterized by Rutherford-backscattering (RBS) channeling and by transmission electron microscopy (TEM). Defect formation after post-implantation annealing is very sensitive to the substrate temperatures during implantation. When the substrate temperature was decreased to 200 K, TEM revealed two distinct bands of damage after annealing: one around the mean projected ion range and another at half the projected range. Our study indicates that the formation of defects at half range results from the solid phase epitaxy growth of initial buried amorphous layers

  19. Raman and optical absorption spectroscopic investigation of Yb-Er codoped phosphate glasses containing SiO2

    Institute of Scientific and Technical Information of China (English)

    Youkuo Chen; Lei Wen; Lili Hu; Wei Chen; Y. Guyot; G. Boulon

    2009-01-01

    Yb-Er codoped Na2O-Al2O3-P2Os-xSiO2 glasses containing 0 鈥? 20 mol% SiO2 were prepared successfully. The addition of SiO2 to the phosphate glass not only lengthens the bond between P5+ and non-bridging oxygen but also reduces the number of P=O bond. In contrast with silicate glass in which there is only four-fold coordinated Si4+, most probably there coexist [SiO4] tetrahedron and [SiO6] octahedron in our glasses. Within the range of 0 鈥? 20 mol% SiO2 addition, the stimulated emission cross-section of Er3+ ion only decreases no more than 10%. The Judd-Ofelt intensity parameters of Er3+, 惟.2 does not change greatly, but 惟74 and 惟6 decrease obviously with increasing SiO2 addition, because the bond between Er + and O2- is more strongly covalently bonded.

  20. Implantation of P ions in SiO{sub 2} layers with embedded Si nanocrystals

    Energy Technology Data Exchange (ETDEWEB)

    Kachurin, G.A. E-mail: kachurin@isp.nsc.ru; Cherkova, S.G.; Volodin, V.A.; Kesler, V.G.; Gutakovsky, A.K.; Cherkov, A.G.; Bublikov, A.V.; Tetelbaum, D.I

    2004-08-01

    The effect of 10{sup 13}-10{sup 16} cm{sup -2} P ions implantation and of subsequent annealing on Si nanocrystals (Si-ncs), formed preliminarily in SiO{sub 2} layers by the ion-beam synthesis, has been studied. Photoluminescence (PL), Raman spectroscopy, high resolution electron microscopy (HREM), X-Ray Photoelectron Spectroscopy (XPS) and optical absorption were used for characterizations. The low fluence implantations have shown even individual displacements in Si-ncs quench their PL. Restoration of PL from partly damaged Si-ncs proceeds at annealing less than 1000 deg. C. In the low fluence implanted and annealed samples an increased Si-ncs PL has been found and ascribed to the radiation-induced shock crystallization of stressed Si nanoprecipitates. Annealing at temperatures under 1000 deg. C are inefficient when P ion fluences exceed 10{sup 14} cm{sup -2}, thus becoming capable to amorphize Si-ncs. High crystallization temperature of the amorphized Si-ncs is attributed to a counteraction of their shell layers. After implantation of the highest P fluences an enhanced recovery of PL was found from P concentration over 0.1 at.%. Raman spectroscopy and HREM showed an increased Si-ncs number in such layers. The effect resembles the impurity-enhanced crystallization, known for heavily doped bulk Si. This effect, along with the data obtained by XPS, is considered as an indication P atoms are really present inside the Si-ncs. However, no evidence of free electrons appearance has been observed. The fact is explained by an increased interaction of electrons with the donor nuclei in Si-ncs.

  1. Implantation activation annealing of Si-implanted gallium nitride at temperatures > 1,100 C

    International Nuclear Information System (INIS)

    Zolper, J.C.; Han, J.; Biefeld, R.M.

    1997-01-01

    The activation annealing of Si-implanted GaN is reported for temperatures from 1,100 to 1,400 C. Although previous work has shown that Si-implanted GaN can be activated by a rapid thermal annealing at ∼1,100 C, it was also shown that significant damage remained in the crystal. Therefore, both AlN-encapsulated and uncapped Si-implanted GaN samples were annealed in a metal organic chemical vapor deposition system in a N 2 /NH 3 ambient to further assess the annealing process. Electrical Hall characterization shows increases in carrier density and mobility for annealing up to 1,300 C before degrading at 1,400 C due to decomposition of the GaN epilayer. Rutherford backscattering spectra show that the high annealing temperatures reduce the implantation induced damage profile but do not completely restore the as-grown crystallinity

  2. Investigation of energy levels of Er-impurity centers in Si by the method of ballistic electron emission spectroscopy

    International Nuclear Information System (INIS)

    Filatov, D. O.; Zimovets, I. A.; Isakov, M. A.; Kuznetsov, V. P.; Kornaukhov, A. V.

    2011-01-01

    The method of ballistic electron emission spectroscopy is used for the first time to study the energy spectrum of Er-impurity complexes in Si. The features are observed in the ballistic electron spectra of mesa diodes based on p + -n + Si structures with a thin (∼30 nm) p + -Si:Er surface layer in the region of ballistic-electron energies eV t lower than the conduction-band-edge energy E c in this layer. They are associated with the tunnel injection of ballistic electrons from the probe of the scanning tunnel microscope to the deep donor levels of the Er-impurity complexes in the p + -Si:Er layer with subsequent thermal excitation into the conduction band and the diffusion to the p + -n + junction and the direct tunneling in it. To verify this assumption, the ballistic-electron transport was simulated in the system of the Pt probe, native-oxide layer SiO 2 -p + -Si:Er-n + , and Si substrate. By approximating the experimental ballistic-electron spectra with the modeling spectra, the ground-state energy of the Er complex in Si was determined: E d ≈ E c − 0.27 eV. The indicated value is consistent with the data published previously and obtained from the measurements of the temperature dependence of the free-carrier concentration in Si:Er layers.

  3. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  4. Effect of Si implantation on the microstructure of silicon nanocrystals and surrounding SiO2 layer

    International Nuclear Information System (INIS)

    Ross, G.G.; Smirani, R.; Levitcharsky, V.; Wang, Y.Q.; Veilleux, G.; Saint-Jacques, R.G.

    2005-01-01

    Si nanocrystals (Si-nc) embedded in a SiO 2 layer have been characterized by means of transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). For local Si concentration in excess 8 x 10 21 Si + /cm 3 , the size of the Si-nc was found to be ∼3 nm and comparatively homogeneous throughout the whole implanted layer. For local Si concentration in excess of ∼2.4 x 10 22 Si + /cm 3 , the Si-nc diameter ranges from ∼2 to ∼12 nm in the sample, the Si-nc in the middle region of the implanted layer being bigger than those near the surface and the bottom of the layer. Also, Si-nc are visible deeper than the implanted depth. Characterization by XPS shows that a large quantity of oxygen was depleted from the first ∼25 nm in this sample (also visible on TEM image) and most of the SiO 2 bonds have been replaced by Si-O bonds. Experimental and simulation results suggest that a local Si concentration in excess of ∼3 x 10 21 Si/cm 3 is required for the production of Si-nc

  5. Semiconductor nanocrystals formed in SiO2 by ion implantation

    International Nuclear Information System (INIS)

    Zhu, J.G.; White, C.W.; Budai, J.D.; Withrow, S.P.; Chen, Y.

    1994-11-01

    Nanocrystals of group IV (Si, Ge and SiGe), III-V (GaAs), and II-VI (CdSe) semiconductor materials have been fabricated inside SiO 2 by ion implantation and subsequent thermal annealing. The microstructure of these nanocrystalline semiconductor materials has been studied by transmission electron microscopy (TEM). The nanocrystals form in near-spherical shape with random crystal orientations in amorphous SiO 2 . Extensive studies on the nanocrystal size distributions have been carried out for the Ge nanocrystals by changing the implantation doses and the annealing temperatures. Remarkable roughening of the nanocrystals occurs when the annealing temperature is raised over the melting temperature of the implanted semiconductor material. Strong red photoluminescence peaked around 1.67 eV has been achieved in samples with Si nanocrystals in SiO 2

  6. Interface strength of SiC/SiC composites with and without helium implantation using micro-indentation test

    International Nuclear Information System (INIS)

    Saito, M.; Ohtsuka, S.

    1998-01-01

    Helium implantation effects on interface strength of SiC/SiC composite were studied using the micro-indentation fiber push-out method. Helium implantation was carried out with an accelerator at about 400 K. Total amount of implanted helium was approximately 10000 appm. Increase of the fiber push-in load was observed in as-implanted specimen. After post-implantation-annealing at 1673 K for 1 h, the change of the fiber push-in load by helium implantation was not observed. Effects of helium implantation on the interface are discussed. (orig.)

  7. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  8. Carbon nanotube growth from catalytic nano-clusters formed by hot-ion-implantation into the SiO{sub 2}/Si interface

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan); Arima, Hiroki; Yokoyama, Ai; Saito, Yasunao; Nakata, Jyoji [Department of Information Sciences, Kanagawa University, 2946 Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2012-07-01

    We have studied growth of chirality-controlled carbon nanotubes (CNTs) from hot-implantation-formed catalytic nano-clusters in a thermally grown SiO{sub 2}/Si substrate. This procedure has the advantage of high controllability of the diameter and the number of clusters by optimizing the conditions of the ion implantation. In the present study, Co{sup +} ions with ion dose of 8 Multiplication-Sign 10{sup 16} cm{sup -2} are implanted in the vicinity of the SiO{sub 2}/Si interface at 300 Degree-Sign C temperature. The implanted Co atoms located in the SiO{sub 2} layer has an amorphous-like structure with a cluster diameter of several nm. In contrast, implanted Co atoms in the Si substrate are found to take a cobalt silicide structure, confirmed by the high-resolution image of transmission electron microscope. CNTs are grown by microwave-plasma-enhanced chemical vapor deposition. We have confirmed a large amount of vertically-aligned multi-walled CNTs from the Co nano-clusters formed by the hot-ion-implantation near the SiO{sub 2}/Si interface.

  9. Phase composition of iron-rich R-Fe-Si (R=Dy, Ho, Er) alloys

    International Nuclear Information System (INIS)

    Ivanova, G.V.; Makarova, G.M.; Shcherbakova, E.V.; Belozerov, E.V.

    2005-01-01

    Phase composition is studied in iron-rich alloys of R-Fe-Si (R=Dy, Ho, Er). In the as-cast state R 2 (Fe, Si) 17 of type Th 2 Ni 17 and R(Fe, Si) 12 compounds are observed; in the alloys of rated composition of R(Fe 0.85 Si 0.15 ) 8.5 (R=Dy, Er) a compound R 2 (Fe, Si) 17 of Th 2 Zn 17 -type is revealed as well. The annealing at 1273 K results in formation of Dy 3 (Fe, Si) 29 and also the compounds with the presumed composition of Dy 4 (Fe, Si) 41 and Ho 4 (Fe, Si) 41 . As this takes place the alloys contain a transition structure as well that represents a set of small-sized areas with various type short-range order in mutual displacement of Fe-Fe(Si) dumpbell chains. The process of phase formation at 1273 K is faced with difficulties. Even the annealing for 1000 h does not result in the state of equilibrium [ru

  10. Photoluminescence of Er in SiOx

    International Nuclear Information System (INIS)

    Wan Jun; Sheng Chi; Lu Fang; Gong Dawei; Fan Yongliang; Lin Feng; Wang Xun

    1998-01-01

    Erbium-doped SiO x is prepared by molecular beam epitaxy. The influence of Er on the incorporation of O is studied by using Auger spectroscopy. Photoluminescence (PL) peaks around the wave-length of 1.53 μm have been observed within the temperature range of 18 to 300 K after annealing. The relationship between PL intensity and annealing temperature is discussed. The temperature dependence of the PL intensity shows an exponential decay with an activation energy of 12 meV at low temperatures ( 100 K)

  11. Defects induced by helium implantation in SiC

    International Nuclear Information System (INIS)

    Oliviero, E.; Barbot, J.F.; Declemy, A.; Beaufort, M.F.; Oliviero, E.

    2008-01-01

    SiC is one of the considered materials for nuclear fuel conditioning and for the fabrication of some core structures in future nuclear generation reactors. For the development of this advance technology, a fundamental research on this material is of prime importance. In particular, the implantation/irradiation effects have to be understood and controlled. It is with this aim that the structural alterations induced by implantation/irradiation in SiC are studied by different experimental techniques as transmission electron microscopy, helium desorption, X-ray diffraction and Rutherford backscattering spectrometry. In this work, the different types of defects induced by helium implantation in SiC, point or primary defects (obtained at low energy (∼100 eV) until spread defects (obtained at higher energy (until ∼2 MeV)) are exposed. The amorphization/recrystallization and swelling phenomena are presented too. (O.M.)

  12. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  13. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  14. Effect of ultrasmall Au–Ag aggregates formed by ion implantation in Er-implanted silica on the 1.54 μm Er{sup 3+} luminescence

    Energy Technology Data Exchange (ETDEWEB)

    Maurizio, C., E-mail: chiara.maurizio@unipd.it [Physics and Astronomy Department, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Cesca, T. [Physics and Astronomy Department, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Trapananti, A. [CNR-IOM c/o European Synchrotron Radiation Facility, B.P. 220, F-38043 Grenoble (France); Kalinic, B.; Scian, C.; Mazzoldi, P. [Physics and Astronomy Department, University of Padova, Via Marzolo 8, 35131 Padova (Italy); Battaglin, G. [Department of Molecular Sciences and Nanosystems, Ca’ Foscari University of Venice, Dorsoduro 2137, I-30123 Venice (Italy); Mattei, G. [Physics and Astronomy Department, University of Padova, Via Marzolo 8, 35131 Padova (Italy)

    2014-05-01

    Multiple implantations of Au and Ag ions in pure silica and Er-doped silica matrices have been performed to promote the formation of small Au–Ag alloy clusters. Upon annealing in N{sub 2} atmosphere at 600 °C the structural investigation based on X-ray absorption spectroscopy at Au L{sub 3}-edge detected for both cases the formation of Au–Ag alloy clusters, whose size is likely below 1 nm. The alloy composition is rich in Au; a minor part of Au atoms remains dispersed into the matrix and oxidized. In the Er-doped silica, the presence of these small alloy aggregates promotes a strong enhancement of the Er{sup 3+} luminescence at 1.54 μm, that is more marked with respect to similarly produced layers where only pure Au sub-nanometer clusters were present.

  15. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  16. Effect of pre-implanted oxygen in Si on the retention of implanted He

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Paszti, F. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)]. E-mail: paszti@rmki.kfki.hu; Ramos, A.R. [ITN - Instituto Tecnologico e Nuclear, Estrada Nacional 10, P-2686-953, Sacavem (Portugal); Khanh, N.Q. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Pecz, B. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Zolnai, Z. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Tunyogi, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2006-08-15

    Buried SiO {sub x} layers, with different x values, were formed by implanting 80 keV O{sup +} ions with different fluences into single crystal Si samples at room temperature. Into each of these O pre-implanted layers, 20 keV He{sup +} was implanted up to the fluence of 1 x 10{sup 17} ion/cm{sup 2}. The He distribution profiles were determined by 2045 keV proton backscattering spectrometry. It was found that as the O content increases, the retained He gradually decreases at the beginning, then rapidly falls at x = 0.6 till it disappears at x = 1.3. The process that leads to this phenomenon is discussed.

  17. Single-crystal X-ray diffraction study of Cs2Er[Si6O14]F and Cs2Er[Si4O10]F

    International Nuclear Information System (INIS)

    Dabic, Predrag; Kremenovic, Aleksandar; Vulic, Predag; Kahlenberg, Volker; Schmidmair, Daniela

    2016-01-01

    Single-crystal growth experiments in the system CsF-Er 2 O 3 -SiO 2 resulted in the simultaneous crystallization of two chemically related compounds within the same run: Cs 2 Er[Si 6 O 14 ]F (phase I) and Cs 2 Er[Si 4 O 10 ]F (phase II). They represent the first examples for cesium erbium silicates containing fluorine. Basic crystallographic data are - phase I: space group Cmca, a=17.2556(6) Aa, b=24.6565(7) Aa, c=14.4735(5) Aa, V=6157.9(3) Aa 3 , Z=16; phase II: space group Pnma, a=22.3748(7) Aa, b=8.8390(2) Aa, c=11.9710(4) Aa, V=2367.5(1) Aa 3 , Z=8. The structures were determined by direct methods and refined to residuals of R(vertical stroke F vertical stroke)=0.0229 for 2920 (phase I) and 0.0231 for 2314 (phase II) independent observed reflections with I>2σ(I). The structure of phase I represents a previously unknown structure type with a three dimensional tetrahedral framework consisting of Q 3 and Q 4 groups in the ratio 2:1. Basic building units of the network are unbranched sechser single-chains running parallel to [001]. The network can be conveniently built up from the condensation of tetrahedral layers parallel to (010) or (100), respectively. The crystal structure of phase II can be classified as a tubular or columnar chain silicate indicating that the backbones of the structure are multiple chains of silicate tetrahedra. This structure is isotypic to a Cs 2 Y[Si 4 O 10 ]F, a compound that has been characterized previously. Alternatively, both compounds can be described as mixed octahedral-tetrahedral frameworks, which can be classified according to their polyhedral microensembles. A topological analysis of both nets is presented.

  18. Shift in room-temperature photoluminescence of low-fluence Si+-implanted SiO2 films subjected to rapid thermal annealing

    International Nuclear Information System (INIS)

    Fu Mingyue; Tsai, J.-H.; Yang, C.-F.; Liao, C.-H.

    2008-01-01

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO 2 films implanted by different doses of Si + ions. Room-temperature PL from 400-nm-thick SiO 2 films implanted to a dose of 3x10 16 cm -2 shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO 2 films followed by RTA may be effective for tuning Si-based photonic devices.

  19. Implantation temperature and thermal annealing behavior in H{sub 2}{sup +}-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Li, B.S., E-mail: b.s.li@impcas.ac.cn; Wang, Z.G.; Jin, J.F.

    2013-12-01

    The effects of hydrogen implantation temperature and annealing temperature in 6H-SiC are studied by the combination of Rutherford backscattering in channeling geometry (RBS/C), high-resolution X-ray diffraction (HRXRD) and scanning electron microscopy (SEM). 6H-SiC wafers were implanted with 100 keV H{sub 2}{sup +} ions to a fluence of 2.5 × 10{sup 16} H{sub 2}{sup +} cm{sup −2} at room temperature (RT), 573 K and 773 K. Post-implantation, the samples were annealing under argon gas flow at different temperatures from 973 K to 1373 K for isochronal annealing (15 min). The relative Si disorder at the damage peak for the sample implanted at RT decreases gradually with increasing annealing temperature. However, the reverse annealing effect is found for the samples implanted at 573 K and 773 K. As-implantation, the intensity of in-plane compressive stress is the maximum as the sample was implanted at RT, and is the minimum as the sample was implanted at 573 K. The intensity of in-plane compressive stress for the sample implanted at RT decreases gradually with increasing annealing temperature, while the intensities of in-plane compressive stress for the sample implanted at 573 K and 773 K show oscillatory changes with increasing annealing temperature. After annealing at 1373 K, blisters and craters occur on the sample surface and their average sizes increase with increasing implantation temperature.

  20. Post-annealing recrystallization and damage recovery process in Fe ion implanted Si

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Hirata, Akihiko; Ishimaru, Manabu; Hirotsu, Yoshihiko

    2007-01-01

    We have investigated ion-beam-induced and thermal annealing-induced microstructures in high fluence Fe implanted Si using transmission electron microscopy. Si(1 1 1) substrates were irradiated with 120 keV Fe ions at 120 K to fluences of 0.4 x 10 17 and 4.0 x 10 17 cm -2 . A continuous amorphous layer was formed on Si substrates in both as-implanted samples. After thermal annealing at 1073 K for 2 h, β-FeSi 2 fine particles buried in a polycrystalline Si layer were observed in the low fluence sample, while a continuous β-FeSi 2 layer was formed in the high fluence sample. We discuss the relationship between ion fluence and defects recovery process in Fe ion implanted Si

  1. Annealing Behavior of Al-Implantation-Induced Disorder in 4H-SiC

    International Nuclear Information System (INIS)

    Zhang, Yanwen; Weber, William J.; Jiang, Weilin; Shutthanandan, V.; Thevuthasan, Suntharampillai; Janson, Martin; Hallen, Anders

    2004-01-01

    Single crystal 4H-SiC films were implanted at 150 K with 1.1 MeV Al 2 2+ and subsequently annealed at elevated temperatures. Rutherford backscattering spectrometry (RBS) results indicate that the relative Si disorder at the damage peak recovers significantly as the annealing temperature increases. However, the residual Si disorder is more resistant to high-temperature annealing in the region of the implanted Al. The maximum concentration of Al profile measured by secondary ion mass spectroscopy (SIMS) is a factor of 1000 lower than the level of the residual Si disorder at the same region. Analysis of these results indicates that the excess residual Si disorder around the implanted Al projected range cannot be accounted for by just the Al interstitials; instead, it appears that each implanted Al stabilizes or inhibits recovery for an equivalent of a few hundred Si interstitials under the current experimental conditions

  2. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  3. He implantation induced nanovoids in crystalline Si

    International Nuclear Information System (INIS)

    Kilpelaeinen, S.; Kuitunen, K.; Slotte, J.; Tuomisto, F.; Bruno, E.; Mirabella, S.; Priolo, F.

    2009-01-01

    Positron annihilation spectroscopy (PAS) in Doppler broadening mode was used to study the vacancy profile of crystalline Si after He and B implantation and subsequent annealing. In the He-implanted samples two different void layers were observed, one consisting of large voids at the projected range of He and another containing 'nanovoids' slightly larger than divacancies at roughly halfway between R p of He and the surface. The nanovoid layer was shown to be absent from samples co-implanted with B, implying that interstitials created during B implantation get trapped in the nanovoids and fill them, thus hindering interstitial-mediated B diffusion.

  4. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  5. Magnetic ordering in Sc{sub 2}CoSi{sub 2}-type R{sub 2}FeSi{sub 2} (R=Gd, Tb) and R{sub 2}CoSi{sub 2} (R=Y, Gd–Er) compounds

    Energy Technology Data Exchange (ETDEWEB)

    Morozkin, A.V., E-mail: morozkin@tech.chem.msu.ru [Department of Chemistry, Moscow State University, Leninskie Gory, House 1, Building 3, GSP-2, Moscow 119992 (Russian Federation); Knotko, A.V. [Department of Chemistry, Moscow State University, Leninskie Gory, House 1, Building 3, GSP-2, Moscow 119992 (Russian Federation); Yapaskurt, V.O. [Department of Petrology, Geological Faculty, Moscow State University, Leninskie Gory, Moscow 119992 (Russian Federation); Pani, M. [Department of Chemistry, University of Genova, Via Dodecaneso 31, 16146 Genova (Italy); Institute SPIN-CNR, C. Perrone 24, 16152 Genova (Italy); Nirmala, R. [Indian Institute of Technology Madras, Chennai 600036 (India); Quezado, S.; Malik, S.K. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Natal 59082-970 (Brazil)

    2016-09-01

    Magnetic and magnetocaloric properties of Sc{sub 2}CoSi{sub 2}-type R{sub 2}TSi{sub 2} (R=Gd–Er, T=Fe, Co) compounds have been studied using magnetization data. These indicate the presence of mixed ferromagnetic and antiferromagnetic interactions in these compounds. One observes a ferromagnetic transition followed by an antiferromagnetic order and a further possible spin-reorientation transition at low temperatures. Compared to Gd{sub 2}{Fe, Co}Si{sub 2}, the Tb{sub 2}FeSi{sub 2} and {Tb–Er}{sub 2}CoSi{sub 2} compounds exhibit remarkable hysteresis (for e.g. Tb{sub 2}FeSi{sub 2} shows residual magnetization M{sub res}/Tb=2.45 μ{sub B}, coercive field H{sub coer}=14.9 kOe, and critical field H{sub crit}~5 kOe at 5 K) possibly due to the magnetocrystalline anisotropy of the rare earth. The R{sub 2}{Fe, Co}Si{sub 2} show relatively small magnetocaloric effect (i.e. isothermal magnetic entropy change, ΔS{sub m}) around the magnetic transition temperature: the maximal value of MCE is demonstrated by Ho{sub 2}CoSi{sub 2} (ΔS{sub m}=−8.1 J/kg K at 72 K and ΔS{sub m}=−9.4 J/kg K at 23 K in field change of 50 kOe) and Er{sub 2}CoSi{sub 2} (ΔS{sub m}=−13.6 J/kg K at 32 K and ΔS{sub m}=−8.4 J/kg K at 12 K in field change of 50 kOe). - Highlights: • {Gd–Er}{sub 2}{Fe, Co}Si{sub 2} show high-temperature ferromagnetic-type transitions. • {Gd–Er}{sub 2}{Fe, Co}Si{sub 2} show low-temperature spin-reorientation transitions. • Tb{sub 2}FeSi{sub 2} and {Tb–Er}{sub 2}CoSi{sub 2} compounds exhibit low-temperature hysteresis. • Tb{sub 2}FeSi{sub 2} shows M{sub res}/Tb=2.45 μ{sub B}, H{sub coer}=14.9 kOe and H{sub crit} ~5 kOe at 5 K • Considerable magnetocaloric effect is exhibited by Ho{sub 2}CoSi{sub 2} and Er{sub 2}CoSi{sub 2}.

  6. Dopant profile engineering of advanced Si MOSFET's using ion implantation

    International Nuclear Information System (INIS)

    Stolk, P.A.; Ponomarev, Y.V.; Schmitz, J.; Brandenburg, A.C.M.C. van; Roes, R.; Montree, A.H.; Woerlee, P.H.

    1999-01-01

    Ion implantation has been used to realize non-uniform, steep retrograde (SR) dopant profiles in the active channel region of advanced Si MOSFET's. After defining the transistor configuration, SR profiles were formed by dopant implantation through the polycrystalline Si gate and the gate oxide (through-the-gate, TG, implantation). The steep nature of the as-implanted profile was retained by applying rapid thermal annealing for dopant activation and implantation damage removal. For NMOS transistors, TG implantation of B yields improved transistor performance through increased carrier mobility, reduced junction capacitances, and reduced susceptibility to short-channel effects. Electrical measurements show that the gate oxide quality is not deteriorated by the ion-induced damage, demonstrating that transistor reliability is preserved. For PMOS transistors, TG implantation of P or As leads to unacceptable source/drain junction broadening as a result of transient enhanced dopant diffusion during thermal activation

  7. Synergistic effects of iodine and silver ions co-implanted in 6H-SiC

    Science.gov (United States)

    Kuhudzai, R. J.; Malherbe, J. B.; Hlatshwayo, T. T.; van der Berg, N. G.; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-12-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H-SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H-SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H-SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings.

  8. Surface sputtering in high-dose Fe ion implanted Si

    International Nuclear Information System (INIS)

    Ishimaru, Manabu

    2007-01-01

    Microstructures and elemental distributions in high-dose Fe ion implanted Si were characterized by means of transmission electron microscopy and Rutherford backscattering spectroscopy. Single crystalline Si(0 0 1) substrates were implanted at 350 deg. C with 120 keV Fe ions to fluences ranging from 0.1 x 10 17 to 4.0 x 10 17 /cm 2 . Extensive damage induced by ion implantation was observed inside the substrate below 1.0 x 10 17 /cm 2 , while a continuous iron silicide layer was formed at 4.0 x 10 17 /cm 2 . It was found that the spatial distribution of Fe projectiles drastically changes at the fluence between 1.0 x 10 17 and 4.0 x 10 17 /cm 2 due to surface sputtering during implantation

  9. Ion implantation enhanced metal-Si-metal photodetectors

    Science.gov (United States)

    Sharma, A. K.; Scott, K. A. M.; Brueck, S. R. J.; Zolper, J. C.; Myers, D. R.

    1994-05-01

    The quantum efficiency and frequency response of simple Ni-Si-Ni metal-semiconductor-metal (MSM) photodetectors at long wavelengths are significantly enhanced with a simple, ion-implantation step to create a highly absorbing region approx. 1 micron below the Si surface. The internal quantum efficiency is improved by a factor of approx. 3 at 860 nm (to 64%) and a full factor of ten at 1.06 microns (to 23%) as compared with otherwise identical unimplanted devices. Dark currents are only slightly affected by the implantation process and are as low as 630 pA for a 4.5-micron gap device at 10-V bias. Dramatic improvement in the impulse response is observed, 100 ps vs. 600 ps, also at 10-V bias and 4.5-micron gap, due to the elimination of carrier diffusion tails in the implanted devices. Due to its planar structure, this device is fully VLSI compatible. Potential applications include optical interconnections for local area networks and multi-chip modules.

  10. Pseudopotential description of rare earths in oxides: The case of Er2Si2O7

    DEFF Research Database (Denmark)

    Lægsgaard, Jesper; Stokbro, Kurt

    2001-01-01

    The applicability of ultrasoft pseudopotentials to the problem of rare-earth incorporation in silicates is investigated using the compound Er2Si2O7 as a test case. It is found that density-functional theory within the generalized gradient approximation provides a good description of the structural...... parameters, when treating the Er 4f states as a partially occupied core shell. The density of states and the distribution of electronic charge are analyzed, and it is concluded that the presence of Er tends to increase the covalency of neighboring Si-O bonds....

  11. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Pacha-Olivenza, Miguel A.; Calzado-Martín, Alicia; Multigner, Marta; Vera, Carolina; Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M.; González-Carrasco, José Luis; Vilaboa, Nuria

    2014-01-01

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10 16 ions/cm 2 ; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed

  12. Decrease of Staphylococcal adhesion on surgical stainless steel after Si ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Pacha-Olivenza, Miguel A. [CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); Calzado-Martín, Alicia [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Multigner, Marta [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vera, Carolina [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Broncano, Luis Labajos-; Gallardo-Moreno, Amparo M. [Universidad de Extremadura, Departamento de Física Aplicada, Facultad de Ciencias, Av. Elvas s/n, 06006 Badajoz (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); González-Carrasco, José Luis [Centro Nacional de Investigaciones Metalúrgicas, CENIM-CSIC, Avda Gregorio del Amo 8, 28040 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); Vilaboa, Nuria [Hospital Universitario La Paz-IdiPAZ, Paseo de la Castellana 261, 28046 Madrid (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (CIBER-BBN) (Spain); and others

    2014-08-15

    Highlights: • Si ion implantation of AISI 316LVM medical grade alloy might reduce bacterial adhesion and colonization. • Si ion implantation does not impair the attachment, viability and matrix maturation of human mesenchymal stem cells. • Nano-topography and surface chemistry changes account for the Si ion implantation induced effects. - Abstract: 316LVM austenitic stainless steel is often the material of choice on temporal musculoskeletal implants and surgical tools as it combines good mechanical properties and acceptable corrosion resistance to the physiologic media, being additionally relatively inexpensive. This study has aimed at improving the resistance to bacterial colonization of this surgical stainless steel, without compromising its biocompatibility and resistance. To achieve this aim, the effect of Si ion implantation on 316LVM has been studied. First, the effect of the ion implantation parameters (50 keV; fluence: 2.5–5 × 10{sup 16} ions/cm{sup 2}; angle of incidence: 45–90°) has been assessed in terms of depth profiling of chemical composition by XPS and nano-topography evaluation by AFM. The in vitro biocompatibility of the alloy has been evaluated with human mesenchymal stem cells. Finally, bacterial adhesion of Staphylococcus epidermidis and Staphylococcus aureus on these surfaces has been assessed. Reduction of bacterial adhesion on Si implanted 316LVM is dependent on the implantation conditions as well as the features of the bacterial strains, offering a promising implantable biomaterial in terms of biocompatibility, mechanical properties and resistance to bacterial colonization. The effects of surface composition and nano-topography on bacterial adhesion, directly related to ion implantation conditions, are also discussed.

  13. Recrystallization of implanted amorphous silicon layers. I. Electrical properties of silicon implanted with BF+2 or Si++B+

    International Nuclear Information System (INIS)

    Tsai, M.Y.; Streetman, B.G.

    1979-01-01

    Electrical properties of recrystallized amorphous silicon layers, formed by BF + 2 implants or Si + +B + implants, have been studied by differential resistivity and Hall-effect measurements. Electrical carrier distribution profiles show that boron atoms inside the amorphized Si layers can be fully activated during recrystallization at 550 0 C. The mobility is also recovered. However, the tail of the B distribution, located inside a damaged region near the original amorphous-crystalline interface, remains inactive. This inactive tail has been observed for all samples implanted with BF + 2 . Only in a thicker amorphous layer, formed for example by Si + predamage implants, can the entire B profile be activated. The etch rate of amorphous silicon in HF and the effect of fluorine on the recrystallization rate are also reported

  14. Management of Retrograde Peri-Implantitis Using an Air-Abrasive Device, Er,Cr:YSGG Laser, and Guided Bone Regeneration

    Directory of Open Access Journals (Sweden)

    Nikolaos Soldatos

    2018-01-01

    Full Text Available Background. The placement of an implant in a previously infected site is an important etiologic factor contributing to implant failure. The aim of this case report is to present the management of retrograde peri-implantitis (RPI in a first maxillary molar site, 2 years after the implant placement. The RPI was treated using an air-abrasive device, Er,Cr:YSGG laser, and guided bone regeneration (GBR. Case Description. A 65-year-old Caucasian male presented with a draining fistula associated with an implant at tooth #3. Tooth #3 revealed periapical radiolucency two years before the implant placement. Tooth #3 was extracted, and a ridge preservation procedure was performed followed by implant rehabilitation. A periapical radiograph (PA showed lack of bone density around the implant apex. The site was decontaminated with an air-abrasive device and Er,Cr:YSGG laser, and GBR was performed. The patient was seen every two weeks until suture removal, followed by monthly visits for 12 months. The periapical X-rays, from 6 to 13 months postoperatively, showed increased bone density around the implant apex, with no signs of residual clinical or radiographic pathology and probing depths ≤4 mm. Conclusions. The etiology of RPI in this case was the placement of an implant in a previously infected site. The use of an air-abrasive device, Er,Cr:YSGG, and GBR was utilized to treat this case of RPI. The site was monitored for 13 months, and increased radiographic bone density was noted.

  15. Polarized spectroscopic properties of Er3+:Gd2SiO5 crystal and evaluation of Er3+:Yb3+:Gd2SiO5 crystal as a 1.55 μm laser medium

    International Nuclear Information System (INIS)

    Wang, H.; Huang, J.H.; Gong, X.H.; Chen, Y.J.; Lin, Y.F.; Luo, Z.D.; Huang, Y.D.

    2016-01-01

    An Er 3+ -doped Gd 2 SiO 5 single crystal with high optical quality has been grown by the Czochralski method. Polarized absorption and fluorescence spectra and fluorescence lifetime of the crystal were measured at room temperature. Intensity parameters, spontaneous emission probabilities, fluorescence branching ratios, and radiative lifetimes were estimated on the basis of the Judd–Ofelt theory. Besides, potentiality of 1.55 μm laser emission in an Er 3+ –Yb 3+ co-doped Gd 2 SiO 5 crystal was evaluated.

  16. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  17. Shift in room-temperature photoluminescence of low-fluence Si{sup +}-implanted SiO{sub 2} films subjected to rapid thermal annealing

    Energy Technology Data Exchange (ETDEWEB)

    Mingyue, Fu [Department of Avionics Engineering, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Tsai, J -H [Department of Mathematics and Physics, Air Force Academy, Kangshan, Kaohsiung 820, Taiwan (China); Yang, C -F [Department of Chemical and Materials Engineering, National Kaohsiung University, Nan-Tzu District, Kaohsiung 811, Taiwan (China); Liao, C.-H. [Department of Physics, Chinese Military Academy, Fengshan, Kaohsiung 830, Taiwan (China)], E-mail: fumy@cc.cafa.edu.tw

    2008-12-15

    We experimentally demonstrate the effect of the rapid thermal annealing (RTA) in nitrogen flow on photoluminescence (PL) of SiO{sub 2} films implanted by different doses of Si{sup +} ions. Room-temperature PL from 400-nm-thick SiO{sub 2} films implanted to a dose of 3x10{sup 16} cm{sup -2} shifted from 2.1 to 1.7 eV upon increasing RTA temperature (950-1150 deg. C) and duration (5-20 s). The reported approach of implanting silicon into SiO{sub 2} films followed by RTA may be effective for tuning Si-based photonic devices.

  18. Synergistic effects of iodine and silver ions co-implanted in 6H–SiC

    International Nuclear Information System (INIS)

    Kuhudzai, R.J.; Malherbe, J.B.; Hlatshwayo, T.T.; Berg, N.G. van der; Devaraj, A.; Zhu, Z.; Nandasiri, M.

    2015-01-01

    Motivated by the aim of understanding the release of fission products through the SiC coating of fuel kernels in modern high temperature nuclear reactors, a fundamental investigation is conducted to understand the synergistic effects of implanted silver (Ag) and iodine (I) in 6H–SiC. The implantation of the individual species, as well as the co-implantation of 360 keV ions of I and Ag at room temperature in 6H–SiC and their subsequent annealing behaviour has been investigated by Secondary Ion Mass Spectrometry (SIMS), Atom Probe Tomography (APT) and X-ray Photoelectron Spectroscopy (XPS). SIMS and APT measurements indicated the presence of Ag in the co-implanted samples after annealing at 1500 °C for 30 h in sharp contrast to the samples implanted with Ag only. In samples implanted with Ag only, complete loss of the implanted Ag was observed. However, for I only implanted samples, some iodine was retained. APT of annealed co-implanted 6H–SiC showed clear spatial association of Ag and I clusters in SiC, which can be attributed to the observed I assisted retention of Ag after annealing. Such detailed studies will be necessary to identify the fundamental mechanism of fission products migration through SiC coatings. - Highlights: • Co-implantation of Ag and I ions in 6H–SiC was performed. • Clear spatial association of Ag and I clusters observed after annealing. • Complete loss of Ag after high temperature annealing of silver only sample. • Iodine was retained in iodine only sample after high temperature annealing. • Iodine was found to play a role in the retention of Ag in the co-implanted samples.

  19. Synthesis and characterization of Yb and Er based monosilicate powders and durability of plasma sprayed Yb2SiO5 coatings on C/C–SiC composites

    International Nuclear Information System (INIS)

    Khan, Zuhair S.; Zou Binglin; Huang Wenzhi; Fan Xizhi; Gu Lijian; Chen Xiaolong; Zeng Shuibing; Wang Chunjie; Cao Xueqiang

    2012-01-01

    Highlights: ► Ultra-pure rare-earth monosilicate powders based on Er and Yb have been fabricated by solid-state reaction. ► Spray-drying treatment results in powders with free flowing characteristics and rounded surface morphologies. ► CTEs are found to be 7.1 ppm/°C for Yb 2 SiO 5 and 7.5 ppm/°C for Er 2 SiO 5 . ► Plasma spraying has been used to deposit Yb 2 SiO 5 coatings on C/C–SiC substrate. ► Coatings remain strongly intact with the substrate on thermal cycling between ∼400 °C and 1500 °C in gas burner rig experiment. - Abstract: Rare-earth silicates such as Yb 2 SiO 5 and Er 2 SiO 5 are promising environmental barrier coating materials for ceramic matrix composites. In this work, Yb 2 SiO 5 and Er 2 SiO 5 ceramic powders have been synthesized by solid-state reaction using Yb 2 O 3 , Er 2 O 3 and SiO 2 as starting materials. The fabricated powders were subjected to spray drying treatment for subsequent synthesis of coatings by plasma spraying. The spray drying resulted in well-dispersed and spherical powder particles with good flowability. Analytical techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), thermogravimetry and differential scanning calorimetry (TGA/DSC) and dilatometry were applied to study the microstructural and thermal characteristics of the powders. Ultra-high purity monosilicate powders formed as a result of heating treatments at 1400 °C in a box furnace for 20 h. TG/DSC revealed the genesis temperatures of the silicate formation (low temperature polymorphs) and also showed that the solid-state reactions to form Yb and Er based monosilicates proceeded without any weight-loss in the tested temperature range. The values of coefficients of thermal expansion (CTE) of the fabricated compounds are found to be 7.1 ppm/°C for Yb 2 SiO 5 and 7.5 ppm/°C for Er 2 SiO 5 by dilatometric measurements. Besides these studies, coating formation by plasma spraying of spray-dried Yb 2 SiO 5 powders on the ceramic

  20. Si-nanoparticle synthesis using ion implantation and MeV ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Wolff, M.; Primetzhofer, D.; Possnert, G. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J. [Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden); Hallen, A. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Royal Institute of Technology, School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2015-12-15

    A dielectric matrix with embedded Si-nanoparticles may show strong luminescence depending on nanoparticles size, surface properties, Si-excess concentration and matrix type. Ion implantation of Si ions with energies of a few tens to hundreds of keV in a SiO{sub 2} matrix followed by thermal annealing was identified as a powerful method to form such nanoparticles. The aim of the present work is to optimize the synthesis of Si-nanoparticles produced by ion implantation in SiO{sub 2} by employing MeV ion irradiation as an additional annealing process. The luminescence properties are measured by spectrally resolved photoluminescence including PL lifetime measurement, while X-ray reflectometry, atomic force microscopy and ion beam analysis are used to characterize the nanoparticle formation process. The results show that the samples implanted at 20%-Si excess atomic concentration display the highest luminescence and that irradiation of 36 MeV {sup 127}I ions affects the luminosity in terms of wavelength and intensity. It is also demonstrated that the nanoparticle luminescence lifetime decreases as a function of irradiation fluence. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  2. Nano-SiC region formation in (100) Si-on-insulator substrate: Optimization of hot-C+-ion implantation process to improve photoluminescence intensity

    Science.gov (United States)

    Mizuno, Tomohisa; Omata, Yuhsuke; Kanazawa, Rikito; Iguchi, Yusuke; Nakada, Shinji; Aoki, Takashi; Sasaki, Tomokazu

    2018-04-01

    We experimentally studied the optimization of the hot-C+-ion implantation process for forming nano-SiC (silicon carbide) regions in a (100) Si-on-insulator substrate at various hot-C+-ion implantation temperatures and C+ ion doses to improve photoluminescence (PL) intensity for future Si-based photonic devices. We successfully optimized the process by hot-C+-ion implantation at a temperature of about 700 °C and a C+ ion dose of approximately 4 × 1016 cm-2 to realize a high intensity of PL emitted from an approximately 1.5-nm-thick C atom segregation layer near the surface-oxide/Si interface. Moreover, atom probe tomography showed that implanted C atoms cluster in the Si layer and near the oxide/Si interface; thus, the C content locally condenses even in the C atom segregation layer, which leads to SiC formation. Corrector-spherical aberration transmission electron microscopy also showed that both 4H-SiC and 3C-SiC nanoareas near both the surface-oxide/Si and buried-oxide/Si interfaces partially grow into the oxide layer, and the observed PL photons are mainly emitted from the surface SiC nano areas.

  3. Magnetic phase diagram of ErGe 1-xSi x (0

    Science.gov (United States)

    Thuéry, P.; El Maziani, F.; Clin, M.; Schobinger-Papamantellos, P.; Buschow, K. H. J.

    1993-10-01

    The composition-temperature magnetic phase diagram of ErGe 1- xSi x (0 0.40. For 0.17 ≥ x ≤ 0.55, a first-order transition occurs as function of the temperature between these two phases. For x ≥ 0.65, a lock-in transition takes place at TIC, leading from the wavevector ( k' x,0, k' z) to (1/2,0,1/2), as was already observed in ErSi. Finally, for x < 0.17 or 0.55 < x < 0.65, the wavevectors of the incommensurate phases characterized by (0,0, kz) or ( k' x,0, k' z) respectively remain unchanged in the whole temperature range below TN. For x≥0.65, a small amount of a magnetic phase characterized by the wavevector (0,0, 1/2) coexists with the main phases, below a Néel temperature T' N slightly lower than TN. In all cases, the erbium magnetic moments are colinear along the orthorhombic α-axis; the arrangement of the moments in the commensurate phases is the same as in ErSi and the incommensurate orderings correspond to sine-wave amplitude modulations. A brief account on the theoretical interpretation of this phase diagram is finally given.

  4. Studies of phase formation in CoSi2 buried layers fabricated using ion implantation

    International Nuclear Information System (INIS)

    Galaev, A.A.; Parkhomenko, Yu.N.; Podgornyi, D.A.; Shcherbachev, K.D.

    1998-01-01

    The processes of the formation of cobalt disilicide buried layers in silicon are studied under different conditions of implantation with Co. In particular, the effects of the implantation dose and the postimplantation annealing temperature on the state of the Co-implanted layer are considered. Two types of heteroepitaxial Si/CoSi 2 /Si structures are obtained with the conducting layers of thicknesses 70 and 90 nm buried at the depths 80 and 10 nm, respectively

  5. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    Science.gov (United States)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  6. Solid-state microwave annealing of ion-implanted 4H-SiC

    International Nuclear Information System (INIS)

    Sundaresan, Siddarth G.; Tian, Yong-lai; Ridgway, Mark C.; Mahadik, Nadeemullah A.; Qadri, Syed B.; Rao, Mulpuri V.

    2007-01-01

    Solid-state microwave annealing was performed at temperatures up to 2120 deg, C for 30 s on ion-implanted 4H-SiC in N 2 ambient. The surface roughness in the samples annealed without a surface cap at 1950 deg, C is 2.65 nm for 10 μm x 10 μm atomic force microscopy scans. The sheet resistances measured on Al + - and P + -implanted 4H-SiC, annealed by microwaves, are lower than the best conventional furnace annealing results reported in literature. X-ray diffraction spectra indicate alleviation of the lattice damage induced by the ion-implantation and also incorporation of most of the implanted species into substitutional lattice sites

  7. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  8. The annealing behavior of hydrogen implanted into Al-Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Ogura, Masahiko; Yamaji, Norisuke; Imai, Makoto; Itoh, Akio; Imanishi, Nobutsugu [Kyoto Univ. (Japan). Faculty of Engineering

    1997-03-01

    We have studied effects of not only defects but also an added elements on trap-sites of hydrogen in metals. For the purpose, we observed depth profiles and thermal behaviors of hydrogen implanted into Al-1.5at.%Si alloy samples in an implantation-temperature range of liquid nitrogen temperature (LNT) to 373K at different doses. The results were compared with those for pure aluminum samples. It was found that hydrogen is trapped as molecules in grain boundaries of Al/Si. (author)

  9. Suppression effect of silicon (Si on Er3+ 1.54μm excitation in ZnO thin films

    Directory of Open Access Journals (Sweden)

    Bo Xu

    2016-08-01

    Full Text Available We have investigated the photoluminescence (PL characteristics of ZnO:Er thin films on Si (100 single crystal and SiO2-on-silicon (SiO2 substrates, synthesized by radio frequency magnetron sputtering. Rutherford backscattering/channeling spectrometry (RBS, X-ray diffraction (XRD and atomic force microscope (AFM were used to analyze the properties of thin films. The diffusion depth profiles of Si were determined by second ion mass spectrometry (SIMS. Infrared spectra were obtained from the spectrometer and related instruments. Compared with the results at room temperature (RT, PL (1.54μm intensity increased when samples were annealed at 250°C and decreased when at 550°C. A new peak at 1.15μm from silicon (Si appeared in 550°C samples. The Si dopants in ZnO film, either through the diffusion of Si from the substrate or ambient, directly absorbed the energy of pumping light and resulted in the suppression of Er3+ 1.54μm excitation. Furthermore, the energy transmission efficiency between Si and Er3+ was very low when compared with silicon nanocrystal (Si-NC. Both made the PL (1.54μm intensity decrease. All the data in experiments proved the negative effects of Si dopants on PL at 1.54μm. And further research is going on.

  10. Room-temperature annealing of Si implantation damage in InP

    International Nuclear Information System (INIS)

    Akano, U.G.; Mitchell, I.V.

    1991-01-01

    Spontaneous recovery at 295 K of Si implant damage in InP is reported. InP(Zn) and InP(S) wafers of (100) orientation have been implanted at room temperature with 600 keV Si + ions to doses ranging from 3.6x10 11 to 2x10 14 cm -2 . Room-temperature annealing of the resultant damage has been monitored by the Rutherford backscattering/channeling technique. For Si doses ≤4x10 13 cm -2 , up to 70% of the initial damage (displaced atoms) annealed out over a period of ∼85 days. The degree of recovery was found to depend on the initial level of damage. Recovery is characterized by at least two time constants t 1 2 ∼100 days. Anneal rates observed between 295 and 375 K are consistent with an activation energy of 1.2 eV, suggesting that the migration of implant-induced vacancies is associated with the reordering of the InP lattice

  11. On formation of silicon nanocrystals under annealing SiO2 layers implanted with Si ions

    International Nuclear Information System (INIS)

    Kachurin, G.A.; Yanovskaya, S.G.; Volodin, V.A.; Kesler, V.G.; Lejer, A.F.; Ruault, M.-O.

    2002-01-01

    Raman scattering, X-ray photoelectron spectroscopy, and photoluminescence have been used to study the formation of silicon nanocrystals in SiO 2 implanted with Si ions. Si clusters have been formed at once in the postimplanted layers, providing the excessive Si concentration more ∼ 3 at. %. Si segregation with Si-Si 4 bonds formation is enhanced as following annealing temperature increase, however, the Raman scattering by Si clusters diminishes. The effect is explained by a transformation of the chain-like Si clusters into compact phase nondimensional structures. Segregation of Si nanoprecipitates had ended about 1000 deg C, but the strong photoluminescence typical for Si nanocrystals manifested itself only after 1100 deg C [ru

  12. Antiferromagnetic ordering of Er2NiSi3 compound

    International Nuclear Information System (INIS)

    Pakhira, Santanu; Mazumdar, Chandan; Ranganathan, R.

    2014-01-01

    Ternary intermetallics of the stoichiometric composition R 2 TX 3 , where, R = rare earth element, T = d-electron transition metal and X= p-electron element, crystallizes in hexagonal A1B 2 type crystal structure with space group P6/mmm. We report here the synthesis and basic magnetic properties of the compound Er 2 NiSi 3 . Paramagnetic to antiferromagnetic phase change occurs below 5.4 K for this compound. (author)

  13. Effects of recoil-implanted oxygen on depth profiles of defects and annealing processes in P{sup +}-implanted Si studied using monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kitano, Tomohisa; Watanabe, Masahito; Kawano, Takao; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Mikado, Tomohisa

    1996-04-01

    Effects of oxygen atoms recoiled from SiO{sub 2} films on depth profiles of defects and annealing processes in P{sup +}-implanted Si were studied using monoenergetic positron beams. For an epitaxial Si specimen, the depth profile of defects was found to be shifted toward the surface by recoil implantation of oxygen atoms. This was attributed to the formation of vacancy-oxygen complexes and a resultant decrease in the diffusion length of vacancy-type defects. The recoiled oxygen atoms stabilized amorphous regions introduced by P{sup +}-implantation, and the annealing of these regions was observed after rapid thermal annealing (RTA) at 700degC. For a Czochralski-grown Si specimen fabricated by through-oxide implantation, the recoiled oxygen atoms introduced interstitial-type defects upon RTA below the SiO{sub 2}/Si interface, and such defects were dissociated by annealing at 1000degC. (author)

  14. Nanocrystal in Er3+-doped SiO2-ZrO2 Planar Waveguide with Yb3+ Sensitizer

    International Nuclear Information System (INIS)

    Razaki, N. Iznie; Jais, U. Sarah; Abd-Rahman, M. Kamil; Bhaktha, S. N. B.; Chiasera, A.; Ferrari, M.

    2010-01-01

    Higher doping of Er 3+ in glass ceramic waveguides would cause concentration and pair-induced quenching which lead to inhomogeneous line-width of luminescence spectrum thus reduce output intensity. Concentration quenching can be overcome by introducing ZrO 2 in the glass matrix while co-doping with Yb 3+ which acts as sensitizer would improve the excitation efficiency of Er 3+ . In this study, SiO 2 -ZrO 2 planar waveguides having composition in mol percent of 70SiO 2 -30ZrO 2 doped with Er 3+ and co-doped with Yb 3+ , were prepared via sol-gel route. Narrower and shaper peaks of PL and XRD shows the formation of nanocrystals. Intensity is increase with addition amount of Yb 3+ shows sensitizing effect on Er 3+ .

  15. Poly-Si gate engineering for advanced CMOS transistors by germanium implantation

    International Nuclear Information System (INIS)

    Bourdon, H.; Juhel, M.; Oudet, B.; Breil, N.; Lenoble, D.

    2005-01-01

    Standard gate materials are compared to Ge implanted poly-Si and deposited poly-SiGe. It is demonstrated in this paper that the electrical resistance of the gate is significantly reduced via the use of poly-SiGe (from 30% to 40% decrease in resistance). Similarly, we show via specific optimization that localized Ge implantation is also suitable to reduce gate resistance. Physical characterizations are performed to determine the 'root' causes at the origin of these improvements. In line with future publications showing strong benefits on CMOS device performance, grain size effects seem to be the main mechanisms explaining the measured improvement

  16. TEM studies of P+ implanted and subsequently laser annealed Si

    International Nuclear Information System (INIS)

    Sadana, D.K.; Wilson, M.C.; Booker, G.R.; Washburn, J.

    1979-05-01

    The present investigation is concerned with laser annealing of P + implanted Si. The aim of the work was to study the crystallization behavior of damage structure occurring due to high dose rate implantation using transmission electron microscopy (TEM) as the method of examination

  17. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  18. Submicron confinement effect on electrical activation of B implanted in Si

    International Nuclear Information System (INIS)

    Bruno, E.; Mirabella, S.; Impellizzeri, G.; Priolo, F.; Giannazzo, F.; Raineri, V.; Napolitani, E.

    2005-01-01

    In this work we studied the effect of B implantation in Si through submicron laterally confined area on B clustering and its electrical activation. For this study, we implanted B 3 keV into a Si wafer grown by Molecular Beam Epitaxy (MBE) through a patterned oxide mask with opening widths down to 0.38 μm. Then, we annealed the sample at 800 deg. C for several times up to 120 min and monitored the 2D carrier profile by quantitative high resolution Scanning Capacitance Microscopy (SCM). We show that by reducing the opening widths, not only the B clustering is strongly reduced, but also the B cluster dissolution is accelerated. This demonstrates the beneficial role of implanted B confinement on the B electrical activation. The above results have a significant impact in the modern Si based electronic device engineering

  19. Charge accumulation in the buried oxide of SOI structures with the bonded Si/SiO2 interface under γ-irradiation: effect of preliminary ion implantation

    International Nuclear Information System (INIS)

    Naumova, O V; Fomin, B I; Ilnitsky, M A; Popov, V P

    2012-01-01

    In this study, we examined the effect of preliminary boron or phosphorous implantation on charge accumulation in the buried oxide of SOI-MOSFETs irradiated with γ-rays in the total dose range (D) of 10 5 –5 × 10 7 rad. The buried oxide was obtained by high-temperature thermal oxidation of Si, and it was not subjected to any implantation during the fabrication process of SOI structures. It was found that implantation with boron or phosphorous ions, used in fabrication technologies of SOI-MOSFETs, increases the concentration of precursor traps in the buried oxide of SOI structures. Unlike in the case of boron implantation, phosphorous implantation leads to an increased density of states at the Si/buried SiO 2 interface during subsequent γ-irradiation. In the γ-irradiated SOI-MOSFETs, the accumulated charge density and the density of surface states in the Si/buried oxide layer systems both vary in proportion to k i ln D. The coefficients k i for as-fabricated and ion-implanted Si/buried SiO 2 systems were evaluated. From the data obtained, it was concluded that a low density of precursor hole traps was a factor limiting the positive charge accumulation in the buried oxide of as-fabricated (non-implanted) SOI structures with the bonded Si/buried SiO 2 interface. (paper)

  20. Photoluminescence optimization of Er-doped SiO{sub 2} films synthesized by radiofrequency magnetron sputtering with energetic treatments during and after deposition

    Energy Technology Data Exchange (ETDEWEB)

    Cattaruzza, E., E-mail: cattaruz@unive.it; Battaglin, G.; Trave, E.; Visentin, F.

    2011-06-01

    By radiofrequency magnetron sputtering co-deposition we synthesized Er:SiO{sub 2} film 0.5 {mu}m thick on silica substrates, with Er content < 0.3 atomic %. By changing the preparation condition (during deposition we have used an additional negative bias voltage applied to the substrates for inducing a low-energy ion bombardment, with or without a contemporary heating) and by varying the thermal treatment after the synthesis (the best conditions were 1 h in the range 700-800 deg. C, in air) we have obtained an Er:SiO{sub 2} system with an intense photoluminescence emission at {lambda} = 1.54 {mu}m. The best-performing Er:SiO{sub 2} samples obtained by sputtering have shown a photoluminescence response comparable to that of the typical Er:SiO{sub 2} thin film systems obtained by conventional techniques used in applicative framework.

  1. Design and application of ion-implanted polySi passivating contacts for interdigitated back contact c-Si solar cells

    International Nuclear Information System (INIS)

    Yang, Guangtao; Ingenito, Andrea; Hameren, Nienke van; Isabella, Olindo; Zeman, Miro

    2016-01-01

    Ion-implanted passivating contacts based on poly-crystalline silicon (polySi) are enabled by tunneling oxide, optimized, and used to fabricate interdigitated back contact (IBC) solar cells. Both n-type (phosphorous doped) and p-type (boron doped) passivating contacts are fabricated by ion-implantation of intrinsic polySi layers deposited via low-pressure chemical vapor deposition and subsequently annealed. The impact of doping profile on the passivation quality of the polySi doped contacts is studied for both polarities. It was found that an excellent surface passivation could be obtained by confining as much as possible the implanted-and-activated dopants within the polySi layers. The doping profile in the polySi was controlled by modifying the polySi thickness, the energy and dose of ion-implantation, and the temperature and time of annealing. An implied open-circuit voltage of 721 mV for n-type and 692 mV for p-type passivating contacts was achieved. Besides the high passivating quality, the developed passivating contacts exhibit reasonable high conductivity (R sh n-type  = 95 Ω/□ and R sh p-type  = 120 Ω/□). An efficiency of 19.2% (V oc  = 673 mV, J sc  = 38.0 mA/cm 2 , FF = 75.2%, and pseudo-FF = 83.2%) was achieved on a front-textured IBC solar cell with polySi passivating contacts as both back surface field and emitter. By improving the front-side passivation, a V OC of 696 mV was also measured

  2. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  3. A study of vacancy-type defects in B+-implanted SiO2/Si by a slow positron beam

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Sugiura, Jun; Ogasawara, Makoto.

    1989-01-01

    Variable-energy (0∼30 keV) positron beam studies have been carried out on 80 keV B + -implanted SiO 2 (43 nm)/Si specimens. Doppler broadening profiles of the positron annihilation as a function of the incident positron energy were shown to be quite sensitive for the detection of vacancy-type defects introduced by B + -implantation. The average depth of the defected regions was found to shift towards the surface of the specimen with increasing the dose of B + ions. This effect is attributed to the accumulation of vacancy-type defects at the SiO 2 /Si interface. Dominant defect species were identified as vacancy clusters by their annealing stage. (author)

  4. Origin and behavior of main electron traps in Si-implanted GaAs

    International Nuclear Information System (INIS)

    Fang, Z.Q.; Yamamoto, H.; Look, D.C.

    1990-01-01

    The electron traps in Si-implanted active layers (n ∼ 10 17 cm -3 ) have been studied by capacitance and conductance DLTS techniques in conjunction with different anneal conditions, which include rapid thermal anneals at different temperatures and furnace anneals with Si 3 N 4 cap or capless in an AsH 3 atmosphere. As compared to the electron traps in as-grown bulk n-GaAs (n ∼ 4 x 10 16 cm -3 ), nearly the same electron traps, i.e. EL2, EL3, EL4, EL5, EL6, and EL9 can be observed in the Si-implanted layers. Through a comparison with the annealing behavior of the main electron traps in bulk n-GaAs, the processing associated origins of some of the traps (EL2, EL3, EL4, EL5 and EL9) observed in Si-implanted GaAs layers have been determined. For some Si-implanted capped with Si 3 N 4 and furnace annealed, traps EL3 and EL4 dominate the trap EL2. In such layers it is found that emission due to EL3 is reduced while emission from EL12 is augmented by increasing the filling pulse width from 10 μs to 5 x 10 3 μs. In this paper phenomenon is explained in terms of a defect reaction enhanced by electron capture, showing a metastability or bistability

  5. Suppression effect of silicon (Si) on Er{sup 3+} 1.54μm excitation in ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Bo; Lu, Fei, E-mail: lufei@sdu.edu.cn; Fan, Ranran [School of Information Science and Engineering, Shandong University, Jinan, Shandong 250100 (China); Ma, Changdong [Department of Radiation Oncology, Qilu Hospital, Shandong University, Jinan, Shandong 250100 (China)

    2016-08-15

    We have investigated the photoluminescence (PL) characteristics of ZnO:Er thin films on Si (100) single crystal and SiO{sub 2}-on-silicon (SiO{sub 2}) substrates, synthesized by radio frequency magnetron sputtering. Rutherford backscattering/channeling spectrometry (RBS), X-ray diffraction (XRD) and atomic force microscope (AFM) were used to analyze the properties of thin films. The diffusion depth profiles of Si were determined by second ion mass spectrometry (SIMS). Infrared spectra were obtained from the spectrometer and related instruments. Compared with the results at room temperature (RT), PL (1.54μm) intensity increased when samples were annealed at 250°C and decreased when at 550°C. A new peak at 1.15μm from silicon (Si) appeared in 550°C samples. The Si dopants in ZnO film, either through the diffusion of Si from the substrate or ambient, directly absorbed the energy of pumping light and resulted in the suppression of Er{sup 3+} 1.54μm excitation. Furthermore, the energy transmission efficiency between Si and Er{sup 3+} was very low when compared with silicon nanocrystal (Si-NC). Both made the PL (1.54μm) intensity decrease. All the data in experiments proved the negative effects of Si dopants on PL at 1.54μm. And further research is going on.

  6. Location of trapped charge in aluminum-implanted SiO2

    International Nuclear Information System (INIS)

    DiMaria, D.J.; Young, D.R.; Hunter, W.R.; Serrano, C.M.

    1978-01-01

    The position of the centroid of electrons trapped on sites resulting from aluminum implantation into SiO 2 is measured by using the photo I-V technique for energies from 15 to 40 keV, oxide thicknesses from 49 to 140 nm, and post-implant annealing temperature from 600 to 1050 0 C in N 2 for 30 min. The centroid of the trapped electrons is found to be identical to that of the implanted aluminum from SIMS measurements, regardless of annealing temperature from 600 to 1050 0 C, and located closer (by less than 9 nm) to the Al--SiO 2 interface than predicted from the Lindhard-Scharff-Schott (LSS) calculations of Gibbons, Johnson, and Mylroie. Comparison of centroids determined from photo I-V and SIMS measurements as a function of SiO 2 thickness also implies that the distributions of the ions and negative trapped charge are the same. The trapping behavior of these sites is discussed in the accompanying paper by Young et al

  7. Implantation damage in heavy gas implanted 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Nicolaï, J., E-mail: julien.nicolai@univ-poitiers.fr [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Declémy, A. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Gilabert, E. [Centre d’Etude Nucléaire de Bordeaux-Gradignan, 33175 Gradignan Cedex (France); Beaufort, M.-F.; Barbot, J.-F. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France)

    2016-05-01

    Single crystals of SiC were implanted with heavy inert gases (Xe, Ar) at elevated temperatures (300–800 °C) and for a large range of fluence (1 × 10{sup 12}–1 × 10{sup 15} ions cm{sup −2}). Thermodesorption measurements suggest that gas is trapped by implantation-induced vacancy-type defects impeding any gas diffusion. The damage accumulation versus dose was studied through the tensile elastic strain determined by using X-ray diffraction. Results show that at low dose the strain is predictable via a thermally activated direct impact model. The low thermal activation energy at saturation suggests a dynamic recovery process dominated by the migration of interstitial-type defects as its relaxation during post thermal annealing. As compared with light-gas implantation the heavy-gas to defect ratio is low enhancing the formation of strongly perturbed zones rather than the formation of bubble precursors.

  8. Optical performance of thin films produced by the pulsed laser deposition of SiAlON and Er targets

    Energy Technology Data Exchange (ETDEWEB)

    Camps, I., E-mail: camps@io.cfmac.csic.es [Laser Processing Group, Instituto de Óptica, CSIC, C/Serrano 121, 28006 Madrid (Spain); Ramírez, J.M. [MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, c/Martí i Franqués 1, 08028 Barcelona (Spain); Mariscal, A.; Serna, R. [Laser Processing Group, Instituto de Óptica, CSIC, C/Serrano 121, 28006 Madrid (Spain); Garrido, B. [MIND-IN2UB, Departament d’Electrònica, Universitat de Barcelona, c/Martí i Franqués 1, 08028 Barcelona (Spain); Perálvarez, M.; Carreras, J. [IREC, Fundació Privada Institut de Recerca en Energia de Catalunya (Spain); Barradas, N.P.; Alves, L.C. [C" 2TN, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10, 2695-066 Bobadela (Portugal); Alves, E. [IPFN, Instituto Superior Técnico, Universidade de Lisboa, E.N. 10, 2695-066 Bobadela (Portugal)

    2015-05-01

    Highlights: • PLD production of Er-doped thin films from a low cost commercial SiAlON target. • The role of the ablation fluence on the composition, optical properties as well as on the light emission performance at 1.5 μm. • The optimized performance is obtained for the samples deposited at the higher used ablation energy density. Further improvement was achieved through annealing. - Abstract: We report the preparation and optical performance of thin films produced by pulsed laser deposition in vacuum at room temperature, by focusing an ArF excimer laser onto two separate targets: a commercial ceramic SiAlON and a metallic Er target. As a result of the alternate deposition Er:SiAlON films were formed. The as grown films exhibited an Er-related emission peaking at 1532 nm. The role of the PLD energy density during deposition on the final matrix film was investigated, in order to achieve an optimized matrix composition with enhanced optical properties, and its effect on the light emission performance.

  9. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  10. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)

  11. Modification of erbium photoluminescence decay rate due to ITO layers on thin films of SiO{sub 2}:Er doped with Si-nanoclusters

    Energy Technology Data Exchange (ETDEWEB)

    Wojdak, M., E-mail: m.wojdak@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Jayatilleka, H. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Department of Electrical and Computer Engineering, University of Toronto, 10 King' s College Road, Toronto, Ontario, Canada M5S 3G4 (Canada); Shah, M. [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Kenyon, A.J., E-mail: t.kenyon@ucl.ac.uk [Department of Electronic and Electrical Engineering, University College London, Torrington Place, London WC1E 7JE (United Kingdom); Gourbilleau, F.; Rizk, R. [Centre de Recherche sur les Ions, les Matériaux et la Photonique (CIMAP), ENSICAEN, CNRS, CEA/IRAMIS, Université de Caen, 14050 CAEN cedex (France)

    2013-04-15

    During the fabrication of MOS light emitting devices, the thin film of active material is usually characterized by photoluminescence measurements before electrical contacts are deposited. However, the presence of a conductive contact layer can alter the luminescent properties of the active material. The local optical density of states changes due to the proximity of luminescent species to the interface with the conductive medium (the top electrode), and this modifies the radiative rate of luminescent centers within the active layer. In this paper we report enhancement of the observed erbium photoluminescence rate after deposition of indium tin oxide contacts on thin films of SiO{sub 2}:Er containing silicon nanoclusters, and relate this to Purcell enhancement of the erbium radiative rate. -- Highlights: ► We studied photoluminescence of Er in SiO{sub 2} thin films doped with Si nanoclusters. ► Presence of ITO layer on the top enhances photoluminescence decay rate of Er. ► The effect depends on the thickness of active film. ► Radiative rate change in proximity of ITO layer was calculated theoretically. ► The calculation results are compared with the experiment and discussed.

  12. Surface morphologies of excimer-laser annealed BF2+ implanted Si diodes

    International Nuclear Information System (INIS)

    Burtsev, A.; Schut, H.; Nanver, L.K.; Veen, A. van; Slabbekoorn, J.; Scholtes, T.L.M.

    2004-01-01

    Laser-induced surface roughness and damage formation in ultra-shallow n + -p and p + -n junctions, formed by low energy (5 keV) As + and BF 2 + implantations in Si, respectively, with a dose of 1 x 10 15 cm -2 have been investigated by atomic force microscopy (AFM) and Positron Annihilation Doppler Broadening (PADB) technique. The Si surface roughness is found to increase with laser energy density, and reaches a value of 3.5 nm after excimer-laser annealing (ELA) at 1100 mJ/cm 2 . However, anomalous behavior is witnessed for BF 2 + -implanted Si sample at 800 mJ/cm 2 , at which energy very high surface protrusions up to 9 nm high are observed. By PADB this behavior is correlated to extensive deep microcavity formation in the Si whereby the volatile F 2 fraction can accumulate and evaporate/out-diffuse, leading to Si surface roughening. The consequences for the diode characteristics and contact resistivity are examined

  13. Synthesis of metastable A-15 ''Nb3Si'' by ion implantation and on its superconducting transition temperature

    International Nuclear Information System (INIS)

    Clapp, M.T.; Rose, R.M.

    1980-01-01

    The authors have found a new technique for the synthesis of metastable compounds of well-defined composition: namely, ion implantation of a selected element into the desired crystal structure. [M.T. Clapp and R.M. Rose, Appl. Phys. Lett. 33, 205 (1978)]. Starting with a substrate material of A-15 Nb 3 Al/sub 0.9/Si/sub 0.1/, two basic approaches were tried towards the formation of A-15 Nb 3 Si by Si implantation: (1) direct replacement of the Al by Si and (2) implantation into a surface layer depleted of Al. This latter approach proved to be the most successful. It consisted of removing the Al by a diffusion anneal and replacing the Al deficiency by sequential Si implantations. Upon subsequent heat treatment a surface layer of A-15 Nb 3 Al/sub 0.2/Si/sub 0.8/ was produced. Details of the experimental procedure and a discussion of the superconducting transition temperature measurements of the implanted surfaces are presented

  14. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  15. Graphene synthesis on SiC: Reduced graphitization temperature by C-cluster and Ar-ion implantation

    International Nuclear Information System (INIS)

    Zhang, R.; Li, H.; Zhang, Z.D.; Wang, Z.S.; Zhou, S.Y.; Wang, Z.; Li, T.C.; Liu, J.R.; Fu, D.J.

    2015-01-01

    Thermal decomposition of SiC is a promising method for high quality production of wafer-scale graphene layers, when the high decomposition temperature of SiC is substantially reduced. The high decomposition temperature of SiC around 1400 °C is a technical obstacle. In this work, we report on graphene synthesis on 6H–SiC with reduced graphitization temperature via ion implantation. When energetic Ar, C 1 and C 6 -cluster ions implanted into 6H–SiC substrates, some of the Si–C bonds have been broken due to the electronic and nuclear collisions. Owing to the radiation damage induced bond breaking and the implanted C atoms as an additional C source the graphitization temperature was reduced by up to 200 °C

  16. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  17. Critical evaluation and thermodynamic optimisation of the Si-RE systems: Part II. Si-RE system (RE = Gd, Tb, Dy, Ho, Er, Tm, Lu and Y)

    International Nuclear Information System (INIS)

    Kim, Junghwan; Jung, In-Ho

    2015-01-01

    Highlights: • The (Si-RE) (RE = Gd, Tb, Dy, Ho, Er, Tm, Lu and Y) systems have been reviewed. • The thermodynamic optimization of the (Si-RE) systems have been performed. • Systematic changes and similarities in the (Si-RE) systems were found. • The systematic approach resolved inconsistencies in the experimental data. • The systematic approach was used to assess the unexplored phase diagrams. - Abstract: A critical evaluation and optimisation of all available phase diagrams and thermodynamic data of the (Si-RE) (RE = Gd, Tb, Dy, Ho, Er, Tm, Lu and Y) systems was conducted to obtain reliable thermodynamic functions of all the phases in the system. In the thermodynamic modelling, a systematic analysis involving the similarity and periodicity observed in the lanthanide series was applied to resolve inconsistencies in the experimental data and to estimate the unknown thermodynamic properties and phase equilibria data. In particular, the phase diagrams and thermodynamic properties of (Si-Tm) and (Si-Lu) systems which are rarely investigated can be predicted from this approach. Systematic trends in thermodynamic properties of solid and liquid phases and phase diagram of the entire (Si-RE) systems were summarized

  18. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  19. Influence of annealing temperature on erbium ion electroluminescence in Si : (Er,O) diodes with (111) substrate orientation

    CERN Document Server

    Sobolev, N A; Nikolaev, Y A

    2001-01-01

    A study has been made of the influence of temperature of the second annealing that promotes formation of optically and electrically active centers o the erbium ion electroluminescence at lambda approx = 1.54 mu m wavelength in (111) Si : (Er,O) diodes. Doping has been performed by implantation of erbium and oxygen ions at 2.0, 1.6 MeV and 0.28, 0.22 MeV energies and 3 x 10 sup 1 sup 4 cm sup - sup 2 and 3 x 10 sup 1 sup 5 cm sup - sup 2 doses, respectively. The room temperature electroluminescence intensity under the breakdown regime increases with increasing annealing temperature from 700 to 950 deg C. After annealing in the range of 975-1100 deg C, erbium electroluminescence under the breakdown regime is not observed due to appearance of microplasmas. The injection electroluminescence intensity at 80 K decreases with increasing temperature from 700 to 1100 deg C

  20. Defect engineering via ion implantation to control B diffusion in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Xu, M.; Ntzoenzok, E.; Pichaud, B.

    2009-01-01

    The processes which are currently studied in the fabrication of B-doped ultra shallow junctions (USJ) usually involve a preamorphization step to reduce B channelling effect during implantation and to improve B electrical activation. At this stage a high amount of Si interstitial atoms (Is), which dramatically increases the B diffusivity, is introduced. The introduction of voids in Si is a promising tool to control B transient enhanced diffusion (TED), because of their ability to capture Is. In this work the efficiency of a cavity band to reduce B TED is checked in silicon interstitial supersaturation conditions, obtained by high dose Si implantation. He is implanted either at 10 keV or at 50 keV with a fluence of 5 x 10 16 cm -2 . Conventional techniques to introduce and activate the B (conventional ion implantation and rapid thermal annealing (RTA)) are applied in order to have a better control of the technological process to focus on the benefit of the cavity layer. The samples were characterized by cross section transmission electron microscopy (XTEM), secondary ion mass spectroscopy (SIMS) and Hall Effect (HE). The latter shows that good activation of the B is achieved only after 1000 deg. C RTA, though a 900 deg. C RTA is sufficient for implantation-damage recovery, as it is confirmed by XTEM observations. B SIMS profiles show that the band of cavities plays its best effect in reducing B TED when it is located near the surface.

  1. Ion beam synthesis of IrSi3 by implantation of 2 MeV Ir ions

    International Nuclear Information System (INIS)

    Sjoreen, T.P.; Chisholm, M.F.; Hinneberg, H.J.

    1992-11-01

    Formation of a buried IrSi 3 layer in (111) oriented Si by ion implantation and annealing has been studied at an implantation energy of 2 MeV for substrate temperatures of 450--550C. Rutherford backscattering (RBS), ion channeling and cross-sectional transmission electron microscopy showed that a buried epitaxial IrSi 3 layer is produced at 550C by implanting ≥ 3.4 x 10 17 Ir/cm 2 and subsequently annealing for 1 h at 1000C plus 5 h at 1100C. At a dose of 3.4 x 10 17 Ir/cm 2 , the thickness of the layer varied between 120 and 190 nm and many large IrSi 3 precipitates were present above and below the film. Increasing the dose to 4.4 x 10 17 Ir/cm 2 improved the layer uniformity at the expense of increased lattice damage in the overlying Si. RBS analysis of layer formation as a function of substrate temperature revealed the competition between the mechanisms for optimizing surface crystallinity vs. IrSi 3 layer formation. Little apparent substrate temperature dependence was evident in the as-implanted state but after annealing the crystallinity of the top Si layer was observed to deteriorate with increasing substrate temperature while the precipitate coarsening and coalescence improved

  2. Electrical activation of nitrogen heavily implanted 3C-SiC(1 0 0)

    Energy Technology Data Exchange (ETDEWEB)

    Li, Fan, E-mail: f.li.1@warwick.ac.uk [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Sharma, Yogesh; Shah, Vishal; Jennings, Mike [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Pérez-Tomás, Amador [ICN2 – Institut Catala de Nanociència i Nanotecnologia, Campus UAB, 08193 Bellaterra, Barcelona (Spain); Myronov, Maksym [Physics Department, University of Warwick, Coventry CV4 7AL (United Kingdom); Fisher, Craig [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom); Leadley, David [Physics Department, University of Warwick, Coventry CV4 7AL (United Kingdom); Mawby, Phil [School of Engineering, University of Warwick, Coventry CV4 7AL (United Kingdom)

    2015-10-30

    Highlights: • Nitrogen is fully activated by 1175 °C annealing for 1.5 × 10{sup 19} cm{sup −3} doped 3C-SiC. • Free donor concentration is found to readily saturate in 3C-SiC at ∼7 × 10{sup 19} cm{sup −3}. • 3C-SiC is found to have complete donor thermal ionization above 150 K. • Donor in 1.5 × 10{sup 19} cm{sup −3} nitrogen implanted 3C-SiC has an energy level ∼15 meV. • The SiO{sub 2} cap is found to have a bigger influence on low and medium doped samples. - Abstract: A degenerated wide bandgap semiconductor is a rare system. In general, implant levels lie deeper in the band-gap and carrier freeze-out usually takes place at room temperature. Nevertheless, we have observed that heavily doped n-type degenerated 3C-SiC films are achieved by nitrogen implantation level of ∼6 × 10{sup 20} cm{sup −3} at 20 K. According to temperature dependent Hall measurements, nitrogen activation rates decrease with the doping level from almost 100% (1.5 × 10{sup 19} cm{sup −3}, donor level 15 meV) to ∼12% for 6 × 10{sup 20} cm{sup −3}. Free donors are found to saturate in 3C-SiC at ∼7 × 10{sup 19} cm{sup −3}. The implanted film electrical performances are characterized as a function of the dopant doses and post implantation annealing (PIA) conditions by fabricating Van der Pauw structures. A deposited SiO{sub 2} layer was used as the surface capping layer during the PIA process to study its effect on the resultant film properties. From the device design point of view, the lowest sheet resistivity (∼1.4 mΩ cm) has been observed for medium doped (4 × 10{sup 19} cm{sup −3}) sample with PIA 1375 °C 2 h without a SiO{sub 2} cap.

  3. Polarized spectroscopic properties of Er{sup 3+}:Gd{sub 2}SiO{sub 5} crystal and evaluation of Er{sup 3+}:Yb{sup 3+}:Gd{sub 2}SiO{sub 5} crystal as a 1.55 μm laser medium

    Energy Technology Data Exchange (ETDEWEB)

    Wang, H. [Key Laboratory of Optoelectronic Materials Chemistry and Physics, Fujian Institute of Research on the Structure of Matter, Chinese Academy of Sciences, Fuzhou, Fujian 350002 (China); University of Chinese Academy of Sciences, Beijing 100039 (China); Huang, J.H.; Gong, X.H.; Chen, Y.J.; Lin, Y.F.; Luo, Z.D. [Key Laboratory of Optoelectronic Materials Chemistry and Physics, Fujian Institute of Research on the Structure of Matter, Chinese Academy of Sciences, Fuzhou, Fujian 350002 (China); Huang, Y.D., E-mail: huyd@fjirsm.ac.cn [Key Laboratory of Optoelectronic Materials Chemistry and Physics, Fujian Institute of Research on the Structure of Matter, Chinese Academy of Sciences, Fuzhou, Fujian 350002 (China)

    2016-10-01

    An Er{sup 3+}-doped Gd{sub 2}SiO{sub 5} single crystal with high optical quality has been grown by the Czochralski method. Polarized absorption and fluorescence spectra and fluorescence lifetime of the crystal were measured at room temperature. Intensity parameters, spontaneous emission probabilities, fluorescence branching ratios, and radiative lifetimes were estimated on the basis of the Judd–Ofelt theory. Besides, potentiality of 1.55 μm laser emission in an Er{sup 3+}–Yb{sup 3+} co-doped Gd{sub 2}SiO{sub 5} crystal was evaluated.

  4. Single-crystal X-ray diffraction study of Cs{sub 2}Er[Si{sub 6}O{sub 14}]F and Cs{sub 2}Er[Si{sub 4}O{sub 10}]F

    Energy Technology Data Exchange (ETDEWEB)

    Dabic, Predrag; Kremenovic, Aleksandar; Vulic, Predag [Belgrade Univ. (Serbia). Lab. of Crystallography; Kahlenberg, Volker; Schmidmair, Daniela [Innsbruck Univ. (Austria). Inst. of Mineralogy and Petrography

    2016-07-01

    Single-crystal growth experiments in the system CsF-Er{sub 2}O{sub 3}-SiO{sub 2} resulted in the simultaneous crystallization of two chemically related compounds within the same run: Cs{sub 2}Er[Si{sub 6}O{sub 14}]F (phase I) and Cs{sub 2}Er[Si{sub 4}O{sub 10}]F (phase II). They represent the first examples for cesium erbium silicates containing fluorine. Basic crystallographic data are - phase I: space group Cmca, a=17.2556(6) Aa, b=24.6565(7) Aa, c=14.4735(5) Aa, V=6157.9(3) Aa{sup 3}, Z=16; phase II: space group Pnma, a=22.3748(7) Aa, b=8.8390(2) Aa, c=11.9710(4) Aa, V=2367.5(1) Aa{sup 3}, Z=8. The structures were determined by direct methods and refined to residuals of R(vertical stroke F vertical stroke)=0.0229 for 2920 (phase I) and 0.0231 for 2314 (phase II) independent observed reflections with I>2σ(I). The structure of phase I represents a previously unknown structure type with a three dimensional tetrahedral framework consisting of Q{sup 3} and Q{sup 4} groups in the ratio 2:1. Basic building units of the network are unbranched sechser single-chains running parallel to [001]. The network can be conveniently built up from the condensation of tetrahedral layers parallel to (010) or (100), respectively. The crystal structure of phase II can be classified as a tubular or columnar chain silicate indicating that the backbones of the structure are multiple chains of silicate tetrahedra. This structure is isotypic to a Cs{sub 2}Y[Si{sub 4}O{sub 10}]F, a compound that has been characterized previously. Alternatively, both compounds can be described as mixed octahedral-tetrahedral frameworks, which can be classified according to their polyhedral microensembles. A topological analysis of both nets is presented.

  5. Er3+ infrared fluorescence affected by spatial distribution synchronicity of Ba2+ and Er3+ in Er3+-doped BaO–SiO2 glasses

    Directory of Open Access Journals (Sweden)

    Atsunobu Masuno

    2016-02-01

    Full Text Available Glasses with the composition xBaO–(99.9 − xSiO2–0.1ErO3/2 (0 ≤x ≤ 34.9 were fabricated by a levitation technique. The glasses in the immiscibility region were opaque due to chemical inhomogeneity, while the other glasses were colorless and transparent. The scanning electron microscope observations and electron probe microanalysis scan profiles revealed that more Er3+ ions were preferentially distributed in the regions where more Ba2+ ions existed in the chemically inhomogeneous glasses. The synchronicity of the spatial distributions of the two ions initially increased with increasing x and then decreased when the Ba2+ concentration exceeded a certain value. The peak shape and lifetime of the fluorescence at 1.55 μm depended on x as well as the spatial distribution of both ions. These results indicate that although ErOn polyhedra are preferentially coordinated with Ba2+ ions and their local structure is affected by the coordination of Ba2+, there is a maximum in the amount of Ba2+ ions that can coordinate ErOn polyhedra since the available space for Ba2+ ions is limited. These findings provide us with efficient ways to design the chemical composition of glasses with superior Er3+ fluorescence properties for optical communication network systems.

  6. Ion implantation effects in single crystal Si investigated by Raman spectroscopy

    International Nuclear Information System (INIS)

    Harriman, T.A.; Lucca, D.A.; Lee, J.-K.; Klopfstein, M.J.; Herrmann, K.; Nastasi, M.

    2009-01-01

    A study of the effects of Ar ion implantation on the structural transformation of single crystal Si investigated by confocal Raman spectroscopy is presented. Implantation was performed at 77 K using 150 keV Ar ++ with fluences ranging from 2 x 10 13 to 1 x 10 15 ions/cm 2 . The Raman spectra showed a progression from crystalline to highly disordered structure with increasing fluence. The 520 cm -1 c-Si peak was seen to decrease in intensity, broaden and exhibit spectral shifts indicating an increase in lattice disorder and changes in the residual stress state. In addition, an amorphous Si band first appeared as a shoulder on the 520 cm -1 peak and then shifted to lower wavenumbers as a single broadband peak with a spectral center of 465 cm -1 . Additionally, the emergence of the a-Si TA phonon band and the decrease of the c-Si 2TA and 2TO phonon bands also indicated the same structural transition from crystalline to highly disordered. The Raman results were compared to those obtained by channeling RBS.

  7. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  8. Stress in ion-implanted CVD Si3N4 films

    International Nuclear Information System (INIS)

    EerNisse, E.P.

    1977-01-01

    The compressive stress buildup caused in chemical-vapor-deposited (CVD) Si 3 N 4 films by ion implantation is shown to be caused entirely by atomic collision effects, ionization effects being unimportant. The stress introduction rate is shown to be independent of CVD processing variables and O content of the film. The maximum attainable compressive stress change is 3.5 x 10 10 dyn/cm 2 , resulting in a maximum net compressive stress of 2 x 10 10 dyn/cm 2 for films on Si where the as-deposited films inherently have 1.5 x 10 10 dyn/cm 2 tensile stress before ion implantation. Results are presented which show that O in the films inhibits thermal annealing of the ion-implantation-induced compressive stress. Results for introduction rate and annealing effects are presented in normalized form so that workers can use the effects for intentional stress level adjustment in the films to reduce probability of cracking and detachment

  9. Surface damage versus defect microstructures in He and H ion co-implanted Si{sub 3}N{sub 4}/Si

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, F. [School of Science, Tianjin University, Tianjin 300072 (China); Liu, C.L., E-mail: liuchanglong@tju.edu.cn [School of Science, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Low Dimensional Materials Physics and Preparing Technology, Institute of Advanced Materials Physics Faculty of Science, Tianjin 300072 (China); Gao, Y.J.; Wang, Z.; Wang, J. [School of Science, Tianjin University, Tianjin 300072 (China)

    2012-09-01

    Cz n-type Si (1 0 0) wafers with a top Si{sub 3}N{sub 4} layer of about 170 nm in thickness were sequentially implanted with 40 keV He ions at a fluence of 5 Multiplication-Sign 10{sup 16}/cm{sup 2} and 35 keV H ions at fluences of 1 Multiplication-Sign 10{sup 15}, 5 Multiplication-Sign 10{sup 15} and 1 Multiplication-Sign 10{sup 16}/cm{sup 2}, respectively. Creation and evolution of surface damage as well as micro-defects have been studied. Our results clearly show that production of surface damage depends strongly on both the H implant fluence and annealing temperature. Only blistering or localized exfoliation of the top Si{sub 3}N{sub 4} layer has been observed for post H implantation at fluences of 1 Multiplication-Sign 10{sup 15} and 5 Multiplication-Sign 10{sup 15}/cm{sup 2} upon 800 Degree-Sign C annealing. However, serious surface exfoliation has been found for the 1 Multiplication-Sign 10{sup 16}/cm{sup 2} H co-implanted samples after annealing at 450 Degree-Sign C and above. The exfoliation occurs at a depth of about 360 nm from the surface, which is obviously larger than the He or H ion range. Moreover, the exfoliated craters show clear two-step structures. Cross-sectional transmission electron microscopy (XTEM) observations reveal formation of micro-cracks in Si bulk and along the original interface, which is mainly responsible for the observed surface phenomena. The formation mechanism of micro-cracks has been discussed in combination of He and H implant-induced defects, impurities as well as their interactions upon annealing.

  10. MeV ion irradiation effects on the luminescence properties of Si-implanted SiO{sub 2}-thin films

    Energy Technology Data Exchange (ETDEWEB)

    Chulapakorn, T.; Primetzhofer, D. [Uppsala University, Department of Physics and Astronomy, P.O. Box 516, 751 20 Uppsala (Sweden); Sychugov, I.; Suvanam, S.S.; Linnros, J.; Hallen, A. [Royal Institute of Technology (KTH), School of Information and Communication Technology, P.O. Box Electrum 229, 164 40 Kista (Sweden)

    2016-12-15

    The effects of MeV heavy ion irradiation at varying fluence and flux on excess Si, introduced in SiO{sub 2} by keV ion implantation, are investigated by photoluminescence (PL). From the PL peak wavelength (λ) and decay lifetime (τ), two PL sources are distinguished: (i) quasi-direct recombination of excitons of Si-nanoparticles (SiNPs), appearing after thermal annealing (λ > 720 nm, τ ∝ μs), and (ii) fast-decay PL, possibly due to oxide-related defects (λ ∝ 575-690 nm, τ ∝ ns). The fast-decay PL (ii) observed before and after ion irradiation is induced by ion implantation. It is found that this fast-decay luminescence decreases for higher irradiation fluence of MeV heavy ions. After thermal annealing (forming SiNPs), the SiNP PL is reduced for samples irradiated by MeV heavy ions but found to stabilize at higher level for higher irradiation flux; the (ii) band vanishes as a result of annealing. The results are discussed in terms of the influence of electronic and nuclear stopping powers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Interaction of Ce{sub 1−x}Er{sub x}O{sub 2−y} nanoparticles with SiO{sub 2}-effect of temperature and atmosphere

    Energy Technology Data Exchange (ETDEWEB)

    Kepinski, L., E-mail: L.Kepinski@int.pan.wroc.pl; Krajczyk, L.; Mista, W.

    2014-01-15

    Morphology, microstructure and phase evolution of homogeneous, nanocrystalline Ce{sub 1−x}Er{sub x}O{sub 2−x/2} mixed oxide (x=0.3 and 0.5), prepared by microemulsion method, supported on amorphous SiO{sub 2} was studied in oxidizing and reducing atmosphere by XRD, TEM, SEM-EDS and N{sub 2} adsorption. The system is structurally and chemically stable in the oxidizing atmosphere up to 1000 °C, exhibiting only a small increase of the mean crystallite size of the oxide to ∼4 nm. At 1100 °C formation of Er silicate with unusual structure isomorphic with y-Y{sub 2}Si{sub 2}O{sub 7} (yttrialite), stabilized by Ce{sup 4+} ions was observed. In the reducing atmosphere the Ce{sub 1−x}Er{sub x}O{sub 2−x/2} reacted with SiO{sub 2} already at 900 °C, due to high affinity of the reduced Ce{sup 3+} to form a silicate phase. At higher temperature the silicate crystallized into the tetragonal, low temperature A-(Ce{sub 1−x}Er{sub x}){sub 2}Si{sub 2}O{sub 7} polymorph. Such systems, containing nanocrystalline silicate particles with Er{sup 3+} ions placed in well defined sites embedded in silica matrix, may be interesting as highly efficient active components of optical waveguides amplifiers integrated with Si microelectronics. The nanocrystalline Ce–Er–O/SiO{sub 2} system prepared by the impregnation of the silica with the aqueous solution of nitrates appeared to be chemically inhomogeneous and less stable in both oxidising and reducing atmosphere. - Graphical abstract: Structure evolution of Ce{sub 0.5}Er{sub 0.5}O{sub 1.75} in air and in H{sub 2}. Display Omitted - Highlights: • Homogeneous 3 nm Ce{sub 1−x}Er{sub x}O{sub 2−y} particles were prepared and uniformly dispersed on SiO{sub 2}. • Er diffusion to SiO{sub 2} determines the stability of the mixed oxide in air to ∼1000 °C. • Spreading of Ce{sub 1−x}Er{sub x}O{sub 2−y} onto SiO{sub 2} occurs in hydrogen at 900 °C. • Nanocrystalline A-(Ce,Er){sub 2}Si{sub 2}O{sub 7} silicate forms in H

  12. IBC c-Si solar cells based on ion-implanted poly-silicon passivating contacts

    NARCIS (Netherlands)

    Yang, G.; Ingenito, A.; Isabella, O.; Zeman, M.

    2016-01-01

    Ion-implanted poly-crystalline silicon (poly-Si), in combination with a tunnel oxide layer, is investigated as a carrier-selective passivating contact in c-Si solar cells based on an interdigitated back contact (IBC) architecture. The optimized poly-Si passivating contacts enable low interface

  13. Comparison of thermally and mechanically induced Si layer transfer in hydrogen-implanted Si wafers

    International Nuclear Information System (INIS)

    Hoechbauer, T.; Misra, A.; Nastasi, M.; Henttinen, K.; Suni, T.; Suni, I.; Lau, S.S.; Ensinger, W.

    2004-01-01

    Hydrogen ion-implantation into Si and subsequent heat treatment has been shown to be an effective means of cleaving thin layer of Si from its parent wafer. This process has been called Smart Cut TM or ion-cut. We investigated the cleavage process in H-implanted silicon samples, in which the ion-cut was provoked thermally and mechanically, respectively. A oriented p-type silicon wafer was irradiated at room temperature with 100 keV H 2 + -ions to a dose of 5 x 10 16 H 2 /cm 2 and subsequently joined to a handle wafer. Ion-cutting was achieved by two different methods: (1) thermally by annealing to 350 deg. C and (2) mechanically by insertion of a razor blade sidewise into the bonded wafers near the bond interface. The H-concentration and the crystal damage depth profiles before and after the ion-cut were investigated through the combined use of elastic recoil detection analysis and Rutherford backscattering spectroscopy (RBS). The location at which the ion-cut occurred was determined by RBS in channeling mode and cross-section transmission electron spectroscopy. The ion-cut depth was found to be independent on the cutting method. The gained knowledge was correlated to the depth distribution of the H-platelet density in the as-implanted sample, which contains two separate peaks in the implantation zone. The obtained results suggest that the ion-cut location coincides with the depth of the H-platelet density peak located at a larger depth

  14. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  15. Therapeutic Silencing of Bcl-2 by Systemically Administered siRNA Nanotherapeutics Inhibits Tumor Growth by Autophagy and Apoptosis and Enhances the Efficacy of Chemotherapy in Orthotopic Xenograft Models of ER (− and ER (+ Breast Cancer

    Directory of Open Access Journals (Sweden)

    Ibrahim Tekedereli

    2013-01-01

    Full Text Available Bcl-2 is overexpressed in about a half of human cancers and 50–70% of breast cancer patients, thereby conferring resistance to conventional therapies and making it an excellent therapeutic target. Small interfering RNA (siRNA offers novel and powerful tools for specific gene silencing and molecularly targeted therapy. Here, we show that therapeutic silencing of Bcl-2 by systemically administered nanoliposomal (NL-Bcl-2 siRNA (0.15 mg siRNA/kg, intravenous twice a week leads to significant antitumor activity and suppression of growth in both estrogen receptor-negative (ER(− MDA-MB-231 and ER-positive (+ MCF7 breast tumors in orthotopic xenograft models (P < 0.05. A single intravenous injection of NL-Bcl-2-siRNA provided robust and persistent silencing of the target gene expression in xenograft tumors. NL-Bcl-2-siRNA treatment significantly increased the efficacy of chemotherapy when combined with doxorubicin in both MDA-MB-231 and MCF-7 animal models (P < 0.05. NL-Bcl-2-siRNA treatment-induced apoptosis and autophagic cell death, and inhibited cyclin D1, HIF1α and Src/Fak signaling in tumors. In conclusion, our data provide the first evidence that in vivo therapeutic targeting Bcl-2 by systemically administered nanoliposomal-siRNA significantly inhibits growth of both ER(− and ER(+ breast tumors and enhances the efficacy of chemotherapy, suggesting that therapeutic silencing of Bcl-2 by siRNA is a viable approach in breast cancers.

  16. Characterization of the implantation damage in SiO2 with x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Ajioka, T.; Ushio, S.

    1986-01-01

    X-ray photoelectron spectroscopy (XPS) has been applied to characterize the damage introduced into SiO 2 by ion implantation. By measuring the peak width of Si/sub 2p/ from SiO 2 which corresponds to perturbation of the SiO 2 network, good depth profiles of the damage have been obtained for implanted samples and subsequently annealed samples. The results show that the damage distributed more widely than that calculated from energy deposition and that the perturbation of the network is caused not only by radiation damage but also by the existence of impurities in the network. It has been found that the XPS method is effective to understand the atomic structure, and thus, electrical properties of SiO 2

  17. Spatially Controlled Delivery of siRNAs to Stem Cells in Implants Generated by Multi-Component Additive Manufacturing

    DEFF Research Database (Denmark)

    Andersen, Morten Østergaard; Le, Dang Quang Svend; Chen, Muwan

    2013-01-01

    Additive manufacturing is a promising technique in tissue engineering, as it enables truly individualized implants to be made to fit a particular defect. As previously shown, a feasible strategy to produce complex multicellular tissues is to deposit different small interfering RNA (siRNA) in porous...... implants that are subsequently sutured together. In this study, an additive manufacturing strategy to deposit carbohydrate hydrogels containing different siRNAs is applied into an implant, in a spatially controlled manner. When the obtained structures are seeded with mesenchymal stem (stromal) cells......, the selected siRNAs are delivered to the cells and induces specific and localized gene silencing. Here, it is demonstrated how to replicate part of a patient's spinal cord from a computed tomography scan, using an additive manufacturing technique to produce an implant with compartmentalized si...

  18. Dual-Functionalized Graphene Oxide Based siRNA Delivery System for Implant Surface Biomodification with Enhanced Osteogenesis.

    Science.gov (United States)

    Zhang, Li; Zhou, Qing; Song, Wen; Wu, Kaimin; Zhang, Yumei; Zhao, Yimin

    2017-10-11

    Surface functionalization by small interfering RNA (siRNA) is a novel strategy for improved implant osseointegration. A gene delivery system with safety and high transfection activity is a crucial factor for an siRNA-functionalized implant to exert its biological function. To this end, polyethylene glycol (PEG) and polyethylenimine (PEI) dual-functionalized graphene oxide (GO; nGO-PEG-PEI) may present a promising siRNA vector. In this study, nanosized nGO-PEG-PEI was prepared and optimized for siRNA delivery. Titania nanotubes (NTs) fabricated by anodic oxidation were biomodified with nGO-PEG-PEI/siRNA by cathodic electrodeposition, designated as NT-GPP/siRNA. NT-GPP/siRNA possessed benign cytocompatibility, as evaluated by cell adhesion and proliferation. Cellular uptake and knockdown efficiency of the NT-GPP/siRNA were assessed by MC3T3-E1 cells, which exhibited high siRNA delivery efficiency and sustained target gene silencing. Casein kinase-2 interacting protein-1 (Ckip-1) is a negative regulator of bone formation. siRNA-targeting Ckip-1 (siCkip-1) was introduced to the implant, and a series of in vitro and in vivo experiments were carried out to evaluate the osteogenic capacity of NT-GPP/siCkip-1. NT-GPP/siCkip-1 dramatically improved the in vitro osteogenic differentiation of MC3T3-E1 cells in terms of improved osteogenesis-related gene expression, and increased alkaline phosphatase (ALP) production, collagen secretion, and extracellular matrix (ECM) mineralization. Moreover, NT-GPP/siCkip-1 led to apparently enhanced in vivo osseointegration, as indicated by histological staining and EDX line scanning. Collectively, these findings suggest that NT-GPP/siRNA represents a practicable and promising approach for implant functionalization, showing clinical potential for dental and orthopedic applications.

  19. Spectroscopic and structural properties of polycrystalline Y{sub 2}Si{sub 2}O{sub 7} doped with Er{sup 3+}

    Energy Technology Data Exchange (ETDEWEB)

    Marciniak, L., E-mail: L.Marciniak@int.pan.wroc.pl [Institute for Low Temperature and Structure Research, Polish Academy of Sciences, Wroclaw (Poland); Hreniak, D.; Strek, W. [Institute for Low Temperature and Structure Research, Polish Academy of Sciences, Wroclaw (Poland); Piccinelli, F., E-mail: fabio.piccinelli@univr.it [Laboratorio di Chimica dello Stato Solido, DB, Università di Verona and INSTM, UdR Verona, Strada Le Grazie 15, 37134 Verona (Italy); Speghini, A.; Bettinelli, M. [Laboratorio di Chimica dello Stato Solido, DB, Università di Verona and INSTM, UdR Verona, Strada Le Grazie 15, 37134 Verona (Italy); Miritello, M., E-mail: maria.miritello@ct.infn.it [CNR-IMM MATIS and Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy); Lo Savio, R.; Cardile, P.; Priolo, F. [CNR-IMM MATIS and Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy)

    2016-02-15

    Powders of yttrium disilicate (Y{sub 2}Si{sub 2}O{sub 7}) doped with Er{sup 3+} have been prepared by the sol–gel method. The structure of the obtained powders has been determined. Room temperature emission spectra have been recorded and excited state decay profiles have been analyzed. Differences between the spectroscopic properties of Er{sup 3+} in monoclinic α-Y{sub 2}Si{sub 2}O{sub 7} (space group P-1) and β-Y{sub 2}Si{sub 2}O{sub 7} (space group C2/m) polymorphs have been investigated and shown. The significant broadening of the emission spectra recorded for the α phase compared to the one for the β phase was discussed in terms of higher number of Y{sup 3+} sites (4) present in the α phase with respect to only one Y{sup 3+} site in the case of β phase. The higher value of the luminescence decay time of β phase (11.2 ms) compared to the α phase (8.5 ms) is associated with the higher site symmetry of β-Y{sub 2}Si{sub 2}O{sub 7}. Moreover it was found that Er{sup 3+} concentration affects the shape of the {sup 4}I{sub 13/2}→{sup 4}I{sub 15/2} emission band. It results in changes of the relative emission intensities of peaks localized at 1527 nm and 1532 nm; this indicates changes of the Y{sup 3+} sites occupation on increasing the Er{sup 3+} concentration. The luminescence lifetime was observed to decrease with the increase of Er{sup 3+} concentration. The spectroscopic results have been compared with the ones relative to thin films of Y{sub 2}Si{sub 2}O{sub 7}:Er{sup 3+} with a similar composition. The lower value of the luminescence decay time observed for thin films compared to the powder of α phase was explained with the changes of the particles packing resulting in the change of the effective refractive index.

  20. Low temperature intermediate band metallic behavior in Ti implanted Si

    Energy Technology Data Exchange (ETDEWEB)

    Olea, Javier, E-mail: oleaariza@fis.ucm.es; Pastor, David; Garcia-Hemme, Eric; Garcia-Hernansanz, Rodrigo; Prado, Alvaro del; Martil, Ignacio; Gonzalez-Diaz, German

    2012-08-31

    Si samples implanted with very high Ti doses and subjected to Pulsed-Laser Melting (PLM) have been electrically analyzed in the scope of a two-layer model previously reported based on the Intermediate Band (IB) theory. Conductivity and Hall effect measurements using the van der Pauw technique suggest that the insulator-metal transition takes place for implantation doses in the 10{sup 14}-10{sup 16} cm{sup -2} range. Results of the sample implanted with the 10{sup 16} cm{sup -2} dose show a metallic behavior at low temperature that is explained by the formation of a p-type IB out of the Ti deep levels. This suggests that the IB would be semi-filled, which is essential for IB photovoltaic devices. - Highlights: Black-Right-Pointing-Pointer We fabricated high dose Ti implanted Si samples for intermediate band research. Black-Right-Pointing-Pointer We measured the electronic transport properties in the 7-300 K range. Black-Right-Pointing-Pointer We show an insulator to metallic transition when the intermediate band is formed. Black-Right-Pointing-Pointer The intermediate band is semi-filled and populated by holes. Black-Right-Pointing-Pointer We satisfactorily explain the electrical behavior by an intermediate band model.

  1. Lattice location of implanted transition metals in 3C–SiC

    CERN Document Server

    AUTHOR|(CDS)2085259; Wahl, Ulrich; Martins Correia, Joao; David Bosne, Eric; Amorim, Lígia; Silva, Daniel; Castro Ribeiro Da Silva, Manuel; Bharuth-Ram, Krishanlal; Da Costa Pereira, Lino Miguel

    2017-01-01

    We have investigated the lattice location of implanted transition metal (TM) 56Mn, 59Fe and 65Ni ions in undoped single-crystalline cubic 3C–SiC by means of the emission channeling technique using radioactive isotopes produced at the CERN-ISOLDE facility. We find that in the room temperature as-implanted state, most Mn, Fe and Ni atoms occupy carbon-coordinated tetrahedral interstitial sites (TC). Smaller TM fractions were also found on Si substitutional (SSi) sites. The TM atoms partially disappear from ideal-TC positions during annealing at temperatures between 500 °C and 700 °C, which is accompanied by an increase in the TM fraction occupying both SSi sites and random sites. An explanation is given according to what is known about the annealing mechanisms of silicon vacancies in silicon carbide. The origin of the observed lattice sites and their changes with thermal annealing are discussed and compared to the case of Si, highlighting the feature that the interstitial migration of TMs in SiC is much slo...

  2. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  3. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  4. Resistivity and morphology of TiSi2 formed on Xe+-implanted polycrystalline silicon

    International Nuclear Information System (INIS)

    Kuwano, H.; Phillips, J.R.; Mayer, J.W.

    1990-01-01

    Xe ion irradiation of polycrystalline silicon before Ti deposition is found to affect subsequent silicide formation. Silicide films were prepared by implanting 60, 100, or 240 keV Xe + ions into 500-nm-thick undoped polycrystalline silicon before depositing Ti and annealing in vacuum. Preimplantation altered the subsequent silicide resistivity, x-ray diffraction patterns, and morphology as compared to films prepared on unimplanted polycrystalline Si substrates. We found that minimal TiSi 2 resistivities were achieved at lower temperatures with preimplantation, indicating that the Xe-implanted substrate promotes a lower temperature transition from the metastable C49 phase to the low-resistivity equilibrium C54 phase of TiSi 2 . X-ray diffraction results confirmed the lower temperature formation of the C54 phase with preimplantation. Low-temperature annealing (650 degree C, 30 min) of 6x10 16 cm -2 , 240 keV Xe + -implanted samples yielded low-resistivity (∼22 μΩ cm) silicide films, while simultaneously annealed samples without preimplantation had resistivity five times higher. Lower doses were effective at lower implant energies, with low resistivity achieved after 725 degree C, 30 min annealing for 2x10 15 cm -2 , 60 keV Xe + preimplantation

  5. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  6. Segregation gettering by implantation-formed cavities and B-Si precipitates in silicon

    International Nuclear Information System (INIS)

    Myers, S.M.; Petersen, G.A.; Follstaedt, D.M.

    1998-01-01

    The authors show that Fe, Co, Cu, and Au in Si undergo strong segregation gettering to cavities and B-Si precipitates formed by He or B ion implantation and annealing. The respective mechanisms are argued to be chemisorption on the cavity walls and occupation of solution sites within the disordered, B-rich, B-Si phase. The strengths of the reactions are evaluated, enabling prediction of gettering performance

  7. Si exfoliation by MeV proton implantation

    International Nuclear Information System (INIS)

    Braley, Carole; Mazen, Frédéric; Tauzin, Aurélie; Rieutord, François; Deguet, Chrystel; Ntsoenzok, Esidor

    2012-01-01

    Proton implantation in silicon and subsequent annealing are widely used in the Smart Cut™ technology to transfer thin layers from a substrate to another. The low implantation energy range involved in this process is usually from a few ten to a few hundred of keV, which enables the separation of up to 2 μm thick layers. New applications in the fields of 3D integration and photovoltaic wafer manufacturing raise the demand for extending this technology to higher energy in order to separate thicker layer from a substrate. In this work, we propose to investigate the effect of proton implantation in single crystalline silicon in the 1–3 MeV range which corresponds to a 15–100 μm range for the hydrogen maximum concentration depth. We show that despites a considerably lower hydrogen concentration at R p , the layer separation is obtained with fluence close to the minimum fluence required for low energy implantation. It appears that the fracture propagation in Si and the resulting surface morphology is affected by the substrate orientation. Defects evolution is investigated with Fourier Transform Infrared Spectroscopy. The two orientations reveal similar type of defects but their evolution under annealing appears to be different.

  8. Positron annihilation studies of silicon-rich SiO2 produced by high dose ion implantation

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Corni, F.; Tonini, R.

    1997-01-01

    Positron annihilation spectroscopy (PAS) is used to study Si-rich SiO 2 samples prepared by implantation of Si (160 keV) ions at doses in the range 3x10 16 endash 3x10 17 cm -2 and subsequent thermal annealing at high temperature (up to 1100 degree C). Samples implanted at doses higher than 5x10 16 cm -2 and annealed above 1000 degree C showed a PAS spectrum with an annihilation peak broader than the unimplanted sample. We discuss how these results are related to the process of silicon precipitation inside SiO 2 . copyright 1997 American Institute of Physics

  9. Ion-implanted Si-nanostructures buried in a SiO{sub 2} substrate studied with soft-x-ray spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Williams, R.; Rubensson, J.E.; Eisebitt, S. [Forschungszentrum Juelich (Germany)] [and others

    1997-04-01

    In recent years silicon nanostructures have gained great interest because of their optical luminescence, which immediately suggests several applications, e.g., in optoelectronic devices. Nanostructures are also investigated because of the fundamental physics involved in the underlying luminescence mechanism, especially attention has been drawn to the influence of the reduced dimensions on the electronic structure. The forming of stable and well-defined nanostructured materials is one goal of cluster physics. For silicon nanostructures this goal has so far not been reached, but various indirect methods have been established, all having the problem of producing less well defined and/or unstable nanostructures. Ion implantation and subsequent annealing is a promising new technique to overcome some of these difficulties. In this experiment the authors investigate the electronic structure of ion-implanted silicon nanoparticles buried in a stabilizing SiO{sub 2} substrate. Soft X-ray emission (SXE) spectroscopy features the appropriate information depth to investigate such buried structures. SXE spectra to a good approximation map the local partial density of occupied states (LPDOS) in broad band materials like Si. The use of monochromatized synchrotron radiation (MSR) allows for selective excitation of silicon atoms in different chemical environments. Thus, the emission from Si atom sites in the buried structure can be separated from contributions from the SiO{sub 2} substrate. In this preliminary study strong size dependent effects are found, and the electronic structure of the ion-implanted nanoparticles is shown to be qualitatively different from porous silicon. The results can be interpreted in terms of quantum confinement and chemical shifts due to neighboring oxygen atoms at the interface to SiO{sub 2}.

  10. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  11. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  12. Micro-Raman depth profile investigations of beveled Al+-ion implanted 6H-SiC samples

    International Nuclear Information System (INIS)

    Zuk, J.; Romanek, J.; Skorupa, W.

    2009-01-01

    6H-SiC single crystals were implanted with 450 keV Al + -ions to a fluence of 3.4 x 10 15 cm -2 , and in a separate experiment subjected to multiple Al + implantations with the four energies: 450, 240, 115 and 50 keV and different fluences to obtain rectangular-like depth distributions of Al in SiC. The implantations were performed along [0 0 0 1] channeling and non-channeling ('random') directions. Subsequently, the samples were annealed for 10 min at 1650 deg. C in an argon atmosphere. The depth profiles of the implanted Al atoms were obtained by secondary ion mass spectrometry (SIMS). Following implantation and annealing, the samples were beveled by mechanical polishing. Confocal micro-Raman spectroscopic investigations were performed with a 532 nm wavelength laser beam of a 1 μm focus diameter. The technique was used to determine precisely the depth profiles of TO and LO phonon lines intensity in the beveled samples to a depth of about 2000 nm. Micro-Raman spectroscopy was also found to be useful in monitoring very low levels of disorder remaining in the Al + implanted and annealed 6H-SiC samples. The micro-Raman technique combined with sample beveling also made it possible the determination of optical absorption coefficient profiles in implanted subsurface layers.

  13. High-current and low acceleration voltage arsenic ion implanted polysilicon-gate and source-drain electrode Si mos transistor

    International Nuclear Information System (INIS)

    Saito, Yasuyuki; Sugimura, Yoshiro; Sugihara, Michiyuki

    1993-01-01

    The fabrication process of high current arsenic (As) ion implanted polysilicon (Si) gate and source drain (SD) electrode Si n-channel metal oxide-semiconductor field effect transistor (MOSFET) was examined. Poly Si film n-type doping was performed by using high current (typical current: 2mA) and relatively low acceleration voltage (40keV) As ion implantation technique (Lintott series 3). It was observed that high dose As implanted poly Si films as is show refractoriness against radical fluorine excited by microwave. Using GCA MANN4800 (m/c ID No.2, resist: OFPR) mask pattern printing technique, the high current As ion implantation technique and radical fluorine gas phase etching (Chemical dry etching: CDE) technique, the n-channel Poly Si gate (ρs = ≅100Ω/□) enhancement MQSFETs(ρs source drain = ≅50Ω/□, SiO 2 gate=380 angstrom) with off-leak-less were obtained on 3 inch Czochralski grown 2Ωcm boron doped p type wafers (Osaka titanium). By the same process, a 8 bit single chip μ-processor with 26MHz full operation was performed

  14. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0SiO/sub x/ transition region between SiO/sub 2/ and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide.

  15. Diffusion of Ag, Au and Cs implants in MAX phase Ti3SiC2

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin; Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R.; Zhang, Chonghong; Gou, Jie

    2015-05-16

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti3SiC2/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including x-ray diffraction, electron backscatter diffraction, energy dispersive x-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti3SiC2 within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti3SiC2 was also observed. Cs out-diffusion and release from Ti3SiC2 occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  16. Si diffusion in compositional disordering of Si-implanted GaAs/AlGaAs superlattices induced by rapid thermal annealing

    International Nuclear Information System (INIS)

    Uematsu, Masashi; Yanagawa, Fumihiko

    1988-01-01

    The Si diffusion in Si-implanted GaAs/Al 0.5 Ga 0.5 As superlattices intermixed in the disrodering process induced by rapid thermal annealing (RTA), is investigated by means of secondary ion mass spectroscopy (SIMS). The SIMS profiles indicate that no fast Si diffusion occurs during the disordering, and the disordering occurs when the Si concentration exceeds 1 x 10 19 cm -3 , which is about three times larger than the threshold value for the disordering by furnace annealing (FA). The number of Si atoms which are allowed to pass through the heterointerface is considered to be essential for disordering. (author)

  17. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  18. Chemical effect of Si+ ions on the implantation-induced defects in ZnO studied by a slow positron beam

    Science.gov (United States)

    Jiang, M.; Wang, D. D.; Chen, Z. Q.; Kimura, S.; Yamashita, Y.; Mori, A.; Uedono, A.

    2013-01-01

    Undoped ZnO single crystals were implanted with 300 keV Si+ ions to a dose of 6 × 1016 cm-2. A combination of X-ray diffraction (XRD), positron annihilation, Raman scattering, high resolution transmission electron microscopy (HRTEM), and photoluminescence (PL) was used to study the microstructure evolution after implantation and subsequent annealing. A very large increase of Doppler broadening S parameters in Si+-implanted region was detected by using a slow positron beam, indicating that vacancy clusters or microvoids are induced by implantation. The S parameters increase further after annealing up to 700 °C, suggesting agglomeration of these vacancies or microvoids to larger size. Most of these defects are removed after annealing up to 1100 °C. The other measurements such as XRD, Raman scattering, and PL all indicate severe damage and even disordered structure induced by Si+ implantation. The damage and disordered lattice shows recovery after annealing above 700 °C. Amorphous regions are observed by HRTEM measurement, directly testifies that amorphous phase is induced by Si+ implantation in ZnO. Analysis of the S - W correlation and the coincidence Doppler broadening spectra gives direct evidence of SiO2 precipitates in the sample annealed at 700 °C, which strongly supports the chemical effect of Si ions on the amorphization of ZnO lattice.

  19. Simulation studies of the n{sup +}n{sup -} Si sensors having p-spray/p-stop implant for the SiD experiment

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Pooja; Ranjan, Kirti [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Bhardwaj, Ashutosh, E-mail: abhardwaj@physics.du.ac.in [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India); Shivpuri, R.K.; Bhattacharya, Satyaki [Centre for Detector and Related Software Technology, Department of Physics and Astrophysics, University of Delhi, Delhi 110007 (India)

    2011-12-01

    Silicon Detector (SiD) is one of the proposed detectors for the future International Linear Collider (ILC). In the innermost vertex of the ILC, Si micro-strip sensors will be exposed to the neutron background of around 1-1.6 Multiplication-Sign 10{sup 10} 1 MeV equivalent neutrons cm{sup -2} year{sup -1}. The p{sup +}n{sup -}n{sup +} double-sided Si strip sensors are supposed to be used as position sensitive sensors for SiD. The shortening due to electron accumulation on the n{sup +}n{sup -} side of these sensors leads to uniform spreading of signal over all the n{sup +} strips and thus ensuring good isolation between the n{sup +} strips becomes one of the major issues in these sensors. One of the possible solutions is the use of floating p-type implants introduced between the n{sup +} strips (p-stops) and another alternative is the use of uniform layer of p-type implant on the entire n-side (p-spray). However, pre-breakdown micro-discharge is reported because of the high electric field at the edge of the p-stop/p-spray. An optimization of the implant dose profile of the p-stop and p-spray is required to achieve good electrical isolation while ensuring satisfactory breakdown performance of the Si sensors. Preliminary results of the simulation study performed on the n{sup +}n{sup -} Si sensors having p-stop and p-spray using device simulation program, ATLAS, are presented.

  20. Ion beam synthesis of buried single crystal erbium silicide

    International Nuclear Information System (INIS)

    Golanski, A.; Feenstra, R.; Galloway, M.D.; Park, J.L.; Pennycook, S.J.; Harmon, H.E.; White, C.W.

    1990-01-01

    High doses (10 16 --10 17 /cm 2 ) of 170 keV Er + were implanted into single-crystal left-angle 111 right-angle Si at implantation temperatures between 350 degree C and 520 degree C. Annealing at 800 degree C in vacuum following the implant, the growth and coalescence of ErSi 2 precipitates leads to a buried single crystalline ErSi 2 layer. This has been studied using Rutherford backscattering/channeling, X-ray diffraction, cross-sectional TEM and resistance versus temperature measurements. Samples implanted at 520 degree C using an Er dose of 7 x 10 16 /cm 2 and thermally annealed were subsequently used as seeds for the mesoepitaxial growth of the buried layer during a second implantation and annealing process. Growth occurs meso-epitaxially along both interfaces through beam induced, defect mediated mobility of Er atoms. The crystalline quality of the ErSi 2 layer strongly depends on the temperature during the second implantation. 12 refs., 4 figs

  1. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  2. A pulse synthesis of beta-FeSi sub 2 layers on silicon implanted with Fe sup + ions

    CERN Document Server

    Batalov, R I; Terukov, E I; Kudoyarova, V K; Weiser, G; Kuehne, H

    2001-01-01

    The synthesis of thin beta-FeSi sub 2 films was performed by means of the Fe sup + ion implantation into Si (100) and the following nanosecond pulsed ion treatment of implanted layer. Using the beta-FeSi sub 2 beta-FeSi sub 2 e X-ray diffraction it is shown that the pulsed ion treatment results in the generation of the mixture of two phases: FeSi and beta-FeSi sub 2 with stressed crystal lattices. The following short-time annealing leads to the total transformation of the FeSi phase into the beta-FeSi sub 2 one. The Raman scattering data prove the generation of the beta-FeSi sub 2 at the high degree of the silicon crystallinity. The experimental results of the optical absorption testify to the formation of beta-FeSi sub 2 layers and precipitates with the straight-band structure. The photoluminescence signal at lambda approx = 1.56 mu m observes up to 210 K

  3. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    International Nuclear Information System (INIS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-01-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 x 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si

  4. Er2S[SiO4]: An erbium sulfide ortho-oxosilicate with unusual sulfide anion coordination

    International Nuclear Information System (INIS)

    Hartenbach, Ingo; Lauxmann, Petra; Schleid, Thomas

    2004-01-01

    During the reaction of cadmium sulfide with erbium and sulfur in evacuated silica ampoules pink lath-shaped crystals of Er 2 S[SiO 4 ] occur as by-product which were characterized by X-ray single crystal structure analysis. The title compound crystallizes orthorhombically in the space group Cmce (a = 1070.02(8), b = 1235.48(9), c = 683.64(6) pm) with eight formula units per unit cell. Besides isolated ortho-oxosilicate units [SiO 4 ] 4- , the crystal structure contains two crystallographically independent Er 3+ cations which are both eightfold coordinated by six oxygen and two sulfur atoms. The sulfide anions are surrounded by four erbium cations each in the shape of very distorted tetrahedra. These excentric [SEr 4 ] 10+ tetrahedra build up layers according to 2 ∞ [SEr 4/2 ] 4+ by vertex- and edge-connection. They are piled parallel to (010) and separated by the isolated ortho-oxosilicate tetrahedra. (Abstract Copyright [2004], Wiley Periodicals, Inc.) [de

  5. The effect of oxygen on segregation-induced redistribution of rare-earth elements in silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Aleksandrov, O. V.

    2006-01-01

    A model of segregation-induced redistribution of impurities of rare-earth elements during solid-phase epitaxial crystallization of silicon layers amorphized by ion implantation is developed. This model is based on the assumption that a transition layer with a high mobility of atoms is formed at the interphase boundary on the side of a-Si; the thickness of this layer is governed by the diffusion length of vacancies in a-Si. The Er concentration profiles in Si implanted with both erbium and oxygen ions are analyzed in the context of the model. It shown that, in the case of high doses of implantation of rare-earth ions, it is necessary to take into account the formation of R m clusters (m = 4), where R denotes the atom of a rare-earth element, whereas, if oxygen ions are also implanted, formation of the complexes RO n (n = 3-6) should be taken into account; these complexes affect the transition-layer thickness and segregation coefficient

  6. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  7. Influence of Si ion implantation on structure and morphology of g-C{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Varalakshmi, B.; Sreenivasulu, K.V. [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India); Asokan, K. [Inter University Accelerator Centre (IUAC), Aruna Asaf Ali Marg, Near Vasant Kunj, New Delhi 110067 (India); Srikanth, V.V.S.S., E-mail: vvsssse@uohyd.ernet.in [School of Engineering Sciences and Technology (SEST), University of Hyderabad, Hyderabad 500046 (India)

    2016-07-15

    Effect of Si ion implantation on structural and morphological features of graphite-like carbon nitride (g-C{sub 3}N{sub 4}) was investigated. g-C{sub 3}N{sub 4} was prepared by using a simple atmospheric thermal decomposition process. The g-C{sub 3}N{sub 4} pellets were irradiated with a Si ion beam of energy 200 keV with different fluencies. Structural, morphological and elemental, and phase analysis of the implanted samples in comparison with the pristine samples was carried out by using X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM) with energy dispersive spectroscopy (EDS) and Fourier transform infrared spectroscopy (FTIR) techniques, respectively. The observations revealed that Si ion implantation results in a negligible change in the crystallite size and alteration of the network-like to the sheet-like morphology of g-C{sub 3}N{sub 4} and Si ions in the g-C{sub 3}N{sub 4} network.

  8. The effect of ions on the magnetic moment of vacancy for ion-implanted 4H-SiC

    Science.gov (United States)

    Peng, B.; Zhang, Y. M.; Dong, L. P.; Wang, Y. T.; Jia, R. X.

    2017-04-01

    The structural properties and the spin states of vacancies in ion implanted silicon carbide samples are analyzed by experimental measurements along with first-principles calculations. Different types and dosages of ions (N+, O+, and B+) were implanted in the 4H-silicon carbide single crystal. The Raman spectra, positron annihilation spectroscopy, and magnetization-magnetic field curves of the implanted samples were measured. The fitting results of magnetization-magnetic field curves reveal that samples implanted with 1 × 1016 cm-2 N+ and O+ ions generate paramagnetic centers with various spin states of J = 1 and J = 0.7, respectively. While for other implanted specimens, the spin states of the paramagnetic centers remain unchanged compared with the pristine sample. According to the positron annihilation spectroscopy and first-principles calculations, the change in spin states originates from the silicon vacancy carrying a magnetic moment of 3.0 μB in the high dosage N-implanted system and 2.0 μB in the O-doped system. In addition, the ratio of the concentration of implanted N ions and silicon vacancies will affect the magnetic moment of VSi. The formation of carbon vacancy which does not carry a local magnetic moment in B-implanted SiC can explain the invariability in the spin states of the paramagnetic centers. These results will help to understand the magnetic moments of vacancies in ion implanted 4H-SiC and provide a possible routine to induce vacancies with high spin states in SiC for the application in quantum technologies and spintronics.

  9. The formation of magnetic silicide Fe3Si clusters during ion implantation

    Science.gov (United States)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe3Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  10. The formation of magnetic silicide Fe3Si clusters during ion implantation

    International Nuclear Information System (INIS)

    Balakirev, N.; Zhikharev, V.; Gumarov, G.

    2014-01-01

    A simple two-dimensional model of the formation of magnetic silicide Fe 3 Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field

  11. Tuning of Schottky Barrier Height at NiSi/Si Contact by Combining Dual Implantation of Boron and Aluminum and Microwave Annealing

    Directory of Open Access Journals (Sweden)

    Feng Sun

    2018-03-01

    Full Text Available Dopant-segregated source/drain contacts in a p-channel Schottky-barrier metal-oxide semiconductor field-effect transistor (SB-MOSFET require further hole Schottky barrier height (SBH regulation toward sub-0.1 eV levels to improve their competitiveness with conventional field-effect transistors. Because of the solubility limits of dopants in silicon, the requirements for effective hole SBH reduction with dopant segregation cannot be satisfied using mono-implantation. In this study, we demonstrate a potential solution for further SBH tuning by implementing the dual implantation of boron (B and aluminum (Al in combination with microwave annealing (MWA. By using such a method, not only has the lowest hole SBH ever with 0.07 eV in NiSi/n-Si contacts been realized, but also the annealing duration of MWA was sharply reduced to 60 s. Moreover, we investigated the SBH tuning mechanisms of the dual-implanted diodes with microwave annealing, including the dopant segregation, activation effect, and dual-barrier tuning effect of Al. With the selection of appropriate implantation conditions, the dual implantation of B and Al combined with the MWA technique shows promise for the fabrication of future p-channel SB-MOSFETs with a lower thermal budget.

  12. Extended deep level defects in Ge-condensed SiGe-on-Insulator structures fabricated using proton and helium implantations

    International Nuclear Information System (INIS)

    Kwak, D.W.; Lee, D.W.; Oh, J.S.; Lee, Y.H.; Cho, H.Y.

    2012-01-01

    SiGe-on-Insulator (SGOI) structures were created using the Ge condensation method, where an oxidation process is performed on the SiGe/Si structure. This method involves rapid thermal chemical vapor deposition and H + /He + ion-implantations. Deep level defects in these structures were investigated using deep level transient spectroscopy (DLTS) by varying the pulse injection time. According to the DLTS measurements, a deep level defect induced during the Ge condensation process was found at 0.28 eV above the valence band with a capture cross section of 2.67 × 10 −17 cm 2 , two extended deep levels were also found at 0.54 eV and 0.42 eV above the valence band with capture cross sections of 3.17 × 10 −14 cm 2 and 0.96 × 10 −15 cm 2 , respectively. In the SGOI samples with ion-implantation, the densities of the newly generated defects as well as the existing defects were decreased effectively. Furthermore, the Coulomb barrier heights of the extended deep level defects were drastically reduced. Thus, we suggest that the Ge condensation method with H + ion implantation could reduce deep level defects generated from the condensation and control the electrical properties of the condensed SiGe layers. - Highlights: ► We have fabricated low-defective SiGe-on-Insulator (SGOI) with implantation method. ► H + and He + -ions are used for ion-implantation method. ► We have investigated the deep level defects of SGOI layers. ► Ge condensation method using H + ion implantation could reduce extended defects. ► They could enhance electrical properties.

  13. Nanocrystalline diamond in carbon implanted SiO{sub 2}.

    Energy Technology Data Exchange (ETDEWEB)

    Tsoi, K.A.; Prawer, S.; Nugent, K.W.; Walker, R. J.; Weiser, P.S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Recently, it was reported that nanocrystalline diamond can be produced via laser annealing of a high dose C implanted fused quartz (SiO{sub 2}) substrate. The aim of this investigation is to reproduce this result on higher C{sup +} dose samples and the non-implanted silicon sample, as well as optimise the power range and annealing time for the production of these nanocrystals of diamond. In order to provide a wide range of laser powers the samples were annealed using an Ar ion Raman laser. The resulting annealed spots were analysed using scanning electron microscopy (SEM) and Raman analysis. These techniques are employed to determine the type of bonding produced after laser annealing has occurred. 4 refs., 5 figs.

  14. Nanocrystalline diamond in carbon implanted SiO{sub 2}.

    Energy Technology Data Exchange (ETDEWEB)

    Tsoi, K A; Prawer, S; Nugent, K W; Walker, R J; Weiser, P S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Recently, it was reported that nanocrystalline diamond can be produced via laser annealing of a high dose C implanted fused quartz (SiO{sub 2}) substrate. The aim of this investigation is to reproduce this result on higher C{sup +} dose samples and the non-implanted silicon sample, as well as optimise the power range and annealing time for the production of these nanocrystals of diamond. In order to provide a wide range of laser powers the samples were annealed using an Ar ion Raman laser. The resulting annealed spots were analysed using scanning electron microscopy (SEM) and Raman analysis. These techniques are employed to determine the type of bonding produced after laser annealing has occurred. 4 refs., 5 figs.

  15. Cadaveric feasibility study of da Vinci Si-assisted cochlear implant with augmented visual navigation for otologic surgery.

    Science.gov (United States)

    Liu, Wen P; Azizian, Mahdi; Sorger, Jonathan; Taylor, Russell H; Reilly, Brian K; Cleary, Kevin; Preciado, Diego

    2014-03-01

    To our knowledge, this is the first reported cadaveric feasibility study of a master-slave-assisted cochlear implant procedure in the otolaryngology-head and neck surgery field using the da Vinci Si system (da Vinci Surgical System; Intuitive Surgical, Inc). We describe the surgical workflow adaptations using a minimally invasive system and image guidance integrating intraoperative cone beam computed tomography through augmented reality. To test the feasibility of da Vinci Si-assisted cochlear implant surgery with augmented reality, with visualization of critical structures and facilitation with precise cochleostomy for electrode insertion. Cadaveric case study of bilateral cochlear implant approaches conducted at Intuitive Surgical Inc, Sunnyvale, California. Bilateral cadaveric mastoidectomies, posterior tympanostomies, and cochleostomies were performed using the da Vinci Si system on a single adult human donor cadaveric specimen. Radiographic confirmation of successful cochleostomies, placement of a phantom cochlear implant wire, and visual confirmation of critical anatomic structures (facial nerve, cochlea, and round window) in augmented stereoendoscopy. With a surgical mean time of 160 minutes per side, complete bilateral cochlear implant procedures were successfully performed with no violation of critical structures, notably the facial nerve, chorda tympani, sigmoid sinus, dura, or ossicles. Augmented reality image overlay of the facial nerve, round window position, and basal turn of the cochlea was precise. Postoperative cone beam computed tomography scans confirmed successful placement of the phantom implant electrode array into the basal turn of the cochlea. To our knowledge, this is the first study in the otolaryngology-head and neck surgery literature examining the use of master-slave-assisted cochleostomy with augmented reality for cochlear implants using the da Vinci Si system. The described system for cochleostomy has the potential to improve the

  16. Mn fraction substitutional site and defects induced magnetism in Mn-implanted 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Bouziane, K., E-mail: Khalid.bouziane@uir.ac.ma [Pôle Energies Renouvelables et Etudes Pétrolières, Université Internationale de Rabat, 11000 – Salé el Jadida, Technopolis (Morocco); Al Azri, M.; Elzain, M. [Department of Physics, College of Science, Sultan Qaboos University, P.O. Box 36, Al-Khodh 123 (Oman); Chérif, S.M. [LSPM (CNRS-UPR 3407), Université Paris, 13-Nord, 99, Avenue Jean Baptiste Clément, 93430 Villetaneuse (France); Mamor, M. [Equipe MSISM, Faculté Poly-Disciplinaire, B.P. 4162 Safi, Université Cadi Ayyad, Marrakech (Morocco); Declémy, A. [Institut P’, CNRS – Université de Poitiers – ENSMA, UPR 3346, SP2MI – Téléport 2, 11 boulevard Marie et Pierre Curie, BP 30179, F-86962 Futuroscope Chasseneuil Cedex (France); Thomé, L. [CSNSM-Orsay, Bât. 108, Université d’Orsay, F-91405 Orsay (France)

    2015-05-25

    Highlights: • Shallow Mn-implanted 6H-SiC crystal. • Correlation between Mn-substitutional site concentration and magnetism. • Correlation between defects nature surrounding Mn site and magnetism. • Correlation of magnetism in Mn-doped SiC to Mn at Si sites and vacancy-related defect. - Abstract: n-type 6H-SiC (0 0 0 1) single crystal substrates were implanted with three fluences of manganese (Mn{sup +}) ions: 5 × 10{sup 15}, 1 × 10{sup 16} and 5 × 10{sup 16} cm{sup −2} with implantation energy of 80 keV at 365 °C to stimulate dynamic annealing. The samples were characterized using Rutherford backscattering channeling spectroscopy (RBS/C), high-resolution X-ray diffraction technique (HRXRD), and Superconducting Quantum Interference Device (SQUID) techniques. Two main defect regions have been identified using RBS/C spectra fitted with the McChasy code combined to SRIM simulations. Intermediate defects depth region is associated with vacancies (D{sub V}) and deeper defect (D{sub N}) essentially related to the Si and C interstitial defects. The defect concentration and the maximum perpendicular strain exhibit similar increasing trend with the Mn{sup +} fluence. Furthermore, the amount of Mn atoms at Si substitutional sites and the corresponding magnetic moment per Mn atom were found to increase with increasing Mn fluence from 0.7 μ{sub B} to 1.7 μ{sub B} and then collapsing to 0.2 μ{sub B}. Moreover, a strong correlation has been found between the magnetic moment and the combination of both large D{sub V}/D{sub N} ratio and high Mn at Si sites. These results are corroborated by our ab initio calculations considering the most stable configurations showing that besides the amount of Mn substituting Si sites, local vacancy-rich environment is playing a crucial role in enhancing the magnetism.

  17. Neutron scattering study on R2PdSi3 (R=Ho,Er,Tm) compounds

    International Nuclear Information System (INIS)

    Tang, Fei

    2010-01-01

    Previous studies on the family of inter-metallic rare-earth compounds R 2 PdSi 3 revealed multifaceted magnetic properties, for instance, spin-glass like behavior. Experimental observations include: Signs of a crystallographic superstructure, complicated magnetic structures both in zero field and in applied magnetic fields as well as a generic phase in applied fields for compounds in the series with the heavy rare-earths R=Gd, Tb, Dy, Ho, Er and Tm. This thesis expands the studies on the magnetic properties of R 2 PdSi 3 employing mainly neutron scattering on single crystals with the focus on the compounds with R=Ho, Er and Tm. A detailed analysis of the crystallographic superstructure using modulation wave approach and group theory is presented. The resulting structure implies the existence of two different rare-earth sites with reduced symmetry and an arrangement of the different sites according to sequences as determined by the superstructure. It is shown that the reduced symmetry of the rare-earth sites is explicitly observed in the energy spectra of inelastic neutron scattering. The results on the magnetic structures and excitations are shown and discussed in the framework of the superstructure model. Specifically the generic phase in applied fields is interpreted as a direct consequence of the crystallographic superstructure. It is rather unusual that a crystallographic superstructure is playing such a decisive, and through the field dependence also tunable role in determining the magnetic properties as observed in R 2 PdSi 3 . The mediating interactions between the crystallographic part and the magnetic part of the system are discussed. (orig.)

  18. High-temperature Au implantation into Ni-Be and Ni-Si alloys

    Science.gov (United States)

    James, M. R.; Lam, N. Q.; Rehn, L. E.; Baldo, P. M.; Funk, L.; Stubbins, J. F.

    1992-12-01

    Effects of implantation temperature and target composition on depth distribution of implanted species were investigated. Au+ ions were implanted at 300 keV into polycrystalline Ni-Be and Ni-Si alloys between 25 and 700C to a dose of 10(exp 16) cm(exp -2). Depth distributions of Au were analyzed with RBS using He+ at both 1.7 and 3.0 MeV, and those of the other alloying elements by SIMS. Theoretical modeling of compositional redistribution during implantation at elevated temperatures was also carried out with the aid of a comprehensive kinetic model. The analysis indicated that below approximately 250C, the primary controlling processes were preferential sputtering and displacement mixing, while between 250 and 600C radiation-induced segregation was dominant. Above 600C, thermal-diffusion effects were most important. Fitting of model calculations to experimental measurements provided values for various defect migration and formation parameters.

  19. Er:YAG laser, piezosurgery, and surgical drill for bone decortication during orthodontic mini-implant insertion: primary stability analysis-an animal study.

    Science.gov (United States)

    Matys, Jacek; Flieger, Rafał; Tenore, Gianluca; Grzech-Leśniak, Kinga; Romeo, Umberto; Dominiak, Marzena

    2018-04-01

    It is important to identify factors that affect primary stability of orthodontic mini-implants because it determines the success of treatment. We assessed mini-implant primary stability (initial mechanical engagement with the bone) placed in pig jaws. We also assessed mini-implant insertion failure rate (mini-implant fracture, mini-implants to root contact). A total of 80 taper-shaped mini-implants (Absoanchor® Model SH1312-6; Dentos Inc., Daegu, Korea) 6 mm long with a diameter of 1.1 mm were used. Bone decortication was made before mini-implant insertion by means of three different methods: Group G1: Er:YAG laser (LiteTouch®, Light Instruments, Yokneam, Israel) at energy of 300 mJ, frequency 25 Hz, fluence 38.2 J/cm2, cooling 14 ml/min, tip 1.0 × 17 mm, distance 1 mm, time of irradiation 6 s; Group G2: drill (Hager & Meisinger GmbH, Hansemannstr, Germany); Group G3: piezosurgery (Piezotom Solo, Acteon, NJ, USA). In G4 group (control), mini-implants were driven by a self-drilling method. The primary stability of mini-implants was assessed by measuring damping characteristics between the implant and the tapping head of Periotest device (Gulden-Medizinteknik, Eschenweg, Modautal, Germany). The results in range between - 8 to + 9 allowed immediate loading. Significantly lower Periotest value was found in the control group (mean 0.59 ± 1.57, 95% CI 0.7, 2.4) as compared with Er:YAG laser (mean 4.44 ± 1.64, 95% CI 3.6, 5.3), piezosurgery (mean 17.92 ± 2.73, 95% CI 16.5, 19.3), and a drill (mean 5.91 ± 1.52, 95% CI 5.2, 6.6) (p piezosurgery. Decortication of the cortical bone before mini-implant insertion resulted in reduced risk of implant fracture or injury of adjacent teeth. The high initial stability with a smaller diameter of the mini-implant resulted in increased risk of fracture, especially for a self-drilling method.

  20. In Vitro and In Vivo Evaluation of Zinc-Modified Ca–Si-Based Ceramic Coating for Bone Implants

    Science.gov (United States)

    Zheng, Xuebin; He, Dannong; Ye, Xiaojian; Wang, Meiyan

    2013-01-01

    The host response to calcium silicate ceramic coatings is not always favorable because of their high dissolution rates, leading to high pH within the surrounding physiological environment. Recently, a zinc-incorporated calcium silicate-based ceramic Ca2ZnSi2O7 coating, developed on a Ti-6Al-4V substrate using plasma-spray technology, was found to exhibit improved chemical stability and biocompatibility. This study aimed to investigate and compare the in vitro response of osteoblastic MC3T3-E1 cells cultured on Ca2ZnSi2O7 coating, CaSiO3 coating, and uncoated Ti-6Al-4V titanium control at cellular and molecular level. Our results showed Ca2ZnSi2O7 coating enhanced MC3T3-E1 cell attachment, proliferation, and differentiation compared to CaSiO3 coating and control. In addition, Ca2ZnSi2O7 coating increased mRNA levels of osteoblast-related genes (alkaline phosphatase, procollagen α1(I), osteocalcin), insulin-like growth factor-I (IGF-I), and transforming growth factor-β1 (TGF-β1). The in vivo osteoconductive properties of Ca2ZnSi2O7 coating, compared to CaSiO3 coating and control, was investigated using a rabbit femur defect model. Histological and histomorphometrical analysis demonstrated new bone formation in direct contact with the Ca2ZnSi2O7 coating surface in absence of fibrous tissue and higher bone-implant contact rate (BIC) in the Ca2ZnSi2O7 coating group, indicating better biocompatibility and faster osseointegration than CaSiO3 coated and control implants. These results indicate Ca2ZnSi2O7 coated implants have applications in bone tissue regeneration, since they are biocompatible and able to osseointegrate with host bone. PMID:23483914

  1. In vitro and in vivo evaluation of zinc-modified ca-si-based ceramic coating for bone implants.

    Science.gov (United States)

    Yu, Jiangming; Li, Kai; Zheng, Xuebin; He, Dannong; Ye, Xiaojian; Wang, Meiyan

    2013-01-01

    The host response to calcium silicate ceramic coatings is not always favorable because of their high dissolution rates, leading to high pH within the surrounding physiological environment. Recently, a zinc-incorporated calcium silicate-based ceramic Ca2ZnSi2O7 coating, developed on a Ti-6Al-4V substrate using plasma-spray technology, was found to exhibit improved chemical stability and biocompatibility. This study aimed to investigate and compare the in vitro response of osteoblastic MC3T3-E1 cells cultured on Ca2ZnSi2O7 coating, CaSiO3 coating, and uncoated Ti-6Al-4V titanium control at cellular and molecular level. Our results showed Ca2ZnSi2O7 coating enhanced MC3T3-E1 cell attachment, proliferation, and differentiation compared to CaSiO3 coating and control. In addition, Ca2ZnSi2O7 coating increased mRNA levels of osteoblast-related genes (alkaline phosphatase, procollagen α1(I), osteocalcin), insulin-like growth factor-I (IGF-I), and transforming growth factor-β1 (TGF-β1). The in vivo osteoconductive properties of Ca2ZnSi2O7 coating, compared to CaSiO3 coating and control, was investigated using a rabbit femur defect model. Histological and histomorphometrical analysis demonstrated new bone formation in direct contact with the Ca2ZnSi2O7 coating surface in absence of fibrous tissue and higher bone-implant contact rate (BIC) in the Ca2ZnSi2O7 coating group, indicating better biocompatibility and faster osseointegration than CaSiO3 coated and control implants. These results indicate Ca2ZnSi2O7 coated implants have applications in bone tissue regeneration, since they are biocompatible and able to osseointegrate with host bone.

  2. In vitro and in vivo evaluation of zinc-modified ca-si-based ceramic coating for bone implants.

    Directory of Open Access Journals (Sweden)

    Jiangming Yu

    Full Text Available The host response to calcium silicate ceramic coatings is not always favorable because of their high dissolution rates, leading to high pH within the surrounding physiological environment. Recently, a zinc-incorporated calcium silicate-based ceramic Ca2ZnSi2O7 coating, developed on a Ti-6Al-4V substrate using plasma-spray technology, was found to exhibit improved chemical stability and biocompatibility. This study aimed to investigate and compare the in vitro response of osteoblastic MC3T3-E1 cells cultured on Ca2ZnSi2O7 coating, CaSiO3 coating, and uncoated Ti-6Al-4V titanium control at cellular and molecular level. Our results showed Ca2ZnSi2O7 coating enhanced MC3T3-E1 cell attachment, proliferation, and differentiation compared to CaSiO3 coating and control. In addition, Ca2ZnSi2O7 coating increased mRNA levels of osteoblast-related genes (alkaline phosphatase, procollagen α1(I, osteocalcin, insulin-like growth factor-I (IGF-I, and transforming growth factor-β1 (TGF-β1. The in vivo osteoconductive properties of Ca2ZnSi2O7 coating, compared to CaSiO3 coating and control, was investigated using a rabbit femur defect model. Histological and histomorphometrical analysis demonstrated new bone formation in direct contact with the Ca2ZnSi2O7 coating surface in absence of fibrous tissue and higher bone-implant contact rate (BIC in the Ca2ZnSi2O7 coating group, indicating better biocompatibility and faster osseointegration than CaSiO3 coated and control implants. These results indicate Ca2ZnSi2O7 coated implants have applications in bone tissue regeneration, since they are biocompatible and able to osseointegrate with host bone.

  3. Diffusion of Ag, Au and Cs implants in MAX phase Ti{sub 3}SiC{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA (United States); Henager, Charles H.; Varga, Tamas; Jung, Hee Joon; Overman, Nicole R. [Pacific Northwest National Laboratory, Richland, WA (United States); Zhang, Chonghong; Gou, Jie [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou (China)

    2015-07-15

    MAX phases (M: early transition metal; A: elements in group 13 or 14; X: C or N), such as titanium silicon carbide (Ti{sub 3}SiC{sub 2}), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti{sub 3}SiC{sub 2} has been considered as a possible fuel cladding material. This study reports on the diffusivities of fission product surrogates (Ag and Cs) and a noble metal Au (with diffusion behavior similar to Ag) in this ternary compound at elevated temperatures, as well as in dual-phase nanocomposite of Ti{sub 3}SiC{sub 2}/3C-SiC and polycrystalline CVD 3C-SiC for behavior comparisons. Samples were implanted with Ag, Au or Cs ions and characterized with various methods, including X-ray diffraction, electron backscatter diffraction, energy dispersive X-ray spectroscopy, Rutherford backscattering spectrometry, helium ion microscopy, and transmission electron microscopy. The results show that in contrast to immobile Ag in 3C-SiC, there is a significant outward diffusion of Ag in Ti{sub 3}SiC{sub 2} within the dual-phase nanocomposite during Ag ion implantation at 873 K. Similar behavior of Au in polycrystalline Ti{sub 3}SiC{sub 2} was also observed. Cs out-diffusion and release from Ti{sub 3}SiC{sub 2} occurred during post-implantation thermal annealing at 973 K. This study suggests caution and further studies in consideration of Ti{sub 3}SiC{sub 2} as a fuel cladding material for advanced nuclear reactors operating at very high temperatures.

  4. Mechanism of leakage of ion-implantation isolated AlGaN/GaN MIS-high electron mobility transistors on Si substrate

    Science.gov (United States)

    Zhang, Zhili; Song, Liang; Li, Weiyi; Fu, Kai; Yu, Guohao; Zhang, Xiaodong; Fan, Yaming; Deng, Xuguang; Li, Shuiming; Sun, Shichuang; Li, Xiajun; Yuan, Jie; Sun, Qian; Dong, Zhihua; Cai, Yong; Zhang, Baoshun

    2017-08-01

    In this paper, we systematically investigated the leakage mechanism of the ion-implantation isolated AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors (MIS-HEMTs) on Si substrate. By means of combined DC tests at different temperatures and electric field dependence, we demonstrated the following original results: (1) It is proved that gate leakage is the main contribution to OFF-state leakage of ion-implantation isolated AlGaN/GaN MIS-HEMTs, and the gate leakage path is a series connection of the gate dielectric Si3N4 and Si3N4-GaN interface. (2) The dominant mechanisms of the leakage current through LPCVD-Si3N4 gate dielectric and Si3N4-GaN interface are identified to be Frenkel-Poole emission and two-dimensional variable range hopping (2D-VRH), respectively. (3) A certain temperature annealing could reduce the density of the interface state that produced by ion implantation, and consequently suppress the interface leakage transport, which results in a decrease in OFF-state leakage current of ion-implantation isolated AlGaN/GaN MIS-HEMTs.

  5. The formation of magnetic silicide Fe{sub 3}Si clusters during ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Balakirev, N. [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Zhikharev, V., E-mail: valzhik@mail.ru [Kazan National Research Technological University, K.Marx st. 68, Kazan 420015 (Russian Federation); Gumarov, G. [Zavoiskii Physico-Technical Institute of Russian Academy of Sciences, 10/7 Sibirskii trakt st., Kazan 420029 (Russian Federation)

    2014-05-01

    A simple two-dimensional model of the formation of magnetic silicide Fe{sub 3}Si clusters during high-dose Fe ion implantation into silicon has been proposed and the cluster growth process has been computer simulated. The model takes into account the interaction between the cluster magnetization and magnetic moments of Fe atoms random walking in the implanted layer. If the clusters are formed in the presence of the external magnetic field parallel to the implanted layer, the model predicts the elongation of the growing cluster in the field direction. It has been proposed that the cluster elongation results in the uniaxial magnetic anisotropy in the plane of the implanted layer, which is observed in iron silicide films ion-beam synthesized in the external magnetic field.

  6. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  7. Lateral spread of P+ ions implanted in silicon through the SiO2 mask window

    International Nuclear Information System (INIS)

    Sakurai, T.; Kawata, H.; Sato, T.; Hisatsugu, T.; Hashimoto, H.; Furuya, T.

    1979-01-01

    The lateral spread of implanted P + ions and the shape of the mask window have been observed simultaneously using the technique of staining the cleaved surface and scanning electron microscopy for the Si samples with the SiO 2 mask window with a tapered edge. The mask edge with a gradient of 45 0 or 78 0 to the Si surface and the implanted n-type region with a carrier concentration higher than 2 x 10 17 /cm 3 are observed in the same photograph. The observed maximum lateral spread when the gradient of the mask edge is 45 0 is about 1.6 times larger than that when the gradient is 78 0 . The calculated results of the lateral spread agree relatively well with the experimental data although the precise analysis based on the definite basis is necessary

  8. Rab7a modulates ER stress and ER morphology.

    Science.gov (United States)

    Mateus, Duarte; Marini, Elettra Sara; Progida, Cinzia; Bakke, Oddmund

    2018-05-01

    The Endoplasmic Reticulum (ER) is a membranous organelle with diverse structural and functional domains. Peripheral ER includes interconnected tubules, and dense tubular arrays called "ER matrices" together with bona fide flat cisternae. Transitions between these states are regulated by membrane-associated proteins and cytosolic factors. Recently, the small GTPases Rab10 and Rab18 were reported to control ER shape by regulating ER dynamics and fusion. Here, we present evidence that another Rab protein, Rab7a, modulates the ER morphology by controlling the ER homeostasis and ER stress. Indeed, inhibition of Rab7a expression by siRNA or expression of the dominant negative mutant Rab7aT22 N, leads to enlargement of sheet-like ER structures and spreading towards the cell periphery. Notably, such alterations are ascribable neither to a direct modulation of the ER shaping proteins Reticulon-4b and CLIMP63, nor to interactions with Protrudin, a Rab7a-binding protein known to affect the ER organization. Conversely, depletion of Rab7a leads to basal ER stress, in turn causing ER membrane expansion. Both ER enlargement and basal ER stress are reverted in rescue experiments by Rab7a re-expression, as well as by the ER chemical chaperone tauroursodeoxycholic acid (TUDCA). Collectively, these findings reveal a new role of Rab7a in ER homeostasis, and indicate that genetic and pharmacological ER stress manipulation may restore ER morphology in Rab7a silenced cells. Copyright © 2018 Elsevier B.V. All rights reserved.

  9. Visible light emission from silicon implanted and annealed SiO2layers

    International Nuclear Information System (INIS)

    Ghislotti, G.; Nielsen, B.; Asoka-Kumar, P.; Lynn, K.G.; Di Mauro, L.F.; Bottani, C.E.; Corni, F.; Tonini, R.; Ottaviani, G.P.

    1997-01-01

    Silicon implanted and annealed SiO 2 layers are studied using photoluminescence (PL) and positron annihilation spectroscopy (PAS). Two PL emission bands are observed. A band centered at 560 nm is present in as-implanted samples and it is still observed after 1,000 C annealing. The emission time is fast. A second band centered at 780 nm is detected after 1,000 C annealing. The intensity of the 780 nm band further increased when hydrogen annealing was performed. The emission time is long (1 micros to 0.2 ms). PAS results show that defects produced by implantation anneal at 600 C. Based on the annealing behavior and on the emission times, the origin of the two bands is discussed

  10. Study of surface exfoliation on 6H-SiC induced by H{sub 2}{sup +} implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, L. [Department of Physics, School of Science, Lanzhou University of Technology, Lanzhou 730050 (China); Li, B.S., E-mail: b.s.li@impcas.ac.cn [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2017-03-01

    The effect of lattice damage generated by the H{sub 2}{sup +}-implantation on exfoliation efficiency in 6H-SiC wafers is investigated. <0001> 6H-SiC wafers were implanted with 134 keV H{sub 2}{sup +} ions to ion fluences from 1.5×10{sup 16} to 5×10{sup 16} H{sub 2}{sup +} cm{sup −2} and subsequently annealed at temperatures from 973 K to 1373 K. The samples were studied by a combination of optical microscopy and transmission electron microscopy. Only after 1373 K annealing for 15 min, blisters and exfoliation occur on the H{sub 2}{sup +}-implanted sample surface. With increasing the implantation fluences from 1.5×10{sup 16} to 3.75×10{sup 16} H{sub 2}{sup +} cm{sup −2}, the exfoliation mean size decreases, while the exfoliation density increases. For the highest fluence of 5×10{sup 16} H{sub 2}{sup +} cm{sup −2}, seldom exfoliations occur on the sample surface. Microstructure analysis shows that exfoliation efficiency is largely controlled by the H{sub 2}{sup +}-implantation-induced lattice damage. The depth of the microcrack is related to the implantation fluence. The effect of implantation fluence on dislocation loops, platelet nucleation and growth is investigated.

  11. The role of nitrogen in luminescent Si nanoprecipitate formation during annealing of Si ion-implanted SiO sub 2 layers

    CERN Document Server

    Kachurin, G A; Zhuravlev, K S; Ruault, M O

    2001-01-01

    SiO sub 2 layers were implanted with 25 keV Si sup + and 13 keV N sup + ions with the doses of (1-4) x 10 sup 1 sup 6 cm sup - sup 2 and (0.2-2) x 10 sup 1 sup 6 cm sup - sup 2 , respectively. Then the samples were annealed at 900-1100 deg C to form luminescent silicon nanoprecipitates. The nitrogen effect on the process is controlled by photoluminescence spectra. It is found out that the photoluminescence intensity increases considerably at the appropriate ratio between silicon and nitrogen. It has been concluded that the interaction of nitrogen with excessive silicon results in increasing the number of precipitation centers. This raises the nanocrystals number and reduces their mean size

  12. Damage formation and recovery in Fe implanted 6H–SiC

    CERN Document Server

    Miranda, Pedro; Catarino, Norberto; Lorenz, Katharina; Correia, João Guilherme; Alves, Eduardo

    2012-01-01

    Silicon carbide doped with magnetic ions such as Fe, Mn, Ni or Co could make this wide band gap semiconductor part of the diluted magnetic semiconductor family. In this study, we report the implantation of 6H-SiC single crystals with magnetic $^{56}$Fe$^{+}$ ions with an energy of 150 keV. The samples were implanted with 5E14 Fe$^+$/cm$^{2}$ and 1E16 Fe$^+$/cm$^{2}$ at different temperatures to study the damage formation and lattice site location. The samples were subsequently annealed up to 1500°C in vacuum in order to remove the implantation damage. The effect of the annealing was followed by Rutherford Backscattering/Channeling (RBS/C) measurements. The results show that samples implanted above the critical amorphization temperature reveal a high fraction of Fe incorporated into regular sites along the [0001] axis. After the annealing at 1000°C, a maximum fraction of 75%, corresponding to a total of 3.8E14 Fe$^{+}$/cm$^{2}$, was measured in regular sites along the [0001] axis. A comparison is made betwee...

  13. Device-based local delivery of siRNA against mammalian target of rapamycin (mTOR) in a murine subcutaneous implant model to inhibit fibrous encapsulation.

    Science.gov (United States)

    Takahashi, Hironobu; Wang, Yuwei; Grainger, David W

    2010-11-01

    Fibrous encapsulation of surgically implanted devices is associated with elevated proliferation and activation of fibroblasts in tissues surrounding these implants, frequently causing foreign body complications. Here we test the hypothesis that inhibition of the expression of mammalian target of rapamycin (mTOR) in fibroblasts can mitigate the soft tissue implant foreign body response by suppressing fibrotic responses around implants. In this study, mTOR was knocked down using small interfering RNA (siRNA) conjugated with branched polyethylenimine (bPEI) in fibroblastic lineage cells in serum-based cell culture as shown by both gene and protein analysis. This mTOR knock-down led to an inhibition in fibroblast proliferation by 70% and simultaneous down-regulation in the expression of type I collagen in fibroblasts in vitro. These siRNA/bPEI complexes were released from poly(ethylene glycol) (PEG)-based hydrogel coatings surrounding model polymer implants in a subcutaneous rodent model in vivo. No significant reduction in fibrous capsule thickness and mTOR expression in the foreign body capsules were observed. The siRNA inefficacy in this in vivo implant model was attributed to siRNA dosing limitations in the gel delivery system, and lack of targeting ability of the siRNA complex specifically to fibroblasts. While in vitro data supported mTOR knock-down in fibroblast cultures, in vivo siRNA delivery must be further improved to produce clinically relevant effects on fibrotic encapsulation around implants. Copyright © 2010 Elsevier B.V. All rights reserved.

  14. The structure modification of Si-SiO2 irradiated by Fe+ ion

    International Nuclear Information System (INIS)

    Jin Tao; Ma Zhongquan; Guo Qi

    1992-01-01

    The effect of the iron ion implantation on the oxide surface and SiO 2 -Si interface of MOS structure was studied by X-ray photo-electron spectroscopy (XPS), and the chemical states of compounds formed were examined. The results obtained show that in the surface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface layers of SiO 2 the pure Si micro-regions are formed under the implantation and the interface thickness is almost doubled that leads to failure of MOS capacitors. The physical and chemical mechanisms of MOS structure change by Fe + ion implantation are also discussed and analyzed

  15. Martensitic Transformations and Mechanical and Corrosion Properties of Fe-Mn-Si Alloys for Biodegradable Medical Implants

    Science.gov (United States)

    Drevet, Richard; Zhukova, Yulia; Malikova, Polina; Dubinskiy, Sergey; Korotitskiy, Andrey; Pustov, Yury; Prokoshkin, Sergey

    2018-03-01

    The Fe-Mn-Si alloys are promising materials for biodegradable metallic implants for temporary healing process in the human body. In this study, three different compositions are considered (Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si, all in wt pct). The phase composition analysis by XRD reveals ɛ-martensite, α-martensite, and γ-austenite in various proportions depending on the manganese amount. The DSC study shows that the starting temperature of the martensitic transformation ( M s) of the alloys decreases when the manganese content increases (416 K, 401 K, and 323 K (143 °C, 128 °C, and 50 °C) for the Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si alloys, respectively). Moreover, mechanical compression tests indicate that these alloys have a much lower Young's modulus ( E) than pure iron (220 GPa), i.e., 145, 133, and 118 GPa for the Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si alloys, respectively. The corrosion behavior of the alloys is studied in Hank's solution at 310 K (37 °C) using electrochemical experiments and weight loss measurements. The corrosion kinetics of the Fe-Mn-Si increases with the manganese content (0.48, 0.59, and 0.80 mm/year for the Fe23Mn5Si, Fe26Mn5Si, and Fe30Mn5Si alloys, respectively). The alloy with the highest manganese content shows the most promising properties for biomedical applications as a biodegradable and biomechanically compatible implant material.

  16. Growth of surface structures correlated with structural and mechanical modifications of brass by laser-induced Si plasma ions implantation

    Science.gov (United States)

    Ahmad, Shahbaz; Bashir, Shazia; Rafique, M. Shahid; Yousaf, Daniel

    2017-04-01

    Laser-produced Si plasma is employed as an ion source for implantation on the brass substrate for its surface, structural, and mechanical modifications. Thomson parabola technique is employed for the measurement of energy and flux of Si ions using CR-39. In response to stepwise increase in number of laser pulses from 3000 to 12000, four brass substrates were implanted by laser-induced Si plasma ions of energy 290 keV at different fluxes ranging from 45 × 1012 to 75 × 1015 ions/cm2. SEM analysis reveals the formation of nano/micro-sized irregular shaped cavities and pores for the various ion fluxes for varying numbers of laser pulses from 3000 to 9000. At the maximum ion flux for 12,000 pulses, distinct and organized grains with hexagonal and irregular shaped morphology are revealed. X-ray diffractometer (XRD) analysis exhibits that a new phase of CuSi (311) is identified which confirms the implantation of Si ions in brass substrate. A significant decrease in mechanical properties of implanted brass, such as Yield Stress (YS), Ultimate Tensile Strength (UTS), and hardness, with increasing laser pulses from 3000 to 6000 is observed. However, with increasing laser pulses from 9000 to a maximum value of 12,000, an increase in mechanical properties like hardness, YS, and UTS is observed. The generation as well as annihilation of defects, recrystallization, and intermixing of Si precipitates with brass matrix is considered to be responsible for variations in surface, structural, and mechanical modifications of brass.

  17. Ion implantation damage annealing in 4H-SiC monitored by scanning spreading resistance microscopy

    International Nuclear Information System (INIS)

    Suchodolskis, A.; Hallen, A.; Linnarsson, M.K.; Osterman, J.; Karlsson, U.O.

    2006-01-01

    To obtain a better understanding of the damage annealing process and dopant defect incorporation and activation we have implanted epitaxially grown 4H-SiC layers with high doses of Al + ions. Cross-sections of the samples are investigated by scanning spreading resistance microscopy (SSRM) using a commercial atomic force microscopy (AFM). The defects caused by the implanted ions compensate for the doping and decrease the charge carrier mobility. This causes the resistivity to increase in the as-implanted regions. The calculated profile of implanted ions is in good agreement with the measured ones and shows a skewed Gaussian shape. Implanted samples are annealed up to 400 deg. C. Despite these low annealing temperatures we observe a clear improvement of the sample conductivity in the as-implanted region

  18. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  19. Sacroiliac joint stability: Finite element analysis of implant number, orientation, and superior implant length.

    Science.gov (United States)

    Lindsey, Derek P; Kiapour, Ali; Yerby, Scott A; Goel, Vijay K

    2018-03-18

    To analyze how various implants placement variables affect sacroiliac (SI) joint range of motion. An experimentally validated finite element model of the lumbar spine and pelvis was used to simulate a fusion of the SI joint using various placement configurations of triangular implants (iFuse Implant System ® ). Placement configurations were varied by changing implant orientation, superior implant length, and number of implants. The range of motion of the SI joint was calculated using a constant moment of 10 N-m with a follower load of 400 N. The changes in motion were compared between the treatment groups to assess how the different variables affected the overall motion of the SI joint. Transarticular placement of 3 implants with superior implants that end in the middle of the sacrum resulted in the greatest reduction in range of motion (flexion/extension = 73%, lateral bending = 42%, axial rotation = 72%). The range of motions of the SI joints were reduced with use of transarticular orientation (9%-18%) when compared with an inline orientation. The use of a superior implant that ended mid-sacrum resulted in median reductions of (8%-14%) when compared with a superior implant that ended in the middle of the ala. Reducing the number of implants, resulted in increased SI joint range of motions for the 1 and 2 implant models of 29%-133% and 2%-39%, respectively, when compared with the 3 implant model. Using a validated finite element model we demonstrated that placement of 3 implants across the SI joint using a transarticular orientation with superior implant reaching the sacral midline resulted in the most stable construct. Additional clinical studies may be required to confirm these results.

  20. Fluence dependence of disorder depth profiles in Pb implanted Si

    International Nuclear Information System (INIS)

    Christodoulides, C.E.; Kadhim, N.J.; Carter, G.

    1980-01-01

    The total, depth integrated disorder, induced by Pb implantation into Si at room temperature, initially increases rapidly with implantation fluence and then reaches a quasi saturation level where the increase with fluence is slow. Measurements of the depth distributions of the disorder, using high resolution low angle exit Rutherford Backscattering/Channelling analysis, suggest that the quasi saturation results from overlapping of disordered zones generated deep in the tail of the disorder-depth profiles. The depth of the disordered solid-crystal boundary, xsub(D), increases with ion fluence PHI, according to the relation xsub(D) = x bar + f(PHI).σ, where x bar is the most probable projected depth and σ the projected standard deviation of disorder generation. It is shown that this relationship is consistent with an approximately Gaussian depth distribution of disorder production. (author)

  1. Influence of core size on the upconversion luminescence properties of spherical Gd2O3:Yb3+/Er3+@SiO2 particles with core-shell structures

    International Nuclear Information System (INIS)

    Zheng, Kezhi; Liu, Zhenyu; Liu, Ye; Song, Weiye; Qin, Weiping

    2013-01-01

    Spherical SiO 2 particles with different sizes (30, 80, 120, and 180 nm) have been coated with Gd 2 O 3 :Yb 3+ /Er 3+ layers by a heterogeneous precipitation method, leading to the formation of core-shell structural Gd 2 O 3 :Yb 3+ /Er 3+ @SiO 2 particles. The samples were characterized by using X-ray diffraction, field emission scanning electron microscopy, transmission electron microscopy, upconversion (UC) emission spectra, and fluorescent dynamical analysis. The obtained core-shell particles have perfect spherical shape with narrow size distribution. Under the excitation of 980 nm diode laser, the core-shell samples showed size-dependent upconversion luminescence (UCL) properties. The inner SiO 2 cores in core-shell samples were proved to have limited effect on the total UCL intensities of Er 3+ ions. The UCL intensities of core-shell particles were demonstrated much higher than the values obtained in pure Gd 2 O 3 :Yb 3+ /Er 3+ with the same phosphor volume. The dependence of the specific area of a UCL shell on the size of its inner SiO 2 particle was calculated and analyzed for the first time. It was confirmed that the surface effect came from the outer surfaces of emitting shells is dominant in influencing the UCL property in the core-shell samples. Three-photon UC processes for the green emissions were observed in the samples with small sizes of SiO 2 cores. The results of dynamical analysis illustrated that more nonradiative relaxation occurred in the core-shell samples with smaller SiO 2 core sizes

  2. Rhodium-rich silicides RERh{sub 6}Si{sub 4} (RE=La, Nd, Tb, Dy, Er, Yb)

    Energy Technology Data Exchange (ETDEWEB)

    Vosswinkel, Daniel; Poettgen, Rainer [Muenster Univ. (Germany). Inst. fuer Anorganische und Analytische Chemie

    2017-07-01

    Polycrystalline RERh{sub 6}Si{sub 4} (RE=La, Nd, Tb, Dy, Er, Yb) samples can be synthesized by arc-melting of the elements. Single crystals of LaRh{sub 6}Si{sub 4}, NdRh{sub 6}Si{sub 4} and YbRh{sub 6}Si{sub 4} were synthesized from the elements in bismuth fluxes (non-reactive flux medium). The structures were refined on the basis of single-crystal X-ray diffractometer data: LiCo{sub 6}P{sub 4} type, P anti 6m2, a=700.56(3), c=380.55(1) pm, wR2=0.0257, 317 F{sup 2} values, 19 variables for LaRh{sub 6}Si{sub 4}, a=698.4(5), c=377.7(2) pm, wR2=0.0578, 219 F{sup 2} values, 19 variables for NdRh{sub 6}Si{sub 4} and a=696.00(3), c=371.97(1) pm, wR2=0.0440, 309 F{sup 2} values, 19 variables for YbRh{sub 6}Si{sub 4}. The rhodium and silicon atoms build up three-dimensional, covalently bonded [Rh{sub 6}Si{sub 4}]{sup δ-} polyanionic networks with Rh-Si distances ranging from 239 to 249 pm. The rare earth atoms fill larger cavities within channels of these networks and they are coordinated by six silicon and twelve rhodium atoms in the form of hexa-capped hexagonal prisms.

  3. Effect of recoiled O on damage regrowth and electrical properties of through-oxide implanted Si

    International Nuclear Information System (INIS)

    Sadana, D.K.; Wu, N.R.; Washburn, J.; Current, M.; Morgan, A.; Reed, D.; Maenpaa, M.

    1982-10-01

    High dose (4 to 7.5 x 10 15 cm -2 ) As implantations into p-type (100) Si have been carried out through a screen-oxide of thicknesses less than or equal to 775A and without screen oxide. The effect of recoiled O on damage annealing and electrical properties of the implanted layers has been investigated using a combination of the following techniques: TEM, RBS/MeV He + channeling, SIMS and Hall measurements in conjunction with chemical stripping and sheet resistivity measurements. The TEM results show that there is a dramatically different annealing behavior of the implantation damage for the through oxide implants (Case I) as compared to implants into bare silicon (Case II). Comparison of the structural defect profiles with O distributions obtained by SIMS demonstrated that retardation in the secondary damage growth in Case I can be directly related with the presence of O. Weak-beam TEM showed that a high density of fine defect clusters (less than or equal to 50A) were present both in Case I and Case II. The electrical profiles showed only 30% of the total As to be electrically active. The structural and electrical results have been explained by a model that entails As-O, Si-O and As-As complex formation and their interaction with the dislocations

  4. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  5. Scaling of ion implanted Si:P single electron devices

    International Nuclear Information System (INIS)

    Escott, C C; Hudson, F E; Chan, V C; Petersson, K D; Clark, R G; Dzurak, A S

    2007-01-01

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n + ) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number

  6. Scaling of ion implanted Si:P single electron devices

    Energy Technology Data Exchange (ETDEWEB)

    Escott, C C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Hudson, F E [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Chan, V C [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Petersson, K D [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia); Clark, R G [Centre for Quantum Computer Technology, School of Physics, UNSW, Sydney, 2052 (Australia); Dzurak, A S [Centre for Quantum Computer Technology, School of Electrical Engineering and Telecommunications, UNSW, Sydney, NSW 2052 (Australia)

    2007-06-13

    We present a modelling study on the scaling prospects for phosphorus in silicon (Si:P) single electron devices using readily available commercial and free-to-use software. The devices comprise phosphorus ion implanted, metallically doped (n{sup +}) dots (size range 50-500 nm) with source and drain reservoirs. Modelling results are compared to measurements on fabricated devices and discussed in the context of scaling down to few-electron structures. Given current fabrication constraints, we find that devices with 70-75 donors per dot should be realizable. We comment on methods for further reducing this number.

  7. Fe and Cu in Si: Lattice sites and trapping at implantation-related defects

    International Nuclear Information System (INIS)

    Wahl, U.; Correia, J.G.; Rita, E.; Araujo, J.P.; Soares, J.C.

    2006-01-01

    We have used the emission channeling technique in order to study the lattice sites of radioactive 59 Fe and 67 Cu following 60 keV ion implantation into Si single crystals at fluences around 10 12 -10 14 cm -2 . We find that in the room temperature as-implanted state in high-resistivity Si both Fe and Cu occupy mainly lattice sites displaced around 0.05 nm (0.5 A) from substitutional positions. Both are released from these positions during annealing at temperatures between 300 deg. C and 600 deg. C. Fe is then found mainly on near-tetrahedral interstitial sites and further annealing causes it to be increasingly incorporated on ideal substitutional sites, on which it is stable to around 800 deg. C. We have strong indications that during annealing around 600 deg. C, along with the dominance of interstitial Fe, a redistribution towards the surface takes place, suggesting that the subsequent formation of ideal substitutional Fe may be related to the trapping of Fe at R p /2, half of its implanted depth. Possible R p /2 trapping might also have taken place in our Cu experiments but appears to be less efficient since Cu tended to escape to the bulk of the samples

  8. Negative differential resistance effect induced by metal ion implantation in SiO2 film for multilevel RRAM application

    Science.gov (United States)

    Wu, Facai; Si, Shuyao; Shi, Tuo; Zhao, Xiaolong; Liu, Qi; Liao, Lei; Lv, Hangbing; Long, Shibing; Liu, Ming

    2018-02-01

    Pt/SiO2:metal nanoparticles/Pt sandwich structure is fabricated with the method of metal ion (Ag) implantation. The device exhibits multilevel storage with appropriate R off/R on ratio, good endurance and retention properties. Based on transmission electron microscopy and energy dispersive spectrometer analysis, we confirm that Pt nanoparticles are spurted into SiO2 film from Pt bottom electrode by Ag implantation; during electroforming, the local electric field can be enhanced by these Pt nanoparticles, meanwhile the Ag nanoparticles constantly migrate toward the Pt nanoparticles. The implantation induced nanoparticles act as trap sites in the resistive switching layer and play critical roles in the multilevel storage, which is evidenced by the negative differential resistance effect in the current-voltage (I-V) measurements.

  9. Efter cochlear implant

    DEFF Research Database (Denmark)

    Højen, Anders

    Dit barn har netop fået et cochlear implant. Hvad nu? Skal barnet fokusere udelukkende på at lære talt sprog, eller skal det også lære/fortsætte med tegnsprog eller støttetegn? Det er et vanskeligt spørgsmål, og før valget foretages, er det vigtigt at vurdere hvilke konsekvenser valget har, dels...... for den sproglige udvikling isoleret set, og dels for barnets udvikling ud fra en helhedsbetragtning. Dette indlæg fokuserer på, hvilke forventninger man kan have til cochlear implant-brugeres sproglige udvikling med talt sprog alene, hhv. med to sprog (tale og tegn). Disse forventninger er baseret på...

  10. Scanning probe microscopy of single Au ion implants in Si

    International Nuclear Information System (INIS)

    Vines, L.; Monakhov, E.; Maknys, K.; Svensson, B.G.; Jensen, J.; Hallen, A.; Kuznetsov, A. Yu.

    2006-01-01

    We have studied 5 MeV Au 2+ ion implantation with fluences between 7 x 10 7 and 2 x 10 8 cm -2 in Si by deep level transient spectroscopy (DLTS) and scanning capacitance microscopy (SCM). The DLTS measurements show formation of electrically active defects such as the two negative charge states of the divacancy (V 2 (=/-) and V 2 (-/0)) and the vacancy-oxygen (VO) center. It is observed that the intensity of the V 2 (=/-) peak is lower compared to that of V 2 (-/0) by a factor of 5. This has been attributed to a highly localized distribution of the defects along the ion tracks, which results in trapping of the carriers at V 2 (-/0) and incomplete occupancy of V 2 (=/-). The SCM measurements obtained in a plan view show a random pattern of regions with a reduced SCM signal for the samples implanted with fluence above 2 x 10 8 cm -2 . The reduced SCM signal is attributed to extra charges associated with acceptor states, such as V 2 (-/0), formed along the ion tracks in the bulk Si. Indeed, the electron emission rate from the V 2 (-/0) state is in the range of 10 kHz at room temperature, which is well below the probing frequency of the SCM measurements, resulting in 'freezing' of electrons at V 2 (-/0)

  11. Hall effect mobility for SiC MOSFETs with increasing dose of nitrogen implantation into channel region

    Science.gov (United States)

    Noguchi, Munetaka; Iwamatsu, Toshiaki; Amishiro, Hiroyuki; Watanabe, Hiroshi; Kita, Koji; Yamakawa, Satoshi

    2018-04-01

    The Hall effect mobility (μHall) of the Si-face 4H-SiC metal–oxide–semiconductor field effect transistor (MOSFET) with a nitrogen (N)-implanted channel region was investigated by increasing the N dose. The μHall in the channel region was systematically examined regarding channel structures, that is, the surface and buried channels. It was experimentally demonstrated that increasing the N dose results in an improvement in μHall in the channel region due to the formation of the buried channel. However, further increase in N dose was found to decrease the μHall in the channel region, owing to the decrease in the electron mobility in the N-implanted bulk region.

  12. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  13. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  14. Characteristic electron energy loss spectra in SiC buried layers formed by C+ implantation into crystalline silicon

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Kwok, R.W.M.

    1998-01-01

    SiC buried layers were synthesized by a metal vapor vacuum arc ion source, with C + ions implanted into crystalline Si substrates. According to X-ray photoelectron spectroscopy, the characteristic electron energy loss spectra of the SiC buried layers were studied. It was found that the characteristic electron energy loss spectra depend on the profiles of the carbon content, and correlate well with the order of the buried layers

  15. Y2O3:Yb,Er@mSiO2-CuxS double-shelled hollow spheres for enhanced chemo-/photothermal anti-cancer therapy and dual-modal imaging

    Science.gov (United States)

    Yang, Dan; Yang, Guixin; Wang, Xingmei; Lv, Ruichan; Gai, Shili; He, Fei; Gulzar, Arif; Yang, Piaoping

    2015-07-01

    Multifunctional composites have gained significant interest due to their unique properties which show potential in biological imaging and therapeutics. However, the design of an efficient combination of multiple diagnostic and therapeutic modes is still a challenge. In this contribution, Y2O3:Yb,Er@mSiO2 double-shelled hollow spheres (DSHSs) with up-conversion fluorescence have been successfully prepared through a facile integrated sacrifice template method, followed by a calcination process. It is found that the double-shelled structure with large specific surface area and uniform shape is composed of an inner shell of luminescent Y2O3:Yb,Er and an outer mesoporous silica shell. Ultra small CuxS nanoparticles (about 2.5 nm) served as photothermal agents, and a chemotherapeutic agent (doxorubicin, DOX) was then attached onto the surface of mesoporous silica, forming a DOX-DSHS-CuxS composite. The composite exhibits high anti-cancer efficacy due to the synergistic photothermal therapy (PTT) induced by the attached CuxS nanoparticles and the enhanced chemotherapy promoted by the heat from the CuxS-based PTT when irradiated by 980 nm near-infrared (NIR) light. Moreover, the composite shows excellent in vitro and in vivo X-ray computed tomography (CT) and up-conversion fluorescence (UCL) imaging properties owing to the doped rare earth ions, thus making it possible to achieve the target of imaging-guided synergistic therapy.Multifunctional composites have gained significant interest due to their unique properties which show potential in biological imaging and therapeutics. However, the design of an efficient combination of multiple diagnostic and therapeutic modes is still a challenge. In this contribution, Y2O3:Yb,Er@mSiO2 double-shelled hollow spheres (DSHSs) with up-conversion fluorescence have been successfully prepared through a facile integrated sacrifice template method, followed by a calcination process. It is found that the double-shelled structure with large

  16. Investigation of the atomic interface structure of mesotaxial Si/CoSi2(100) layers formed by high-dose implantation

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Jong, A.F. de; Vandenhoudt, D.E.W.

    1991-01-01

    Aligned mesotaxial films of CoSi 2 in monocrystalline (100) oriented Si substrates have been formed by high-dose ion implantation of Co, followed by a high temperature treatment. The atomic structures of both the lower and upper Si/CoSi 2 (100) interfaces of the buried CoSi 2 layer have been investigated by high-resolution electron microscopy (HREM) combined with image simulations. A domain-like structure is observed consisting of areas with different interfaces. In order to derive the atomic configuration, image simulations of different proposed models are presented. By comparing simulated images and HREM images, two different atomic structure models for the Si/CoSi 2 (100) interface have been found. In the first model the interfacial Co atoms are six-fold coordinated and the tetrahedral coordination and bond lengths of silicon atoms are everywhere maintained. In the second model we found evidence for a 2 x 1 interface reconstruction, involving a difference in composition. The interfacial Co atoms are seven-fold coordinated. It is shown that the boundaries between the domains are associated with interfacial dislocations of edge-type with Burgers vectors b a/4 inclined and b = a/2 parallel to the interfacial plane. (author)

  17. Boron lattice location in room temperature ion implanted Si crystal

    International Nuclear Information System (INIS)

    Piro, A.M.; Romano, L.; Mirabella, S.; Grimaldi, M.G.

    2005-01-01

    The B lattice location in presence of a Si-self-interstitial (I Si ) supersaturation, controlled by energetic proton bombardment, has been studied by means of ion channelling and massive Monte Carlo simulations. B-doped layers of Si crystals with a B concentration of 1 x 10 2 B/cm 3 were grown by Molecular Beam Epitaxy. Point defect engineering techniques, with light energetic ion implants, have been applied to generate an I Si uniform injection in the electrically active layer. The displacement of B atoms out of substitutional lattice sites was induced by 650 keV proton irradiations at room temperature (R.T.) and the resultant defect configuration was investigated by ion channelling and Nuclear Reaction Analysis (NRA) techniques. Angular scans were measured both through and axes along the (1 0 0) plane using the 11 B(p,α) 8 Be nuclear reaction at 650 keV proton energy. Monte Carlo simulated angular scans were calculated considering a variety of theoretical defect configurations, supported by literature, and compared with experimental data. Our experimental scans can be fitted by a linear combination of small (0.3 A) and large B displacements (1.25 A) along the direction, compatible with the B-dumbbell oriented along as proposed by ab initio calculations

  18. High temperature mechanical behaviour of glass-ceramics in the YSiAlON and ErSiAlON systems

    Energy Technology Data Exchange (ETDEWEB)

    Bondanini, A.; Massouras, G.; Besson, J.L. [ENSCI, Limoges (France). SPCTS

    2002-07-01

    The high temperature mechanical behaviour of oxynitride glass-ceramics in the YSiAlON and ErSiAlON systems was studied in the 950-1150 C temperature range under compressive stresses ranging from 20 to 100 MPa. The parent glass had a composition of 35 Y(or Er)-45 Si-20 Al-83 O-17 N in equivalent percent. Starting from these glasses, glass-ceramics were prepared using a two stage heat treatment: nucleation at the optimum nucleation temperature followed by crystal growth at 1050, 1150 or 1250 C. The two parent glasses had similar viscosities, with that of the Er-glass being slightly less than that of the Y-glass. After the devitrification treatment at 1050 C, B-phase (M{sub 2}SiAlO{sub 5}N) was the only crystalline phase formed in both systems. The creep behaviour was similar for the yttrium and the erbium materials. It was characterised by a long transient stage, due to the viscoelastic response of the residual glass, with recovered strain after unloading decreasing as loading time increased. The creep resistance was compared to that of the parent glasses in terms of apparent viscosity. The crystallisation of 75% of the glass resulted in an increase in viscosity such that a temperature some 100 C higher showed the same viscosity value. After heat treatment at 1150 C, the phase assemblage in the yttrium material changed with the formation of wollastonite and partial conversion of B-phase into Iw-phase. The apparent viscosity was 2 orders of magnitude higher than that of the samples heat treated at 1050 C and no strain recovery was observed upon unloading. In contrast, the erbium materials retained the same microstructure as after the heat treatment at 1050{sup b}C and there was no difference in the creep behaviour of the samples heat treated at 1050 or 1150 C. After a crystallisation treatment at 1250 C of the yttrium parent glass, the glass-ceramic consisted of yttrium aluminium garnet, N-apatite and {beta}-Y{sub 2}Si{sub 2}O{sub 7} and showed excellent creep

  19. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  20. Neutron scattering study on R{sub 2}PdSi{sub 3} (R=Ho,Er,Tm) compounds

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Fei

    2010-12-14

    Previous studies on the family of inter-metallic rare-earth compounds R{sub 2}PdSi{sub 3} revealed multifaceted magnetic properties, for instance, spin-glass like behavior. Experimental observations include: Signs of a crystallographic superstructure, complicated magnetic structures both in zero field and in applied magnetic fields as well as a generic phase in applied fields for compounds in the series with the heavy rare-earths R=Gd, Tb, Dy, Ho, Er and Tm. This thesis expands the studies on the magnetic properties of R{sub 2}PdSi{sub 3} employing mainly neutron scattering on single crystals with the focus on the compounds with R=Ho, Er and Tm. A detailed analysis of the crystallographic superstructure using modulation wave approach and group theory is presented. The resulting structure implies the existence of two different rare-earth sites with reduced symmetry and an arrangement of the different sites according to sequences as determined by the superstructure. It is shown that the reduced symmetry of the rare-earth sites is explicitly observed in the energy spectra of inelastic neutron scattering. The results on the magnetic structures and excitations are shown and discussed in the framework of the superstructure model. Specifically the generic phase in applied fields is interpreted as a direct consequence of the crystallographic superstructure. It is rather unusual that a crystallographic superstructure is playing such a decisive, and through the field dependence also tunable role in determining the magnetic properties as observed in R{sub 2}PdSi{sub 3}. The mediating interactions between the crystallographic part and the magnetic part of the system are discussed. (orig.)

  1. Nucleation, growth and dissolution of extended defects in implanted Si: impact on dopant diffusion

    International Nuclear Information System (INIS)

    Claverie, A.; Giles, L.F.; Omri, M.; Mauduit, B. de; Ben Assayag, G.; Mathiot, D.

    1999-01-01

    Transient Enhanced Diffusion (TED) of boron in silicon is driven by the large supersaturations of self-interstitial silicon atoms left after implantation which also often lead to the nucleation and subsequent growth, upon annealing, of extended defects. In this paper we review selected experimental results and concepts concerning boron diffusion and/or defect behavior which have recently emerged with the ion implantation community and briefly indicate how they are, or will be, currently used to improve 'predictive simulations' softwares aimed at predicting TED. In a first part, we focus our attention on TED and on the formation of defects in the case of 'direct' implantation of boron in silicon. In a second part, we review our current knowledge of the defects and of the diffusion behavior of boron when annealing preamorphised Si. In a last part, we try to compare these two cases and to find out what are the reasons for some similarities and many differences in defect types and thermal evolution depending on whether boron is implanted in crystalline or amorphous silicon. While rising many more questions, we propose a 'thermodynamical' vision of the nucleation and growth of clusters and extended defects and stress the interactions between these defects and the free Si self-interstitial atoms which surround them and are the source for TED in all cases. A pragmatic approach to the simulation of TED for various experimental conditions is proposed

  2. Co-delivery of siRNA and doxorubicin to cancer cells from additively manufactured implants

    DEFF Research Database (Denmark)

    Chen, Muwan; Andersen, Morten Østergaard; Dillschneider, Philipp

    2015-01-01

    , capable of physically supporting the void while killing residual cancer cells, would be an attractive solution. Here we describe a novel additively manufactured implant that can be functionalized with chitosan/siRNA nanoparticles. These induce long term gene silencing in adjacent cancer cells without...

  3. Exploring of defects in He+ implanted Si(100) by slow positron beam

    International Nuclear Information System (INIS)

    Zhang Tianhao; Weng Huimin; Fan Yangmei; Du Jiangfeng; Zhou Xianyi; Han Rongdian; Zhang Miao; Lin Chenglu

    2001-01-01

    Si(100) crystal implanted by 5 x 10 16 cm -2 , 140 keV He + was probed by slow positron beam, and defect distribution along depth was obtained from the relation between S parameter and positron incidence energy. The near surface region of implanted sample was only slightly damaged. Small vacancies and vacancy clusters less than 1 nm in diameter were the dominant defects, while the deeper region around the He + projected range was heavily damaged and had dense larger helium micro-bubbles and microvoids. Thermal anneal study at different temperatures showed that low temperature annealing could remove most vacancy-type defects effectively. However, annealing at high temperature enlarged the diameters of micro-bubbles and microvoids

  4. Thermal stability of intermediate band behavior in Ti implanted Si

    Energy Technology Data Exchange (ETDEWEB)

    Olea, J.; Pastor, D.; Martil, I.; Gonzalez-Diaz, G. [Dpto. De Fisica Aplicada III (Electricidad y Electronica), Facultad de Ciencias Fisicas, Universidad Complutense de Madrid, E-28040 Madrid (Spain)

    2010-11-15

    Ti implantation in Si with very high doses has been performed. Subsequent Pulsed Laser Melting (PLM) annealing produces good crystalline lattice with electrical transport properties that are well explained by the Intermediate Band (IB) theory. Thermal stability of this new material is analyzed by means of isochronal annealing in thermodynamic equilibrium conditions at increasing temperature. A progressive deactivation of the IB behavior is shown during thermal annealing, and structural and electrical measurements are reported in order to find out the origin of this result. (author)

  5. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  6. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  7. Depth dependent modification of optical constants arising from H+ implantation in n-type 4H-SiC measured using coherent acoustic phonons

    Directory of Open Access Journals (Sweden)

    Andrey Baydin

    2016-06-01

    Full Text Available Silicon carbide (SiC is a promising material for new generation electronics including high power/high temperature devices and advanced optical applications such as room temperature spintronics and quantum computing. Both types of applications require the control of defects particularly those created by ion bombardment. In this work, modification of optical constants of 4H-SiC due to hydrogen implantation at 180 keV and at fluences ranging from 1014 to 1016 cm−2 is reported. The depth dependence of the modified optical constants was extracted from coherent acoustic phonon spectra. Implanted spectra show a strong dependence of the 4H-SiC complex refractive index depth profile on H+ fluence. These studies provide basic insight into the dependence of optical properties of 4H silicon carbide on defect densities created by ion implantation, which is of relevance to the fabrication of SiC-based photonic and optoelectronic devices.

  8. The effects of irradiation and proton implantation on the density of mobile protons in SiO2 films

    International Nuclear Information System (INIS)

    Vanheusden, K.

    1998-04-01

    Proton implantation into the buried oxide of Si/SiO 2 /Si structures does not introduce mobile protons. The cross section for capture of radiation-induced electrons by mobile protons is two orders of magnitude smaller than for electron capture by trapped holes. The data provide new insights into the atomic mechanisms governing the generation and radiation tolerance of mobile protons in SiO 2 . This can lead to improved techniques for production and radiation hardening of radiation tolerant memory devices

  9. SIMS analyses of ultra-low-energy B ion implants in Si: Evaluation of profile shape and dose accuracy

    International Nuclear Information System (INIS)

    Magee, C.W.; Hockett, R.S.; Bueyueklimanli, T.H.; Abdelrehim, I.; Marino, J.W.

    2007-01-01

    Numerous experimental studies for near-surface analyses of B in Si have shown that the B distribution within the top few nanometers is distorted by secondary ion mass spectrometry (SIMS) depth profiling with O 2 -flooding or normal incidence O 2 bombardment. Furthermore, the presence of surface oxide affects the X j determination as well as B profile shape when SIMS analyses are conducted while fully oxidizing the analytical area. Nuclear techniques such as elastic recoil detection (ERD), nuclear reaction analysis (NRA), and high-resolution Rutherford backscattering spectrometry (HR-RBS), are known to provide a profile shape near the surface that is free of artifacts. Comparisons with SIMS analyses have shown that SIMS analyses without fully oxidizing the analytical area agree well with these techniques at sufficiently high concentrations (where the nuclear techniques are applicable). The ability to measure both the B profile and an oxide marker with this non-oxidizing SIMS technique also allows accurate positioning of the B profile with respect to the SiO 2 /Si interface. This SIMS analysis protocol has been used to study the differences in near-surface dopant distribution for plasma-based implants. This study specifically focuses on measuring near-surface profile shapes as well as total implant doses for ultra-shallow B implants in Si especially those made with high peak B concentrations

  10. Activation characteristics of ion-implanted Si+ in AlGaN

    International Nuclear Information System (INIS)

    Irokawa, Y.; Fujishima, O.; Kachi, T.; Pearton, S.J.; Ren, F.

    2005-01-01

    Multiple-energy Si + implantation in the range 30-360 keV into Al 0.13 Ga 0.87 N for n-type doping was carried out at room temperature, followed by annealing at 1150-1375 deg. C for 5 min. Activation efficiencies close to 100% were obtained for ion doses of 1.0x10 15 cm -2 after annealing at 1375 deg. C, with a resulting sheet resistance of 74 Ω/square. By sharp contrast, the activation efficiency at 1150 deg. C was only 4% for this dose, with a sheet resistance of 1.63x10 4 Ω/square. The activation efficiency was also a function of dose, with a maximum activation percentage of only 55% for lower doses of 1.0x10 14 cm -2 annealed at 1375 deg. C. This is due to the comparatively larger effect of compensating acceptors at the lower dose and is also lower than the corresponding activation of Si in pure GaN under these conditions (78%). The measurement temperature dependence of sheet carrier density showed an activation energy of 23 meV, consistent with the ionization energy of Si in AlGaN

  11. Vacancy-related defect distributions in 11B-, 14N-, and 27Al-implanted 4H-SiC: Role of channeling

    International Nuclear Information System (INIS)

    Janson, M.S.; Slotte, J.; Kuznetsov, A.Yu.; Saarinen, K.; Hallen, A.

    2004-01-01

    The defect distributions in 11 B-, 14 N-, and 27 Al-implanted epitaxial 4H-SiC are studied using monoenergetic positron beams. At least three types of defects are needed to account for the Doppler broadening annihilation spectra and two of the defects are tentatively identified as V Si , and V Si V C . By comparing the defect profiles extracted from the annihilation spectra to the chemical profiles determined by secondary ion mass spectrometry, and to the primary defect profiles obtained from binary collision approximation simulations, it is concluded that the defects found at depths considerably deeper than the projected range of the implanted ions mainly originate from deeply channeled ions

  12. Copper diffusion in Ti-Si-N layers formed by inductively coupled plasma implantation

    International Nuclear Information System (INIS)

    Ee, Y.C.; Chen, Z.; Law, S.B.; Xu, S.; Yakovlev, N.L.; Lai, M.Y.

    2006-01-01

    Ternary Ti-Si-N refractory barrier films of 15 nm thick was prepared by low frequency, high density, inductively coupled plasma implantation of N into Ti x Si y substrate. This leads to the formation of Ti-N and Si-N compounds in the ternary film. Diffusion of copper in the barrier layer after annealing treatment at various temperatures was investigated using time-of-flight secondary ion mass spectrometer (ToF-SIMS) depth profiling, X-ray diffractometer (XRD), field emission scanning electron microscopy (FESEM), energy dispersive X-ray (EDX) and sheet resistance measurement. The current study found that barrier failure did not occur until 650 deg. C annealing for 30 min. The failure occurs by the diffusion of copper into the Ti-Si-N film to form Cu-Ti and Cu-N compounds. FESEM surface morphology and EDX show that copper compounds were formed on the ridge areas of the Ti-Si-N film. The sheet resistance verifies the diffusion of Cu into the Ti-Si-N film; there is a sudden drop in the resistance with Cu compound formation. This finding provides a simple and effective method of monitoring Cu diffusion in TiN-based diffusion barriers

  13. Broad and focused ion beams Ga+ implantation damage in the fabrication of p+-n Si shallow junctions

    International Nuclear Information System (INIS)

    Steckl, A.J.; Lin, C.M.; Patrizio, D.; Rai, A.K.; Pronko, P.P.

    1989-01-01

    The use of focused and broad beam Ga + implantation for the fabrication of p + -n Si shallow junctions is explored. In particular, the issue of ion induced damage and its effect on diode electrical properties is explored. FIB-fabricated junctions exhibit a deeper junction with lower sheet resistance and higher leakage current than the BB-implanted diodes. TEM analysis exhibits similar amorphization and recrystallization behavior for both implantation techniques with the BB case generating a higher dislocation loop density after a 900 degree C anneal. 6 refs., 5 figs., 1 tab

  14. High Power Self-Aligned, Trench-Implanted 4H-SiC JFETs

    Directory of Open Access Journals (Sweden)

    Vamvoukakis K.

    2017-01-01

    Full Text Available The process technology for the fabrication of 4H-SiC trenched-implanted-gate 4H–SiC vertical-channel JFET (TI-VJFET has been developed. The optimized TIVJFETs have been fabricated with self-aligned nickel silicide source and gate contacts using a process sequence that greatly reduces process complexity as it includes only four lithography steps. A source-pillars sidewall oxidation and subsequent removal of the metallization from the top of the sidewall oxide ensured isolation between gate and source. Optimum planarization of the source pillars top has been performed by cyclotene spin coating and etch back. The effect of the channel geometry on the electrical characteristics has been studied by varying its length (0.3 and 1.2μm and its width (1.5-5μm. The voltage blocking exhibits a triode shape, which is typical for a static-induction transistor (SIT operation. The transistors exhibited high ON current handling capabilities (Direct Current density >1kA/cm2 and values of RON ranging from 6 - 12 mΩ•cm2 depending on the channel length. Maximum voltage blocking was 800V limited by the edge termination. The maximum voltage gain was 51. Most transistors were normally-on. Normally-off operation has been observed for transistors lower than 2μm channel width (mask level and deep implantation.

  15. Characterization of vacancy-type defects induced by the implantation of Se and Si ions into GaAs by a slow positron beam

    International Nuclear Information System (INIS)

    Fujii, Satoshi; Shikata, Shinichi; Wei Long; Tanigawa, Shoichiro.

    1992-01-01

    Variable-energy (0-30keV) positron beam studies have been carried out on 200 keV Se-implanted and 70 keV Si-implanted GaAs specimens before and after annealing for electrical activation. From the measurements of Doppler broadened profiles as a function of incident positron energy, it was found that vacancy clusters with high concentration were introduced in the annealed specimen after Se implantation. From the parallel measurement of electrical characteristics, a higher activation efficiency was found for the higher concentration of vacancy clusters. That fact implies that electrons supplied by the activation of Se also convert the charge state of As vacancies from positive to negative. In contrast, no vacancy clusters were introduced in the Si-implanted GaAs. (author)

  16. Effects of synchrotron x-rays on PVD deposited and ion implanted α-Si

    International Nuclear Information System (INIS)

    Yu, K.M.; Wang, L.; Walukiewicz, W.; Muto, S.; McCormick, S.; Abelson, J.R.

    1997-01-01

    The authors have studied the effects of intense X-ray irradiation on the structure of amorphous Si films. The films were obtained by either physical vapor deposition or by implantation of high energy ions into crystalline Si. They were exposed to different total doses of synchrotron X-rays. From the EXAFS and EXELFS measurements they find that an exposure to X-rays increases the Si coordination number. Also in the PVD films a prolonged X-ray exposure enlarges, by about 2%, the Si-Si bond length. Raman spectroscopy shows that Si amorphized with high energy ions contains small residual amounts of crystalline material. Irradiation of such films with X-rays annihilates those crystallites resulting in homogeneously amorphous layer with a close to four-fold coordination of Si atoms. This rearrangement of the local structure has a pronounced effect on the crystallization process of the amorphous films. Thermal annealing of X-ray irradiated ion amorphized films leads to nearly defect free solid phase epitaxy at 500 C. Also they observe a delay in the onset of the crystallization process in X-ray irradiated PVD films. They associate this with a reduced concentration of nucleation centers in the x-ray treated materials

  17. Atomic mixing effects on high fluence Ge implantation into Si at 40 keV

    International Nuclear Information System (INIS)

    Gras-Marti, A.; Jimenez-Rodriguez, J.J.; Peon-Fernandez, J.; Rodriguez-Vidal, M.; Tognetti, N.P.; Carter, G.; Nobes, M.J.; Armour, D.G.

    1982-01-01

    Ion implanted profiles of 40 keV Ge + into Si at fluences ranging from approx. equal to 10 15 ions/cm 2 up to saturation have been measured using the RBS technique. The profiles compare well with the predictions of an analytical model encompasing sputter erosion plus atomic relocation. (orig.)

  18. Variation with temperature in thermophysical properties of D-Er/sub 2/Si/sub 2/O/sub 7/

    International Nuclear Information System (INIS)

    Maqsood, A.; Kamran, K.; Rehman, A.U.

    2007-01-01

    The first measurements of the thermal conductivity, thermal diffusivity and volumetric heat capacity of polycrystalline D-Er/sub 2/Si/sub 2/O/sub 7/ have been made simultaneously in the temperature range 77-300K. Both the thermal conductivity and thermal diffusivity follow a modified Eucken's law in the temperature region mentioned here. The heat capacity at constant pressure (C/sub p/), determined from the volumetric heat capacity, agrees with the calculated one at room temperature. (author)

  19. Moessbauer and channeling experiments on TeSi and SmSi

    International Nuclear Information System (INIS)

    Kemerink, G.J.; Boerma, D.O.; Waard, H. de; Wit, J.C. de; Drentje, S.A.

    1980-01-01

    Considerable effort is made to obtain an insight in the structural and electronic properties of ion implanted elemental semiconductors. This research is strongly stimulated by the many applications of semi-conductor devices. We report here on Moessbauer studies of 129 TeSi and 153 SmSi, using the 27.8 keV transition in 129 I and the 103.2 keV transition in 153 Eu, respectively, and on channeling experiments on 128 TeSi and 152 SmSi with a 2 MeV α-beam from the Groningen Van de Graaff generator. In the Moessbauer experiments we used Cu 129 I and EuF 3 .1/2H 2 O as absorber materials. Source and absorber were held at 4.2 K. The implantations were generally done at room temperature with an implantation energy of 100-115 keV. For the Moessbauer and channeling measurements we applied similar Si single crystals and the same implantation and annealing conditions. Crystals with low doses could only be investigated with the Moessbauer effect

  20. Erbium environments in erbium-silicon/silica light emitting nanostructures

    International Nuclear Information System (INIS)

    Kashtiban, R J; Bangert, U; Crowe, I F; Halsall, M P

    2011-01-01

    Co-doping of SiO 2 with Si and Er to achieve silica fibre amplifiers has resulted in encouraging levels of light emission, much above those of Er-only doped SiO 2 . However, different fabrication methods, i.e., co-implantion and sequential implantation of Er and Si, has led to several factors difference in light levels. This paper looks into the reasons for these differences by establishing structure and local stoichiometry of the created entities via analytical transmission electron microscopy. In both cases Si-nanocrystals (NCs) have formed in the SiO 2 matrix. In the former case Er-ions are co-located with /integrated within the NCs, in the latter case NCs and Er are separate. By assessing the NCs' internal and interfacial structure with the surrounding material, we attempt to identify chemical/structural Er-phases/defects and their effect on the sensitising efficiency in the Er:Si-NCs system; high resolution phase contrast- and high angle dark field imaging as well as nano-scale spatially resolved electron energy core loss- and plasmon-spectroscopy carried out in an aberration corrected dedicated STEM lend valuable support to these studies.

  1. Reduction of transient diffusion from 1 endash 5 keV Si+ ion implantation due to surface annihilation of interstitials

    International Nuclear Information System (INIS)

    Agarwal, A.; Gossmann, H.-.; Eaglesham, D.J.; Pelaz, L.; Jacobson, D.C.; Haynes, T.E.; Erokhin, Y.E.

    1997-01-01

    The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 1x10 14 cm -2 Si + was implanted at energies ranging from 0.5 to 20 keV into boron doping superlattices and enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050 degree C. A linearly decreasing dependence of diffusivity enhancement on decreasing Si + ion range is observed at all temperatures, extrapolating to ∼1 for 0 keV. This is consistent with our expectation that at zero implantation energy there would be no excess interstitials from the implantation and hence no TED. Monte Carlo modeling and continuum simulations are used to fit the experimental data. The results are consistent with a surface recombination length for interstitials of <10 nm. The data presented here demonstrate that in the range of annealing temperatures of interest for p-n junction formation, TED is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface. copyright 1997 American Institute of Physics

  2. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M.; Berg, J.A. van den E-mail: j.a.vandenberg@salford.ac.uk; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q

    2004-02-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10{sup 13} to 5 x 10{sup 15} cm{sup -2}. MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10{sup 14} cm{sup -2} (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a {approx}4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses {>=}4 x 10{sup 14} cm{sup -2} the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, {approx}2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As.

  3. Damage accumulation and dopant migration during shallow As and Sb implantation into Si

    International Nuclear Information System (INIS)

    Werner, M.; Berg, J.A. van den; Armour, D.G.; Vandervorst, W.; Collart, E.H.J.; Goldberg, R.D.; Bailey, P.; Noakes, T.C.Q.

    2004-01-01

    The damage evolution and concomitant dopant redistribution as a function of ion fluence during ultra shallow, heavy ion implants into Si have been investigated using medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). These studies involved As and Sb ions implanted at room temperature, at energies of 2.5 and 2 keV to doses from 3 x 10 13 to 5 x 10 15 cm -2 . MEIS is capable of detecting both the displaced atom and implant profiles with sub-nanometre depth resolution. These studies show that for doses up to 1 x 10 14 cm -2 (at which an amorphous layer is formed) the damage build up does not follow the energy deposition function. Instead it proceeds through the initial formation of a ∼4 nm wide amorphous layer immediately under the oxide, that grows inwards into the bulk with increasing dose. This behaviour is explained in terms of the migration of some of the interstitials produced along the length of the collision cascade to the oxide or amorphous/crystal Si interface, where their trapping nucleates the growth of a shallow amorphous layer and the subsequent planar growth inwards of the damage layer. Although for doses ≥4 x 10 14 cm -2 the As depth profiles agreed well with TRIM calculations, for lower doses As was observed to have a shallower profile, ∼2 nm nearer to the surface. This behaviour is related the growth of the amorphous layer and ascribed to the movement of As into the near-surface amorphous layer (probably mediated by point defect migration) in which the larger dopant is accommodated more easily. SIMS studies have confirmed this dopant segregation effect. Shallow Sb implants also exhibit this novel dopant movement effect for low doses in combination with a damage evolution similar to As

  4. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  5. Tailoring of SiC nanoprecipitates formed in Si

    Energy Technology Data Exchange (ETDEWEB)

    Velisa, G., E-mail: gihan.velisa@cea.fr [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Horia Hulubei National Institute for Physics and Nuclear Engineering, P.O. Box MG-6, 077125 Magurele (Romania); Trocellier, P. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Thomé, L. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Vaubaillon, S. [CEA, INSTN, UEPTN, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Miro, S.; Serruys, Y.; Bordas, É. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France); Meslin, E. [CEA, DEN, Service de Recherches de Métallurgie Physique, F-91191 Gif-sur-Yvette (France); Mylonas, S. [Centre de Spectrométrie Nucléaire et de Spectrométrie de Masse, UMR8609, Bât. 108, 91405 Orsay (France); Coulon, P.E. [Ecole Polytechnique, Laboratoire des Solides Irradiés, CEA/DSM/IRAMIS-CNRS, 91128 Palaiseau Cedex (France); Leprêtre, F.; Pilz, A.; Beck, L. [CEA, DEN, Service de Recherches de Métallurgie Physique, Laboratoire JANNUS, F-91191 Gif-sur-Yvette (France)

    2013-07-15

    The SiC synthesis through single-beam of C{sup +}, and simultaneous-dual-beam of C{sup +} and Si{sup +} ion implantations into a Si substrate heated at 550 °C has been studied by means of three complementary analytical techniques: nuclear reaction analysis (NRA), Raman, and transmission electron microscopy (TEM). It is shown that a broad distribution of SiC nanoprecipitates is directly formed after simultaneous-dual-beam (520-keV C{sup +} and 890-keV Si{sup +}) and single-beam (520-keV C{sup +}) ion implantations. Their shape appear as spherical (average size ∼4–5 nm) and they are in epitaxial relationship with the silicon matrix.

  6. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  7. The interaction between Xe and F in Si (1 0 0) pre-amorphised with 20 keV Xe and implanted with low energy BF{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom)]. E-mail: m.werner@pgr.salford.ac.uk; Berg, J.A. van den [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Armour, D.G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Carter, G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Feudel, T. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Herden, M. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Bersani, M. [ITC IRST, 38050 Povo, Trento (Italy); Giubertoni, D. [ITC IRST, 38050 Povo, Trento (Italy); Bailey, P. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom); Noakes, T.C.Q. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom)

    2004-12-15

    The pre-amorphisation of Si by Xe{sup +} ions, before source/drain and extension implants, is an attractive alternative to Ge{sup +} or Si{sup +}, as it produces sharper amorphous/crystalline interfaces. Si (1 0 0) samples pre-amorphised with 20 keV Xe{sup +} to a nominal dose of 2E14 cm{sup -2} were implanted with 1 and 3 keV BF{sub 2} {sup +} to doses of 7E14 cm{sup -2}. Samples were annealed at temperatures ranging from 600 to 1130 deg. C and investigated by medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). Following annealing, it was observed that implanted Xe has interacted with F originating from the BF{sub 2} {sup +} implant. MEIS studies showed that for all annealing conditions, approximately half of the Xe accumulated at depths of 7 nm for the 1 keV and at 13 nm for the 3 keV BF{sub 2} {sup +} implant. This equates to the end of range of B and F within the amorphous Si. SIMS showed that in the pre-amorphised samples, approximately 10% of the F migrates into the bulk and is trapped at the same depth in a {approx}1:1 ratio to Xe. A small fraction of the implanted B is also trapped. The effect is interpreted in terms of the formation of a defect structure within the amorphised Si, leading to F stabilised Xe bubble or XeF compound formation.

  8. Space charge limitation of the current in implanted SiO2 layers

    International Nuclear Information System (INIS)

    Szydlo, N.; Poirier, R.

    1974-01-01

    Metal-oxide-semiconductor capacitors were studied where the metal is a semitransparent gold layer of 5mm diameter, the oxide is thermal silica whose, thickness depends on the nature of the implant, and the semiconductor is N-type silicon of 5 ohms/cm. The SiO 2 thickness was chosen in such a way that the maximum of the profile of the implanted substance is in the medium of the oxide layer. In the case of virgin silica, the oscillations in the photocurrent versus energy and exponential variations versus the applied voltage show that the photoconduction obeys the model of injection limited current. In the case of the oxide after ion bombardment, the photocurrent similarity, independent of the direction of the electric field in silica, shows that volume transport phenomena become preponderent [fr

  9. Specific features of the current–voltage characteristics of SiO{sub 2}/4H-SiC MIS structures with phosphorus implanted into silicon carbide

    Energy Technology Data Exchange (ETDEWEB)

    Mikhaylova, A. I., E-mail: m.aleksey.spb@gmail.com; Afanasyev, A. V.; Ilyin, V. A.; Luchinin, V. V. [St. Petersburg State Electrotechnical University LETI (Russian Federation); Sledziewski, T. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany); Reshanov, S. A.; Schöner, A. [Ascatron AB (Sweden); Krieger, M. [Friedrich–Alexander–Universität Erlangen–Nürnberg (Germany)

    2016-01-15

    The effect of phosphorus implantation into a 4H-SiC epitaxial layer immediately before the thermal growth of a gate insulator in an atmosphere of dry oxygen on the reliability of the gate insulator is studied. It is found that, together with passivating surface states, the introduction of phosphorus ions leads to insignificant weakening of the dielectric breakdown field and to a decrease in the height of the energy barrier between silicon carbide and the insulator, which is due to the presence of phosphorus atoms at the 4H-SiC/SiO{sub 2} interface and in the bulk of silicon dioxide.

  10. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  11. Implantation processing of Si: A unified approach to understanding ion-induced defects and their impact

    International Nuclear Information System (INIS)

    Holland, O.W.; Roth, E.G.

    1997-05-01

    A model is presented to account for the effects of ion-induced defects during implantation processing of Si. It will be shown that processing is quite generally affected by the presence of defect excesses rather than the total number of defects. a defect is considered excess if it represents a surplus locally of one defect type over its compliment. Processing spanning a wide range of implantation conditions will be presented to demonstrate that the majority of the total defects played little or no role in the process. This is a direct result of the ease with which the spatially correlated Frenkel pairs recombine either dynamically or during a post-implantation annealing. Based upon this model, a method will be demonstrated for manipulating or engineering the excess defects to modify their effects. In particular high-energy, self-ions are shown to inject vacancies into a boron implanted region resulting in suppression of transient enhanced diffusion of the dopant

  12. Robustness up to 400°C of the passivation of c-Si by p-type a-Si:H thanks to ion implantation

    Science.gov (United States)

    Defresne, A.; Plantevin, O.; Roca i Cabarrocas, Pere

    2016-12-01

    Heterojunction solar cells based on crystalline silicon (c-Si) passivated by hydrogenated amorphous silicon (a-Si:H) thin films are one of the most promising architectures for high energy conversion efficiency. Indeed, a-Si:H thin films can passivate both p-type and n-type wafers and can be deposited at low temperature (layers, in particular p-type a-Si:H, show a dramatic degradation in passivation quality above 200°C. Yet, annealing at 300 - 400°C the TCO layer and metallic contacts is highly desirable to reduce the contact resistance as well as the TCO optical absorption. In this work, we show that as expected, ion implantation (5 - 30 keV) introduces defects at the c-Si/a-Si:H interface which strongly degrade the effective lifetime, down to a few micro-seconds. However, the passivation quality can be restored and lifetime values can be improved up to 2 ms over the initial value with annealing. We show here that effective lifetimes above 1 ms can be maintained up to 380°C, opening up the possibility for higher process temperatures in silicon heterojunction device fabrication.

  13. Erbium implantation in Strontium Titanate

    CERN Document Server

    Araújo, J P; Alves, E; Correia, J G; Monteiro, T; Soares, J; Santos, L

    2002-01-01

    We report on the lattice location of Er in SrTiO$_{3}$ single crystals using the emission channeling technique. The angular distribution of conversion electrons emitted from $^{167m}$Er(T$_{1/2}$=2.27 s) was monitored with a position-sensitive detector following the room-temperature 60 keV implantation of the precursor isotope $^{167}$Tm(T$_{1/2}$=9.25 d) to a dose of 2$\\times$ 10$^{12}$ at./cm$^{2}$. The results for the sample annealed in vacuum at 610°C for 15 min provide direct evidence that Er occupies both Sr and Ti substitutional lattice sites. In addition, thermal recovery of lattice damage was also studied with RBS/C for SrTiO$_{3}$ implanted to doses of 5$\\times$ 10$^{14}$ and 5 $\\times$ 10$^{15}$ Er/cm$^{2}$. We further comment on preliminary photoluminescence results from these samples.

  14. Spectroscopic and structural investigation of undoped and Er{sup 3+} doped hafnium silicate layers

    Energy Technology Data Exchange (ETDEWEB)

    Khomenkova, L., E-mail: khomen@ukr.net [CIMAP CEA/CNRS/ENSICAEN/UCBN, 6 Blvd. Maréchal Juin, 14050 Caen Cedex 4 (France); V. Lashkaryov Institute of Semiconductor Physics at NASU, 41 Pr. Nauky, Kyiv 03028 (Ukraine); An, Y.-T. [CIMAP CEA/CNRS/ENSICAEN/UCBN, 6 Blvd. Maréchal Juin, 14050 Caen Cedex 4 (France); Khomenkov, D. [Taras Shevchenko National University of Kyiv, Faculty of Physics, 4 Pr. Hlushkov, Kyiv 03022 (Ukraine); Portier, X.; Labbé, C.; Gourbilleau, F. [CIMAP CEA/CNRS/ENSICAEN/UCBN, 6 Blvd. Maréchal Juin, 14050 Caen Cedex 4 (France)

    2014-11-15

    This paper demonstrates the functionality of radio-frequency magnetron sputtering for the fabrication of undoped and Er-doped Si-rich-HfO{sub 2} films with specific structural and spectroscopic properties. The effect of post-deposition treatment on film properties was investigated by means of Fourier-transform infrared spectroscopy, Raman scattering and photoluminescence methods, as well as Transmission Electron microscopy. It was observed that annealing treatment at 850–1000 °C causes phase separation process and the formation of HfO{sub 2}, SiO{sub 2} and pure Si phases. This process stimulates also an intense light emission in the 700–950-nm spectral range under broad band excitation. The phase separation mechanism as well as the nature of radiative transitions were discussed. Photoluminescence was ascribed to carrier recombination in silicon clusters and host defects. The appearance of silicon clusters was also confirmed by the comparison of luminescent properties of pure HfO{sub 2}, SiO{sub 2}, Si-rich-HfO{sub 2} and Si-rich-SiO{sub 2} films. Additional argument for Si clusters’ formation was obtained under investigation of Er-doped Si-rich HfO{sub 2} films. These latter demonstrated 1.54-µm Er{sup 3+} luminescence under non-resonant excitation originating from an energy transfer from Si clusters towards Er{sup 3+} ions.

  15. On the use of thin ion implanted Si detectors in heavy ion experiments

    International Nuclear Information System (INIS)

    Lavergne-Gosselin, L.; Stab, L.; Lampert, M.O.

    1988-10-01

    We present test results on the use of thin ion implanted epitaxial Si detectors for registration of low- and medium energy heavy fragments in nuclear reactions. A linear energy response for very low energy nuclei has been observed. A test of 10 μm + 300 μm telescopes under realistic experimental conditions for heavy ion experiments exhibits the possibilities to use these detectors for the measurements of multifragmentation products. (authors)

  16. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  17. Damage accumulation in nitrogen implanted 6H-SiC: Dependence on the direction of ion incidence and on the ion fluence

    International Nuclear Information System (INIS)

    Zolnai, Z.; Ster, A.; Khanh, N. Q.; Battistig, G.; Lohner, T.; Gyulai, J.; Kotai, E.; Posselt, M.

    2007-01-01

    The influence of crystallographic orientation and ion fluence on the shape of damage distributions induced by 500 keV N + implantation at room temperature into 6H-SiC is investigated. The irradiation was performed at different tilt angles between 0 degree sign and 4 degree sign with respect to the crystallographic axis in order to consider the whole range of beam alignment from channeling to random conditions. The applied implantation fluence range was 2.5x10 14 -3x10 15 cm -2 . A special analytical method, 3.55 MeV 4 He + ion backscattering analysis in combination with channeling technique (BS/C), was employed to measure the disorder accumulation simultaneously in the Si and C sublattices of SiC with good depth resolution. For correct energy to depth conversion in the BS/C spectra, the average electronic energy loss per analyzing He ion for the axial channeling direction was determined. It was found that the tilt angle of nitrogen implantation has strong influence on the shape of the induced disorder profiles. Significantly lower disorder was found for channeling than for random irradiation. Computer simulation of the measured BS/C spectra showed the presence of a simple defect structure in weakly damaged samples and suggested the formation of a complex disorder state for higher disorder levels. Full-cascade atomistic computer simulation of the ion implantation process was performed to explain the differences in disorder accumulation on the Si and C sublattices. The damage buildup mechanism was interpreted with the direct-impact, defect-stimulated amorphization model in order to understand damage formation and to describe the composition of structural disorder versus the ion fluence and the implantation tilt angle

  18. Degradable Implantate: Entwicklungsbeispiele

    Science.gov (United States)

    Ruffieux, Kurt; Wintermantel, Erich

    Resorbierbare Implantate werden seit mehreren Jahrzehnten in der Implantologie eingesetzt. Bekannt wurden diese Biomaterialien mit dem Aufkommen von sich selbst auflösenden Nahtfäden auf der Basis von synthetisch hergestellten Polylactiden und Polyglycoliden in den 70er Jahren. In einem nächsten Schritt wurden Implantate wie Platten und Schrauben zur Gewebefixation aus den gleichen Biomaterialien hergestellt.

  19. Spectral and laser properties of Er3+/Yb3+/Ce3+ tri-doped Ca3NbGa3Si2O14 crystal at 1.55 µm

    Science.gov (United States)

    Gong, Guoliang; Chen, Yujin; Lin, Yanfu; Huang, Jianhua; Gong, Xinghong; Luo, Zundu; Huang, Yidong

    2018-04-01

    An Er3+/Yb3+/Ce3+ tri-doped Ca3NbGa3Si2O14 (CNGS) crystal was grown by the Czochralski method. Spectral properties of the crystal, including the polarized absorption and fluorescence spectra, the fluorescence decay, as well as the energy transfer efficiency from Yb3+ to Er3+ were investigated in detail. End-pumped by a 976 nm diode laser, a 1556 nm continuous-wave laser with a maximum output power of 202 mW and a slope efficiency of 11.4% was achieved in the Er,Yb,Ce:CNGS crystal. The results indicate the Er,Yb,Ce:CNGS crystal is a promising 1.55 µm laser gain medium.

  20. Er{sup 3+} Doping conditions of planar porous silicon waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Najar, A. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B. P. 80518, 22305 Lannion Cedex (France); Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 El Manar, Tunis (Tunisia); Lorrain, N., E-mail: nathalie.lorrain@univ-rennes1.fr [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B. P. 80518, 22305 Lannion Cedex (France); Ajlani, H. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 El Manar, Tunis (Tunisia); Charrier, J. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B. P. 80518, 22305 Lannion Cedex (France); Oueslati, M. [Laboratoire de Spectroscopie Raman, Faculte des Sciences de Tunis, 2092 El Manar, Tunis (Tunisia); Haji, L. [Laboratoire d' Optronique UMR 6082-FOTON, Universite de Rennes 1, 6 rue de Kerampont, B. P. 80518, 22305 Lannion Cedex (France)

    2009-11-15

    EDX and infrared photoluminescence (IR PL) analyses performed on erbium-doped porous silicon waveguides (PSWG) were studied using different doping conditions. Both parameters of the cathodisation electrochemical method used for Er incorporation and parameters of thermal treatments required for Er optical activation were taken into consideration. Firstly, by varying the current density and the time of cathodisation, we have shown that a current density of 0.1 mA/cm{sup 2} for 10 min allows homogeneous Er doping to be achieved throughout the depth of the guiding layer. Then, the PL intensity at 1.53 {mu}m was studied as a function of the oxidation time at 900 deg. C and Er diffusion temperature for 60 min. Increasing the oxidation time up to 1 h allows PL to be enhanced due to active Si-O-Er complex formation whereas an oxidation time of 2 h induces a decrease in PL because of Er segregation. Moreover, an increase in the diffusion temperature induces an optimal distribution of optically active Si-Er-O complexes inside the crystallites. When the temperature is too high, a PSWG densification and Er segregation occurs inducing a decrease in PL due to energy transfer phenomena.

  1. The mechanisms of surface exfoliation in H and He implanted Si crystals

    International Nuclear Information System (INIS)

    Reboh, S.; Mattos, A.A.D. de; Schaurich, F.; Fichtner, P.F.P.; Beaufort, M.F.; Barbot, J.F.

    2011-01-01

    We report on the exfoliation mechanisms in light gas implanted Si. Microstructure characterization, extensive statistical analysis and solid mechanics theory show that exfoliation is caused by microcracks growing close to equilibrium pressure for high fluences. For lower fluences, cracks evolve at under-equilibrium pressure and exfoliation relies on a coalescence mechanism assisted by cleavage. This provides long-range, collective and efficient stress relief for clusters of cracks, causing enhancement of the exfoliation. The physical processes are independent of the irradiation energy.

  2. Comparative study of as-implanted and pre-damaged ion-beam-synthesized ZnS nanocrystallites in SiO sub 2

    CERN Document Server

    Gao, K Y; Grosshans, I; Hipp, W; Stritzker, B

    2002-01-01

    The semiconducting ZnS nanocrystallites were synthesized by sequential high dose ion implantation of Zn and S in thermally grown SiO sub 2 on Si(1 0 0) and subsequent rapid thermal annealing (RTA). Some samples were pre-implanted with Ar ions in order to investigate the influence of radiation induced damage on the formation of ZnS nanocrystallites. The crystal structure of the ZnS crystallites, their size distribution and the concentration depth profile were analyzed by X-ray diffraction (XRD), Rutherford backscattering spectroscopy (RBS) and cross-sectional transmission-electron-microscopy (XTEM). The XRD results indicate, that the phase transition from cubic zinc blende to hexagonal wurtzite structure of ZnS nanocrystallites begins at temperatures below 1000 degree sign C. The RBS results show a clear redistribution of Zn and S after RTA annealing. The concentration of Zn is seriously reduced due to strong diffusion towards deeper regions and the surface, while Ar pre-implantation partially suppressed the c...

  3. Current transport studies of ZnO/p-Si heterostructures grown by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Chen, X.D.; Ling, C.C.; Fung, S.; Beling, C.D.; Mei, Y.F.; Fu, Ricky K.Y.; Siu, G.G.; Chu, Paul K.

    2006-01-01

    Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions were fabricated by plasma immersion ion implantation and deposition. The undoped and nitrogen-doped ZnO films were n type (n∼10 19 cm -3 ) and highly resistive (resistivity ∼10 5 Ω cm), respectively. While forward biasing the undoped-ZnO/p-Si, the current follows Ohmic behavior if the applied bias V forward is larger than ∼0.4 V. However, for the nitrogen-doped-ZnO/p-Si sample, the current is Ohmic for V forward 2 for V forward >2.5 V. The transport properties of the undoped-ZnO/p-Si and the N-doped-ZnO/p-Si diodes were explained in terms of the Anderson model and the space charge limited current model, respectively

  4. Identification of Λ-like systems in Er3+:Y2SiO5 and observation of electromagnetically induced transparency

    International Nuclear Information System (INIS)

    Baldit, E.; Bencheikh, K.; Monnier, P.; Briaudeau, S.; Levenson, J. A.; Crozatier, V.; Lorgere, I.; Bretenaker, F.; Le Goueet, J. L.; Guillot-Noeel, O.; Goldner, Ph.

    2010-01-01

    Electromagnetically induced transparency (EIT) is reported in a solid-state material doped with erbium ions. In this paper we introduce the spectroscopic investigations we have conducted in order to identify the adequate Λ-like three-level systems in Er 3+ :Y 2 SiO 5 crystal, relevant for the demonstration of EIT. These results pave the way for nonlinear and quantum optics applications based on EIT at the telecom wavelength around 1.5 μm.

  5. Annealing characteristics of SiO2-Si structures after incoherent light pulse processing

    International Nuclear Information System (INIS)

    Sieber, N.; Klabes, R.; Voelskow, M.; Fenske, F.

    1982-01-01

    The behaviour of oxide charges and interface charges in boron implanted and non-implanted SiO 2 -Si structures as well as the electrical activation of the dopants by the action of incoherent light pulses was studied. Depth profiles of electrically active boron ions are presented for different annealing conditions as measured by the pulsed C-V method. It can be concluded that exposure of MOS structures to intense radiation of flash lamps does not increase the fixed charge and the fast state density at the SiO 2 -Si interface if optimal annealing conditions (energy densities) are employed. Low dose boron implanted silicon can be electrically activated without diffusion or segregation of dopants

  6. Si+ ion implantation reduces the bacterial accumulation on the Ti6Al4V surface

    International Nuclear Information System (INIS)

    Gallardo-Moreno, A M; Pacha-Olivenza, M A; Perera-Nunez, J; Gonzalez-Carrasco, J L; Gonzalez-Martin, M L

    2010-01-01

    Ti6Al4V is one of the most commonly used biomaterials in orthopedic applications due to its interesting mechanical properties and reasonable biocompatibility. Nevertheless, after the implantation, microbial adhesion to its surface can provoke severe health problems associated to the development of biofilms and subsequent infectious processes. This work shows a modification of the Ti6Al4V surface by Si+ ion implantation which reduces the bacterial accumulation under shear forces. Results have shown that the number of bacteria remaining on the surface at the end of the adhesion experiments decreased for silicon-treated surface. In general, the new surface also behaved as less adhesive under in vitro flow conditions. Since no changes are observed in the electrical characteristics between the control and implanted samples, differences are likely related to small changes observed in hydrophobicity.

  7. Formation and characterization of nanoparticles formed by sequential ion implantation of Au and Co into SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Hoy, B.; Johannessen, B.; Dunn, S.G.; Foran, G.J.; Ridgway, M.C.

    2007-01-01

    Nanoparticles (NPs) were formed by sequential ion implantation of Au and Co into thin SiO 2 . After Au implantation and annealing, Co implantations were carried out at room temperature (RT) and 400 deg. C, respectively, with no subsequent annealing. The NPs were investigated by means of Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and extended X-ray absorption fine structure spectroscopy (EXAFS). TEM shows the formation of Co-Au core-shell NPs for the Co implantation at 400 deg. C. EXAFS measurements indicate significant strain in the NPs and a bond-length expansion of the Co-Co bonds in the NP core with a concomitant contraction of the Au-Au bonds in the Au shells. NPs are also observed by TEM for the Co implantation performed at RT, however, a lack of crystallinity is apparent from electron diffraction and EXAFS measurements

  8. Cathodoluminescence and ion beam analysis of ion-implanted combinatorial materials libraries on thermally grown SiO2

    International Nuclear Information System (INIS)

    Chen, C.-M.; Pan, H.C.; Zhu, D.Z.; Hu, J.; Li, M.Q.

    1999-01-01

    A method combining ion implantation and physical masking technique has been used to generate material libraries of various ion-implanted samples. Ion species of C, Ga, N, Pb, Sn, Y have been sequentially implanted to an SiO 2 film grown on a silicon wafer through combinatorial masks and consequently a library of 64 (2 6 ) samples is generated by 6 masking combinations. This approach offers rapid synthesis of samples with potential new compounds formed in the matrix, which may have specific luminescent properties. The depth-resolved cathodoluminescence (CL) measurements revealed some specific optical property in the samples correlated with implanted ion distributions. A marker-based technique is developed for the convenient location of sample site in the analysis of Rutherford backscattering spectrometry (RBS) and proton elastic scattering (PES), intended to characterize rapidly the ion implanted film libraries. These measurements demonstrate the power of nondestructively and rapidly characterizing composition and the inhomogeneity of the combinatorial film libraries, which may determine their physical properties

  9. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  10. Influence of n$^{+}$ and p$^{+}$ doping on the lattice sites of implanted Fe in Si

    CERN Document Server

    Silva, Daniel José; Correia, João Guilherme; Araújo, João Pedro

    2013-01-01

    We report on the lattice location of implanted $^{59}$Fe in n$^{+}$ and p$^{+}$ type Si by means of emission channeling. We found clear evidence that the preferred lattice location of Fe changes with the doping of the material. While in n$^{+}$ type Si Fe prefers displaced bond-centered (BC) sites for annealing temperatures up to 600°C, changing to ideal substitutional sites above 700°C, in p$^{+}$ type Si, Fe prefers to be in displaced tetrahedral interstitial positions after all annealing steps. The dominant lattice sites of Fe in n$^{+}$ type Si therefore seem to be well characterized for all annealing temperatures by the incorporation of Fe into vacancy-related complexes, either into single vacancies which leads to Fe on ideal substitutional sites, or multiple vacancies, which leads to its incorporation near BC sites. In contrast, in p$^{+}$ type Si, the major fraction of Fe is clearly interstitial (near-T or ideal T) for all annealing temperatures. The formation and possible lattice sites of Fe in FeB...

  11. Effect of Xe ion (167 MeV) irradiation on polycrystalline SiC implanted with Kr and Xe at room temperature

    International Nuclear Information System (INIS)

    Hlatshwayo, T T; Kuhudzai, R J; Njoroge, E G; Malherbe, J B; O’Connell, J H; Skuratov, V A; Msimanga, M

    2015-01-01

    The effect of swift heavy ion (Xe 167 MeV) irradiation on polycrystalline SiC individually implanted with 360 keV Kr and Xe ions at room temperature to fluences of 2  ×  10 16 cm −2 and 1  ×  10 16 cm −2 respectively, was investigated using transmission electron microscopy (TEM), Raman spectroscopy and Rutherford backscattering spectrometry (RBS). Implanted specimens were each irradiated with 167 MeV Xe +26 ions to a fluence of 8.3  ×  10 14 cm −2 at room temperature. It was observed that implantation of 360 keV Kr and Xe ions individually at room temperature amorphized the SiC from the surface up to a depth of 186 and 219 nm respectively. Swift heavy ion (SHI) irradiation reduced the amorphous layer by about 27 nm and 30 nm for the Kr and Xe samples respectively. Interestingly, the reduction in the amorphous layer was accompanied by the appearance of randomly oriented nanocrystals in the former amorphous layers after SHI irradiation in both samples. Previously, no similar nanocrystals were observed after SHI irradiations at electron stopping powers of 33 keV nm −1 and 20 keV nm −1 to fluences below 10 14 cm −2 . Therefore, our results suggest a fluence threshold for the formation of nanocrystals in the initial amorphous SiC after SHI irradiation. Raman results also indicated some annealing of radiation damage after swift heavy ion irradiation and the subsequent formation of small SiC crystals in the amorphous layers. No diffusion of implanted Kr and Xe was observed after swift heavy ion irradiation. (paper)

  12. Stability of erbium and silver implanted in silica-titania sol-gel films

    International Nuclear Information System (INIS)

    Ramos, A.R.; Marques, C.; Alves, E.; Marques, A.C.; Almeida, R.M.

    2005-01-01

    We implanted silica-titania sol-gel films with 3 x 10 15 at./cm 2 , 180 keV Er + and 6 x 10 16 at./cm 2 , 140 keV Ag + ions. The energies were chosen so that the profiles of Ag and Er overlap. RBS and ERDA were used to study the behaviour of Ag, Er and H during the heat treatments used to density the films. Implantation causes H depletion at the film surface and an increase in H concentration just beneath the implanted Ag and Er profiles. The total H content decreases by 27% to 75% during implantation. During annealing the H content decreases, with an almost complete H loss after annealing for 35 min at 800 deg. C. The Ag profile remains stable up to 600 deg. C. Above 700 deg. C Ag becomes increasingly mobile. Annealing at 800 deg. C for 35 min results in a nearly constant Ag distribution in the film. The Er profile remains unchanged with heat treatment up to the maximum temperature used (800 deg. C)

  13. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  14. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Science.gov (United States)

    Ayedh, H. M.; Hallén, A.; Svensson, B. G.

    2015-11-01

    The carbon vacancy (VC) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the VC-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (Ci's) and annihilation of VC's in the epi-layer "bulk". Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the VC annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating VC's. Concentrations of VC below ˜2 × 1011 cm-3 can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the VC-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote Ci-clustering and reduce dynamic defect annealing. These Ci-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced Ci injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the VC-concentration, which limit the net effect of the Ci injection, and a competition between the two processes occurs.

  15. Effect of hydrostatic pressure on photoluminescence spectra from structures with Si nanocrystals fabricated in SiO2 matrix

    International Nuclear Information System (INIS)

    Zhuravlev, K.S.; Tyschenko, I.E.; Vandyshev, E.N.; Bulytova, N.V.; Misiuk, A.; Rebohle, L.; Skorupa, W.

    2002-01-01

    The effect of hydrostatic pressure applied at high temperature on photoluminescence of Si-implanted SiO 2 films was studied. A 'blue'-shift of PL spectrum from the SiO 2 films implanted with Si + ions to total dose of 1.2x10 17 cm -2 with increase in hydrostatic pressure was observed. For the films implanted with Si + ions to a total dose of 4.8x10 16 cm -2 high temperature annealing under high hydrostatic pressure (12 kbar) causes a 'red'-shift of photoluminescence spectrum. The 'red' photoluminescence bands are attributed to Si nanocrystals while the 'blue' ones are related to Si nanocrystals of reduced size or chains of silicon atoms or Si-Si defects. A decrease in size of Si nanocluster occurs in result of the pressure-induced decrease in the diffusion of silicon atoms. (author)

  16. NIR luminescent Er{sup 3+}/Yb{sup 3+} co-doped SiO{sub 2}-ZrO{sub 2} nanostructured planar and channel waveguides: Optical and structural properties

    Energy Technology Data Exchange (ETDEWEB)

    Cunha, Cesar dos Santos [Departamento de Quimica, Faculdade de Filosofia, Ciencias e Letras de Ribeirao Preto, Universidade de Sao Paulo, Av. Bandeirantes, 3900, 14040-901, Ribeirao Preto/SP (Brazil); Ferrari, Jefferson Luis [Grupo de Pesquisa em Quimica de Materiais - (GPQM), Departamento de Ciencias Naturais, Universidade Federal de Sao Joao Del Rei, Campus Dom Bosco, Praca Dom Helvecio, 74, 36301-160, Sao Joao Del Rei, MG (Brazil); Oliveira, Drielly Cristina de [Departamento de Quimica, Faculdade de Filosofia, Ciencias e Letras de Ribeirao Preto, Universidade de Sao Paulo, Av. Bandeirantes, 3900, 14040-901, Ribeirao Preto/SP (Brazil); Maia, Lauro June Queiroz [Grupo Fisica de Materiais, Instituto de Fisica, UFG, Campus Samambaia, Caixa Postal 131, 74001-970, Goiania/GO (Brazil); Gomes, Anderson Stevens Leonidas [Departamento de Fisica, Universidade Federal de Pernambuco, Cidade Universitaria, Recife/PE, 50670-901 (Brazil); Ribeiro, Sidney Jose Lima [Laboratorio de Materiais Fotonicos, Instituto de Quimica, UNESP, Caixa Postal 355, 14801-970, Araraquara/SP (Brazil); and others

    2012-09-14

    Optical and structural properties of planar and channel waveguides based on sol-gel Er{sup 3+} and Yb{sup 3+} co-doped SiO{sub 2}-ZrO{sub 2} are reported. Microstructured channels with high homogeneous surface profile were written onto the surface of multilayered densified films deposited on SiO{sub 2}/Si substrates by a femtosecond laser etching technique. The densification of the planar waveguides was evaluated from changes in the refractive index and thickness, with full densification being achieved at 900 Degree-Sign C after annealing from 23 up to 500 min, depending on the ZrO{sub 2} content. Crystal nucleation and growth took place together with densification, thereby producing transparent glass ceramic planar waveguides containing rare earth-doped ZrO{sub 2} nanocrystals dispersed in a silica-based glassy host. Low roughness and crack-free surface as well as high confinement coefficient were achieved for all the compositions. Enhanced NIR luminescence of the Er{sup 3+} ions was observed for the Yb{sup 3+}-codoped planar waveguides, denoting an efficient energy transfer from the Yb{sup 3+} to the Er{sup 3+} ion. Highlights: Black-Right-Pointing-Pointer Sol-gel high NIR luminescent nanostructured planar and channel waveguides. Black-Right-Pointing-Pointer Microstructured channels written by a femtosecond laser etching technique. Black-Right-Pointing-Pointer Transparent glass ceramic with rare earth-doped ZrO{sub 2} nanocrystals in a silica host. Black-Right-Pointing-Pointer Enhanced NIR luminescence, efficient energy transfer from the Yb{sup 3+} to the Er{sup 3+} ion. Black-Right-Pointing-Pointer New planar channel waveguides to be applied as EDWA in the C telecommunication band.

  17. Photoluminescence and structural studies of Tb and Eu implanted at high temperatures into SiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Bregolin, F.L. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil); Sias, U.S., E-mail: uilson.sias@gmail.com [Instituto Federal Sul-rio-grandense, Campus Pelotas, Praca 20 de Setembro 455, 96015-360, Pelotas-RS (Brazil); Behar, M. [Instituto de Fisica, Universidade Federal do Rio Grande do Sul, Av. Bento Goncalves 9500, 91501-970, Porto Alegre-RS (Brazil)

    2013-03-15

    The present work deals with the photoluminescence (PL) emitted from Eu and Tb ions implanted at room temperature (RT) up to 350 Degree-Sign C in a SiO{sub 2} matrix, followed by a further anneal process. The ions were implanted with energy of 100 keV and a fluence of 3 Multiplication-Sign 10{sup 15} ions/cm Superscript-Two . Further anneals were performed in atmospheres of N{sub 2} or O{sub 2} with temperatures ranging from 500 up to 800 Degree-Sign C. PL measurements were performed at RT and structural measurements were done via transmission electron microscopy (TEM). In addition, the Rutherford backscattering technique (RBS) was used to investigate the corresponding ion depth profiles. For Tb, the optimal implantation temperature was 200 Degree-Sign C, and the anneal one was of 500 Degree-Sign C. Under these conditions, the PL yield of the sharp band centered at 550 nm was significatively higher than the one obtained with RT implants. The PL spectra corresponding to the Eu ions show two bands, one narrow centered around 650 nm and a second broad one in the blue-green region. The implantation temperature plays a small influence on the PL shape and yield. However, the annealing atmosphere has a strong influence on it. Samples annealed in N{sub 2} present a broad PL band, ranging from 370 up to 840 nm. On the other hand, the O{sub 2} anneal conserves the original as-implanted spectrum, that is: a broad PL band in the blue-green region together with sharp PL band in the red one. For both ions, Tb and Eu, the TEM analyses indicate the formation of nanoclusters in the hot as-implanted samples. - Highlights: Black-Right-Pointing-Pointer Eu and Tb nanoparticles were obtained by hot ion implantation into SiO{sub 2} matrix. Black-Right-Pointing-Pointer TEM results indicate the formation of nanoclusters in the hot as-implanted samples. Black-Right-Pointing-Pointer Samples annealed in N{sub 2} presented a broad PL band (from 370 up to 840 nm). Black-Right-Pointing-Pointer O

  18. Effects of He implantation on radiation induced segregation in Cu-Au and Ni-Si alloys

    Science.gov (United States)

    Iwase, A.; Rehn, L. E.; Baldo, P. M.; Funk, L.

    Effects of He implantation on radiation induced segregation (RIS) in Cu-Au and Ni-Si alloys were investigated using in situ Rutherford backscattering spectrometry during simultaneous irradiation with 1.5-MeV He and low-energy (100 or 400-keV) He ions at elevated temperatures. RIS during single He ion irradiation, and the effects of pre-implantation with low-energy He ions, were also studied. RIS near the specimen surface, which was pronounced during 1.5-MeV He single-ion irradiation, was strongly reduced under low-energy He single-ion irradiation, and during simultaneous irradiation with 1.5-MeV He and low-energy He ions. A similar RIS reduction was also observed in the specimens pre-implanted with low-energy He ions. The experimental results indicate that the accumulated He atoms cause the formation of small bubbles, which provide additional recombination sites for freely migrating defects.

  19. Blue and green emissions with high color purity from nanocrystalline Ca2Gd8Si6O26:Ln (Ln = Tm or Er) phosphors

    International Nuclear Information System (INIS)

    Seeta Rama Raju, G.; Park, Jin Young; Jung, Hong Chae; Pavitra, E.; Moon, Byung Kee; Jeong, Jung Hyun; Yu, Jae Su; Kim, Jung Hwan; Choi, Haeyoung

    2011-01-01

    Graphical abstract: Highlights: → Nanocrystalline Ca 2 Gd 8 Si 6 O 26 (CGS):Tm 3+ and CGS:Er 3+ phosphors were prepared by solvothermal reaction method. → The visible luminescence properties of phosphors were investigated by exciting with ultraviolet (UV) or near-UV light and low voltage electron beam (0.5-5 kV). → The photoluminescence spectra of CGS:Tm 3+ under 359 nm excitation and CGS:Er 3+ under 380 nm excitation showed the strong blue ( 1 D 2 → 3 F 4 at 456 nm) and green ( 4 S 3/2 → 4 I 15/2 at 550 nm) colors with the color purity 87% and 96%, respectively → The low accelerating voltage cathodoluminescence spectra of CGS:Tm 3+ and CGS:Er 3+ showed the strong blue and green emissions with the high color purity 95% and 96%, respectively. → The obtained results are hint at the promising applications to produce high quality LEDs and FED devices. - Abstract: Blue and green light emissive nanocrystalline Ca 2 Gd 8 Si 6 O 26 (CGS):Tm 3+ and CGS:Er 3+ phosphors with high color purity were prepared by solvothermal reaction method. The structural and morphological properties of these phosphors were evaluated by the powder X-ray diffraction (XRD) and scanning electron microscopy, respectively. From the XRD results, Tm 3+ :CGS and Er 3+ :CGS phosphors had the characteristic peaks of oxyapatite in the hexagonal lattice structure. The visible luminescence properties of phosphors were obtained by ultraviolet (UV) or near-UV light and low voltage electron beam (0.5-5 kV) excitation. The photoluminescence and cathodoluminescence properties were investigated by changing the variation of Tm 3+ or Er 3+ concentrations and the acceleration voltage, respectively. The CGS:Tm 3+ phosphors exhibited the blue emission due to 1 D 2 → 3 F 4 transition, while the CGS:Er 3+ phosphors showed the green emission due to 4 S 3/2 → 4 I 15/2 transition. The color purity and chromaticity coordinates of the fabricated phosphors are comparable to or better than those of standard

  20. Regular and platform switching: bone stress analysis varying implant type.

    Science.gov (United States)

    Gurgel-Juarez, Nália Cecília; de Almeida, Erika Oliveira; Rocha, Eduardo Passos; Freitas, Amílcar Chagas; Anchieta, Rodolfo Bruniera; de Vargas, Luis Carlos Merçon; Kina, Sidney; França, Fabiana Mantovani Gomes

    2012-04-01

    This study aimed to evaluate stress distribution on peri-implant bone simulating the influence of platform switching in external and internal hexagon implants using three-dimensional finite element analysis. Four mathematical models of a central incisor supported by an implant were created: External Regular model (ER) with 5.0 mm × 11.5 mm external hexagon implant and 5.0 mm abutment (0% abutment shifting), Internal Regular model (IR) with 4.5 mm × 11.5 mm internal hexagon implant and 4.5 mm abutment (0% abutment shifting), External Switching model (ES) with 5.0 mm × 11.5 mm external hexagon implant and 4.1 mm abutment (18% abutment shifting), and Internal Switching model (IS) with 4.5 mm × 11.5 mm internal hexagon implant and 3.8 mm abutment (15% abutment shifting). The models were created by SolidWorks software. The numerical analysis was performed using ANSYS Workbench. Oblique forces (100 N) were applied to the palatal surface of the central incisor. The maximum (σ(max)) and minimum (σ(min)) principal stress, equivalent von Mises stress (σ(vM)), and maximum principal elastic strain (ε(max)) values were evaluated for the cortical and trabecular bone. For cortical bone, the highest stress values (σ(max) and σ(vm) ) (MPa) were observed in IR (87.4 and 82.3), followed by IS (83.3 and 72.4), ER (82 and 65.1), and ES (56.7 and 51.6). For ε(max), IR showed the highest stress (5.46e-003), followed by IS (5.23e-003), ER (5.22e-003), and ES (3.67e-003). For the trabecular bone, the highest stress values (σ(max)) (MPa) were observed in ER (12.5), followed by IS (12), ES (11.9), and IR (4.95). For σ(vM), the highest stress values (MPa) were observed in IS (9.65), followed by ER (9.3), ES (8.61), and IR (5.62). For ε(max) , ER showed the highest stress (5.5e-003), followed by ES (5.43e-003), IS (3.75e-003), and IR (3.15e-003). The influence of platform switching was more evident for cortical bone than for trabecular bone, mainly for the external hexagon

  1. Bond length contraction in Au nanocrystals formed by ion implantation into thin SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Johannessen, B.; Giraud, V.; Cheung, A.; Glover, C.J.; Azevedo, G. de M; Foran, G.J.; Ridgway, M.C.

    2004-01-01

    Au nanocrystals (NCs) fabricated by ion implantation into thin SiO 2 and annealing were investigated by means of extended x-ray absorption fine structure (EXAFS) spectroscopy and transmission electron microscopy. A bond length contraction was observed and can be explained by surface tension effects in a simple liquid-drop model. Such results are consistent with previous reports on nonembedded NCs implying a negligible influence of the SiO 2 matrix. Cumulant analysis of the EXAFS data suggests surface reconstruction or relaxation involving a further shortened bond length. A deviation from the octahedral closed shell structure is apparent for NCs of size 25 A

  2. Change in equilibrium position of misfit dislocations at the GaN/sapphire interface by Si-ion implantation into sapphire—I. Microstructural characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sung Bo, E-mail: bolee@snu.ac.kr; Han, Heung Nam, E-mail: hnhan@snu.ac.kr; Lee, Dong Nyung [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 151-744 (Korea, Republic of); Ju, Jin-Woo [Korea Photonics Technology Institute, Gwangju 500-779 (Korea, Republic of); Kim, Young-Min; Yoo, Seung Jo; Kim, Jin-Gyu [Korea Basic Science Institute, Daejeon 305-806 (Korea, Republic of)

    2015-07-15

    Much research has been done to reduce dislocation densities for the growth of GaN on sapphire, but has paid little attention to the elastic behavior at the GaN/sapphire interface. In this study, we have examined effects of the addition of Si to a sapphire substrate on its elastic property and on the growth of GaN deposit. Si atoms are added to a c-plane sapphire substrate by ion implantation. The ion implantation results in scratches on the surface, and concomitantly, inhomogeneous distribution of Si. The scratch regions contain a higher concentration of Si than other regions of the sapphire substrate surface, high-temperature GaN being poorly grown there. However, high-temperature GaN is normally grown in the other regions. The GaN overlayer in the normally-grown regions is observed to have a lower TD density than the deposit on the bare sapphire substrate (with no Si accommodated). As compared with the film on an untreated, bare sapphire, the cathodoluminescence defect density decreases by 60 % for the GaN layer normally deposited on the Si-ion implanted sapphire. As confirmed by a strain mapping technique by transmission electron microscopy (geometric phase analysis), the addition of Si in the normally deposited regions forms a surface layer in the sapphire elastically more compliant than the GaN overlayer. The results suggest that the layer can largely absorb the misfit strain at the interface, which produces the overlayer with a lower defect density. Our results highlight a direct correlation between threading-dislocation density in GaN deposits and the elastic behavior at the GaN/sapphire interface, opening up a new pathway to reduce threading-dislocation density in GaN deposits.

  3. The studies of surface properties of 1.5 MeV Si-implanted silicon by multiphonon Raman spectrum

    International Nuclear Information System (INIS)

    Huang, X.

    1995-01-01

    The surface layer of crystalline silicon implanted by 1.5 MeV Si ions with doses ranging from 1 x 10 11 to 1 x 10 15 Si + cm -2 has been studied by two-phonon Raman spectra in both the acoustical overtone region and optical overtone region. Two-phonon Raman line intensities and shifts have been used to investigate the properties in the skin layer. The experimental two-phonon Raman spectra showed a decrease in intensity for both optical and acoustical two-phonon Raman peaks and also showed shifts by different amounts in different directions depending on the particular phonons. The stress values obtained by two-phonon Raman line shifts are compared with those obtained previously by one-phonon Raman shifts. The comparison shows that the surface defects make no contribution to two-phonon Raman line shifts. The two-phonon Raman line shifts show that the surface stress increases as a function of implantation doses. (author)

  4. A comparison of the structural changes and optical properties of LiNbO3, Al2O3 and ZnO after Er+ ion implantation

    Czech Academy of Sciences Publication Activity Database

    Macková, Anna; Malinský, Petr; Pupíková, Hana; Nekvindová, P.; Cajzl, J.; Švecová, B.; Oswald, Jiří; Wilhelm, R. A.; Kolitsch, A.

    2014-01-01

    Roč. 331, JUL (2014), s. 182-186 ISSN 0168-583X R&D Projects: GA ČR(CZ) GBP108/12/G108; GA MŠk(XE) LM2011019 Institutional support: RVO:68378271 ; RVO:61389005 Keywords : Er ion implantation * crystals * depth profiles * RBS * RBS channelling * photoluminescence Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 1.124, year: 2014

  5. Formation of oxygen-related defects enhanced by fluorine in BF{sub 2}{sup +}-implanted Si studied by a monoenergetic positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Nagai, Ryo; Umeda, Kazunori

    1995-12-01

    Defects in 25-keV BF{sub 2}{sup +}- or As{sup +}-implanted Si specimens were probed by a monoenergetic positron beam. For the As{sup +}-implanted specimen, the depth profile of defects was obtained from measurements of Doppler broadening profiles as a function of incident positron energy. The major species of the defects was identified as divacancies. For ion-implanted specimens after annealing treatment, oxygen-related defects were found to be formed. For the BF{sub 2}{sup +}-implanted specimen before annealing treatment, such defects were formed in the subsurface region, where oxygen atoms were implanted by recoil from oxide films. This was attributed to enhanced formation of oxygen-related defects by the presence of F atoms. (author)

  6. N and Si Implantation Effect on Structural and Electrical Properties of Bridgman grown GaSe Single Crystal

    International Nuclear Information System (INIS)

    Karabulut, O.

    2004-01-01

    N and Si implantation to GaSe single crystals were carried out parallel to c-axis with ion beam of about 10 1 6 ions/cm 2 dose having energy values 30, 60 and 100 keV. Ion implantation modifications on Bridgman grown GaSe single crystals have been investigated by means of XRD, electrical conductivity, absorption and photoconductivity measurements. XRD measurements revealed that annealing results in a complete recovery of the crystalline nature that was moderately reduced upon implantation. It was observed that both N- and Siimplantation followed by annealing process decreased the resistivity values from 10 7 to 10 3 .-cm. The analysis of temperature dependent conductivity showed that at high temperature region above 200 K, the transport mechanism is dominated by thermal excitation in the doped and undoped GaSe samples. At lower temperatures, the conduction of carriers is dominated by variable range hopping mechanism in the implanted samples. Absorption and spectral photoconductivity measurements showed that the band edge is shifted in the implanted sample. All these modifications were attributed to the structural modifications and continuous shallow trap levels introduced upon implantation and annealing

  7. The reactivity of ion-implanted SiC

    International Nuclear Information System (INIS)

    McHargue, C.J.; Lewis, M.B.; Williams, J.M.; Appleton, B.R.

    1985-01-01

    Implantation of chromium into single crystal or polycrystalline α-SiC produces a surface amorphous layer for displacement damage greater than about 0.2 displacements per atom at room temperature. The enhanced chemical reactivity of such specimens was studied by two methods: chemical etching rate and oxidation rate. The chemical etching rates in a saturated solution of 50% K 3 Fe(CN) 6 plus 50% KOH were measured. The etching rate for the amorphous layer was 2.4-3.7 times that of the polycrystalline samples and 3.0-4.1 times that of the single-crystal samples. Polycrystalline specimens were exposed to flowing oxygen for 1 h at 1300 0 C. Rutherford backscattering and the nuclear reaction 16 O(d,p) 17 O* were used to determine the amount of oxygen on the surface. The amount of oxygen (and the thickness of oxide) over the amorphous region was 1.67 times that over the crystalline region. The relative thicknesses of the oxide on the amorphous and crystalline regions were confirmed by measuring the sputtering time required to remove the oxygen signal in an Auger spectrometer. (Auth.)

  8. Evaluation of electronic states of implanted materials by molecular orbital calculation

    International Nuclear Information System (INIS)

    Saito, Jun-ichi; Kano, Shigeki

    1997-07-01

    In order to understand the effect of implanted atom in ceramics and metals on the sodium corrosion, the electronic structures of un-implanted and implanted materials were calculated using DV-Xα cluster method which was one of molecular orbital calculations. The calculated materials were β-Si 3 N 4 , α-SiC and β-SiC as ceramics, and f.c.c. Fe, b.c.c. Fe and b.c.c. Nb as metals. An Fe, Mo and Hf atom for ceramics, and N atom for metals were selected as implanted atoms. Consequently, it is expected that the corrosion resistance of β-Si 3 N 4 is improved, because the ionic bonding reduced by the implantation. When the implanted atom is occupied at interstitial site in α-SiC and β-SiC, the ionic bonding reduced. Hence, there is a possibility to improve the corrosion resistance of α-SiC and β-SiC. It is clear that Hf is most effective element among implanted atoms in this study. As the covalent bond between N atom and surrounding Fe atoms increased largely in f.c.c. Fe by N implantation, it was expected that the corrosion resistance of f.c.c. Fe improved in liquid sodium. (J.P.N.)

  9. Elimination of carbon vacancies in 4H-SiC epi-layers by near-surface ion implantation: Influence of the ion species

    Energy Technology Data Exchange (ETDEWEB)

    Ayedh, H. M.; Svensson, B. G. [University of Oslo, Department of Physics/Center for Materials Science and Nanotechnology, P.O. Box 1048 Blindern, N-0316 Oslo (Norway); Hallén, A. [School of Information and Communication Technology (ICT), Royal Institute of Technology, SE-164 40 Kista-Stockholm (Sweden)

    2015-11-07

    The carbon vacancy (V{sub C}) is a prevailing point defect in high-purity 4H-SiC epitaxial layers, and it plays a decisive role in controlling the charge carrier lifetime. One concept of reducing the V{sub C}-concentration is based on carbon self-ion implantation in a near surface layer followed by thermal annealing. This leads to injection of carbon interstitials (C{sub i}'s) and annihilation of V{sub C}'s in the epi-layer “bulk”. Here, we show that the excess of C atoms introduced by the self-ion implantation plays a negligible role in the V{sub C} annihilation. Actually, employing normalized implantation conditions with respect to displaced C atoms, other heavier ions like Al and Si are found to be more efficient in annihilating V{sub C}'s. Concentrations of V{sub C} below ∼2 × 10{sup 11} cm{sup −3} can be reached already after annealing at 1400 °C, as monitored by deep-level transient spectroscopy. This corresponds to a reduction in the V{sub C}-concentration by about a factor of 40 relative to the as-grown state of the epi-layers studied. The negligible role of the implanted species itself can be understood from simulation results showing that the concentration of displaced C atoms exceeds the concentration of implanted species by two to three orders of magnitude. The higher efficiency for Al and Si ions is attributed to the generation of collision cascades with a sufficiently high energy density to promote C{sub i}-clustering and reduce dynamic defect annealing. These C{sub i}-related clusters will subsequently dissolve during the post-implant annealing giving rise to enhanced C{sub i} injection. However, at annealing temperatures above 1500 °C, thermodynamic equilibrium conditions start to apply for the V{sub C}-concentration, which limit the net effect of the C{sub i} injection, and a competition between the two processes occurs.

  10. Optical switching and photoluminescence in erbium-implanted vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Herianto, E-mail: mail@heriantolim.com; Stavrias, Nikolas; Johnson, Brett C.; McCallum, Jeffrey C. [School of Physics, University of Melbourne, Parkville, Victoria 3010 (Australia); Marvel, Robert E.; Haglund, Richard F. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States)

    2014-03-07

    Vanadium dioxide (VO{sub 2}) is under intensive consideration for optical switching due to its reversible phase transition, which features a drastic and rapid shift in infrared reflectivity. Classified as an insulator–to–metal transition, the phase transition in VO{sub 2} can be induced thermally, electrically, and optically. When induced optically, the transition can occur on sub-picosecond time scales. It is interesting to dope VO{sub 2} with erbium ions (Er{sup 3+}) and observe their combined properties. The first excited-state luminescence of Er{sup 3+} lies within the wavelength window of minimal transmission-loss in silicon and has been widely utilized for signal amplification and generation in silicon photonics. The incorporation of Er{sup 3+} into VO{sub 2} could therefore result in a novel photonic material capable of simultaneous optical switching and amplification. In this work, we investigate the optical switching and photoluminescence in Er-implanted VO{sub 2} thin films. Thermally driven optical switching is demonstrated in the Er-implanted VO{sub 2} by infrared reflectometry. Photoluminescence is observed in the thin films annealed at ∼800 °C or above. In addition, Raman spectroscopy and a statistical analysis of switching hysteresis are carried out to assess the effects of the ion implantation on the VO{sub 2} thin films. We conclude that Er-implanted VO{sub 2} can function as an optical switch and amplifier, but with reduced switching quality compared to pure VO{sub 2}.

  11. Laser induced recrystallisation and defects in ion implanted hexagonal SiC

    International Nuclear Information System (INIS)

    Makarov, V.V.; Tuomi, T.; Naukkarinen, K.; Luomajaervi, M.; Riihonen, M.

    1979-10-01

    SiC(6H) crystals amorphized with 14 N + -ion implantation were annealed with CO 2 laser pulses at intensities of 20 to 100 MW/cm 2 . Laser produced crystallisation due to residual ray absorption was studied by means of optical spectroscopy, 4 He + -ion backscattering spectrometry and channeling as well as Cu Kα 1 and synchrotron x-ray diffraction topography. At low laser intensities topographs revealed linear and planar defects which contributed to increased dechanneling independent of analyzing beam energy. Minimum of lattice disorder, which was in some regions of the laser impact area smaller than that obtained in thermal annealing, was attained at the peak laser intensities of about 50 MW/cm 2 . (orig.)

  12. Ion beam processes in Si

    International Nuclear Information System (INIS)

    Holland, O.W.; Narayan, J.; Fathy, D.

    1984-07-01

    Observation of the effects of implants of energetic ions at high dose rates into Si have produced some exciting and interesting results. The mechanism whereby displacement damage produced by ions self-anneals during high dose rate implantation is discussed. It is shown that ion beam annealing (IBA) offers in certain situations unique possibilities for damage annealing. Annealing results of the near surface in Si with a buried oxide layer, formed by high dose implantation, are presented in order to illustrate the advantages offered by IBA. It is also shown that ion irradiation can stimulate the epitaxial recrystallization of amorphous overlayers in Si. The nonequilibrium alloying which results from such epitaxial processes is discussed as well as mechanisms which limit the solid solubility during irradiation. Finally, a dose rate dependency for the production of stable damage by ion irradiation at a constant fluence has been observed. For low fluence implants, the amount of damage is substantially greater in the case of high flux rather than low flux implantation

  13. Activation behavior of boron implanted poly-Si on glass substrate

    International Nuclear Information System (INIS)

    Furuta, M.; Shimamura, K.; Tsubokawa, H.; Tokushige, K.; Furuta, H.; Hirao, T.

    2010-01-01

    The activation behavior of boron (B) implanted poly-Si films on glass substrates has been investigated. The effect of B dose and annealing temperature on crystal defects and electrical properties of the films were evaluated by Raman spectroscopy and Hall measurement. It was found that the maximum activation ratio of the film with B dose of 1 x 10 15 cm -2 was obtained when Raman peak associated with disordered amorphous silicon disappeared. However, reverse anneal was observed in the film when the annealing temperature further increased. The results from secondary ion mass spectrometry and Hall measurement revealed that B segregation at the top and bottom interface and deactivation of B substitutional occurred simultaneously in the high-dose specimens when the annealing temperature increased from 600 to 750 o C.

  14. Synthesis and green up-conversion fluorescence of colloidal La0.78Yb0.20Er0.02F3/SiO2 core/shell nanocrystals

    International Nuclear Information System (INIS)

    Wang Yan; Qin Weiping; Zhang Jisen; Cao Chunyan; Zhang Jishuang; Jin Ye; Zhu Peifen; Wei Guodong; Wang Guofeng; Wang Lili

    2007-01-01

    Water-soluble PVP-stabilized hexagonal-phase La 0.78 Yb 0.20 Er 0.02 F 3 nanocrystals (NCs) were synthesized by hydrothermal method. The NCs were coated with a very thin silica shell, and amino groups were introduced to the surface of silica shells by copolymerization of 3-aminopropyl(triethoxy)silane. The core/shell NCs can be dispersed in ethanol and water to form stable colloidal solution. The transmission electron microscopy (TEM), selected area electron diffraction (SAED), powder X-ray diffraction (XRD), and Fourier transform infrared spectroscopy (FT-IR) were used to characterize the core/shell materials. In addition, the green up-conversion fluorescence mechanism of La 0.78 Yb 0.20 Er 0.02 F 3 /SiO 2 NCs was studied with a 980-nm diode laser as excitation source. The water solubility, small core/shell particles size, and well colloidal stability mean the green up-conversion fluorescence NCs have potential applications in bioassay. - Graphical abstract: Colloidal La 0.78 Yb 0.20 Er 0.02 F 3 /SiO 2 Core/Shell nanocrystals (NCs) were synthesized and the free amino groups were introduced to the surface of silica shells by copolymerization 3-aminopropyl(triethoxy)silane. The NCs can be dispersed in ethanol and water to form stable colloidal solution. In addition, the NCs exhibit green up-conversion fluorescence under 980-nm excitation

  15. Incorporating Si3 N4 into PEEK to Produce Antibacterial, Osteocondutive, and Radiolucent Spinal Implants.

    Science.gov (United States)

    Pezzotti, Giuseppe; Marin, Elia; Adachi, Tetsuya; Lerussi, Federica; Rondinella, Alfredo; Boschetto, Francesco; Zhu, Wenliang; Kitajima, Takashi; Inada, Kosuke; McEntire, Bryan J; Bock, Ryan M; Bal, B Sonny; Mazda, Osam

    2018-04-24

    Polyetheretherketone (PEEK) is a popular polymeric biomaterial which is primarily used as an intervertebral spacer in spinal fusion surgery; but it is developed for trauma, prosthodontics, maxillofacial, and cranial implants. It has the purported advantages of an elastic modulus which is similar to native bone and it can be easily formed into custom 3D shapes. Nevertheless, PEEK's disadvantages include its poor antibacterial resistance, lack of bioactivity, and radiographic transparency. This study presents a simple approach to correcting these three shortcomings while preserving the base polymer's biocompatibility, chemical stability, and elastic modulus. The proposed strategy consists of preparing a PEEK composite by dispersing a minor fraction (i.e., 15 vol%) of a silicon nitride (Si 3 N 4 ) powder within its matrix. In vitro tests of PEEK composites with three Si 3 N 4 variants-β-Si 3 N 4 , α-Si 3 N 4 , and β-SiYAlON-demonstrate significant improvements in the polymer's osteoconductive versus SaOS-2 cells and bacteriostatic properties versus gram-positive Staphylococcus epidermidis bacteria. These properties are clearly a consequence of adding the bioceramic dispersoids, according to chemistry similar to that previously demonstrated for bulk Si 3 N 4 ceramics in terms of osteogenic behavior (vs both osteosarcoma and mesenchymal progenitor cells) and antibacterial properties (vs both gram-positive and gram-negative bacteria). © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Luminescent ultra-small gold nanoparticles obtained by ion implantation in silica

    Energy Technology Data Exchange (ETDEWEB)

    Cesca, T., E-mail: tiziana.cesca@unipd.it [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy); Maurizio, C.; Kalinic, B.; Scian, C. [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy); Trave, E.; Battaglin, G. [Department of Molecular Sciences and Nanosystems, Ca’ Foscari University of Venice, Dorsoduro 2137, I-30123 Venice (Italy); Mazzoldi, P.; Mattei, G. [Department of Physics and Astronomy and CNISM, University of Padova, via Marzolo 8, I-35131 Padova (Italy)

    2014-05-01

    The room temperature photoluminescence properties of ultra-small Au nanoclusters (made by 5–10 atoms) obtained by ion implantation in silica are presented. The results show a broad and intense luminescent emission in three different spectral regions around 750 nm, 980 nm and 1150 nm. The luminescence properties of the molecule-like Au clusters have been also correlated to the energy-transfer process to Er{sup 3+} ions in Au–Er co-implanted silica samples. A partial quenching of the 980 nm component is observed due to the Er{sup 3+} absorption level at 980 nm that acts as a de-excitation channel through which the photon energy is transferred from the Au nanoclusters to the Er ions, eventually producing the Er-related emission at 1.5 microns.

  17. 1.54 μm Er3+ electroluminescence from an erbium-compound-doped organic light emitting diode with a p-type silicon anode

    International Nuclear Information System (INIS)

    Zhao, W Q; Wang, P F; Ran, G Z; Ma, G L; Zhang, B R; Liu, W M; Wu, S K; Dai, L; Qin, G G

    2006-01-01

    By doping an erbium complex, erbium (III) 2, 4-pentanedionate (Er(acac) 3 ), into the ALQ layer, we fabricate a series of infrared emission organic light emitting diodes (OLED) with structures of p-Si/SiO 2 /NPB/ALQ/ ALQ:Er(acac) 3 /ALQ/Sm/Au, where p-Si is the anode and Sm/Au is the cathode. The 1.54 μm emission from Er 3+ is observed. The impact of doping level of Er(acac) 3 in ALQ on 1.54 μm electroluminescence (EL) intensity is studied, and the best mass ratio of Er(acac) 3 to ALQ is found at 1:60. A competitive EL mechanism from the ALQ and Er(acac) 3 is found and the Er 3+ ions excitations are attributed to energy transfer from the ligands to Er ions

  18. Ion implantation into amorphous Si layers to form carrier-selective contacts for Si solar cells

    International Nuclear Information System (INIS)

    Feldmann, Frank; Mueller, Ralph; Reichel, Christian; Hermle, Martin

    2014-01-01

    This paper reports our findings on the boron and phosphorus doping of very thin amorphous silicon layers by low energy ion implantation. These doped layers are implemented into a so-called tunnel oxide passivated contact structure for Si solar cells. They act as carrier-selective contacts and, thereby, lead to a significant reduction of the cell's recombination current. In this paper we address the influence of ion energy and ion dose in conjunction with the obligatory high-temperature anneal needed for the realization of the passivation quality of the carrier-selective contacts. The good results on the phosphorus-doped (implied V oc = 725 mV) and boron-doped passivated contacts (iV oc = 694 mV) open a promising route to a simplified interdigitated back contact (IBC) solar cell featuring passivated contacts. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Atomic scale Monte Carlo simulations of BF3 plasma immersion ion implantation in Si

    International Nuclear Information System (INIS)

    La Magna, Antonino; Fisicaro, Giuseppe; Nicotra, Giuseppe; Spiegel, Yohann; Torregrosa, Frank

    2014-01-01

    We present a numerical model aimed to accurately simulate the plasma immersion ion implantation (PIII) process in micro and nano-patterned Si samples. The code, based on the Monte Carlo approach, is designed to reproduce all the relevant physical phenomena involved in the process. The particle based simulation technique is fundamental to efficiently compute the material modifications promoted by the plasma implantation at the atomic resolution. The accuracy in the description of the process kinetic is achieved linking (one to one) each virtual Monte Carlo event to each possible atomic phenomenon (e.g. ion penetration, neutral absorption, ion induced surface modification, etc.). The code is designed to be coupled with a generic plasma status, characterized by the particle types (ions and neutrals), their flow rates and their energy/angle distributions. The coupling with a Poisson solver allows the simulation of the correct trajectories of charged particles in the void regions of the micro-structures. The implemented model is able to predict the implantation 2D profiles and significantly support the process design. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Production of Rare Earth Isotope Beams for Radiotracer-DLTS on SiC

    CERN Multimedia

    2002-01-01

    Electrical properties of semiconductors are extremely sensitive to minor traces of impurities and defects. This fact allows to intentionally modify material properties and is thus the very basis of semiconductor electronics and optoelectronics. In the present project, electronic properties and doping effects of rare-earth elements in the technologically important semiconductor SiC are to be investigated using optical and electrical characterization techniques like Photoluminescence, Deep Level Transient Spectroscopy and Thermal Admittance Spectroscopy. By using the elemental transmutation of radioactive isotopes as a tracer, it will be guaranteed that the impurity-related band gap states can definitively be distinguished from intrinsic or process-induced defects. For SiC up to now only detailed investigation of Er- related deep levels have been reported, preliminary data exist for Sm- and Gd- impurities. In this project we propose the implantation of Pr and Eu isotopes for detailed level studies.

  1. Suppression of nanoindentation-induced phase transformation in crystalline silicon implanted with hydrogen

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet

    2017-09-01

    In this paper the effect of hydrogen implantation in silicon on nanoindentation-induced phase transformation is investigated. Hydrogen ions were implanted in silicon through 300 nm thick oxide with double energy implantation (75 and 40 keV). For both energies implantation dose was 4 × 1016 cm-2. Some samples were thermally annealed at 400 °C. The micro-Raman spectroscopy was applied on nanoindentation imprints and the obtained results were related to the pop out/elbow appearances in nanoindentatioin unloading-displacement curves. The Raman spectroscopy revealed a suppression of Si-XII and Si-III phases and formation of a-Si in the indents of hydrogen implanted Si. The high-resolution x-ray diffraction measurements were taken to support the analysis of silicon phase formation during nanoindentation. Implantation induced strain, high hydrogen concentration, and platelets generation were found to be the factors that control suppression of c-Si phases Si-XII and Si-III, as well as a-Si phase enhancement during nanoindentation. [Figure not available: see fulltext.

  2. Efficient 1.54-μm emission through Eu2+ sensitization of Er3+ in thin films of Eu2+/Er3+ codoped barium strontium silicate under broad ultraviolet light excitation

    International Nuclear Information System (INIS)

    Li, Leliang; Zheng, Jun; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming

    2015-01-01

    Thin films of Eu 2+ /Er 3+ codoped barium strontium silicate were deposited on a thermal oxide Si substrate by magnetron sputtering. Optical properties suggest that after a rapid annealing process, these films can lead to efficient Er 3+ emission at 1.54 μm with a lifetime of about 7.9 ms. Intense 1.54-μm light emission was achieved under broad ultraviolet light excitation through efficient energy transfer from Eu 2+ to Er 3+ . These results indicate that the Eu 2+ /Er 3+ thin films have potential applications as low cost and compact erbium doped waveguide amplifiers pumped by LEDs. - Highlights: • The Er 0.07 Eu 0.14 Sr 1.14 Ba 0.79 SiO 4 films are fabricated by magnetron sputtering. • Efficient energy transfer from Eu 2+ to Er 3+ ions by the dipole–dipole interaction. • Intense 1.54 μm emission is achieved under broad excitation spectrum. • The films have potential applications as low cost and compact EDWAs

  3. Structural and optical characterization of Mn doped ZnS nanocrystals elaborated by ion implantation in SiO2

    International Nuclear Information System (INIS)

    Bonafos, C.; Garrido, B.; Lopez, M.; Romano-Rodriguez, A.; Gonzalez-Varona, O.; Perez-Rodriguez, A.; Morante, J.R.; Rodriguez, R.

    1999-01-01

    Mn doped ZnS nanocrystals have been formed in SiO 2 layers by ion implantation and thermal annealing. The structural analysis of the processed samples has been performed mainly by Secondary Ion Mass Spectroscopy (SIMS) and Transmission Electron Microscopy (TEM). The data show the precipitation of ZnS nanocrystals self-organized into two layers parallel to the free surface. First results of the optical analysis of samples co-implanted with Mn show the presence of a yellow-green photoluminescence depending on the Mn concentration and the size of the nanocrystals, suggesting the doping with Mn of some precipitates

  4. Enhanced Electrical Activation in In-Implanted Si0.35Ge0.65 by C Co-Doping

    International Nuclear Information System (INIS)

    Feng, Ruixing; Kremer, Felipe; Sprouster, David J.; Mirzaei, Sahar; Decoster, Stefan

    2016-01-01

    In this report, we have achieved a significant increase in the electrically active dopant fraction in Indium (In)-implanted Si 0.35 Ge 0.65 , by co-doping with the isovalent element Carbon (C). Electrical measurements have been correlated with X-ray absorption spectroscopy to determine the electrical properties and the In atom lattice location. With C+In co-doping, the solid solubility of In in Si 0.35 Ge 0.65 was at least tripled from between 0.02 and 0.06 at% to between 0.2 and 0.6 at% as a result of C–In pair formation, which suppressed In metal precipitation. A dramatic improvement of electrical properties was thus attained in the co-doped samples.

  5. RBS studies of the lattice damage caused by 1 MeV Si+ implantation into Al0.3Ga0.7As/GaAs superlattices at elevated temperature

    International Nuclear Information System (INIS)

    Xu Tianbing; Zhu Peiran; Zhou Junsi; Li Daiqing; Gong Baoan; Wan Ya; Mu Shanming; Zhao Qingtai; Wang Zhonglie

    1994-01-01

    The lattice damage accumulation in GaAs and Al 0.3 Ga 0.7 As/GaAs superlattices by 1 MeV Si + irradiation at room temperature and 350 C has been studied. For irradiations at 350 C, at lower doses the samples were almost defect-free after irradiation, while a large density of accumulated defects was induced at a higher dose. The critical dose above which the damage accumulation is more efficient is estimated to be 2 x 10 15 Si/cm 2 for GaAs, and is 5 x 10 15 Si/cm 2 for Al 0.8 Ga 0.7 As/GaAs superlattice for implantation with 1.0 MeV Si ions at 350 C. The damage accumulation rate for 1 MeV Si ion implantation in Al 0.3 Ga 0.7 As/GaAs superlattice is less than that in GaAs. (orig.)

  6. Local electronic and geometric structures of silicon atoms implanted in graphite

    International Nuclear Information System (INIS)

    Baba, Yuji; Sekiguchi, Tetsuhiro; Shimoyama, Iwao

    2002-01-01

    Low-energy Si + ions were implanted in highly oriented pyrolitic graphite (HOPG) up to 1% of surface atomic concentration, and the local electronic and geometric structures around the silicon atoms were in situ investigated by means of the Si K-edge X-ray absorption near-edge structure (XANES) and X-ray photoelectron spectroscopy using linearly polarized synchrotron radiation. The resonance peak appeared at 1839.5 eV in the Si K-edge XANES spectra for Si + -implanted HOPG. This energy is lower than those of the Si 1s→σ * resonance peaks in any other Si-containing materials. The intensity of the resonance peak showed strong polarization dependence, which suggests that the final state orbitals around the implanted Si atoms have π * -like character. It is concluded that the σ-type Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms two-dimensionally spread graphite-like layer with sp 2 bonds

  7. Raman study of damage processes in Si+-implanted GaAs

    International Nuclear Information System (INIS)

    Ivanda, M.; Desnica, U.V.; Haynes, T.E.; Hartmann, I.; Kiefer, W.

    1994-09-01

    Ion-induced damage in GaAs as a function of ion dose following 100 keV Si + implants has been investigated by Raman spectroscopy. A new approach for decomposition of Raman scattering intensity on to the crystalline and amorphous phase components has been used in analysis of Raman spectra. With increasing ion dose the following was observed: (a) the widths of vibrational bands of a-phase significantly increase, while the width of the LO(Γ) phonon band of c-phase remains unchanged; (b) the longitudinal optical phonon band of c-phase completely dissappears, while the transverse optical phonon mode evolves in to a new band of a-phase; (c) the wavenumbers of all vibrational bands of a- and c-phase shift to lower values by ∼ 10--15 cm -1 . A number of mechanisms possibly accountable for these shifts were analysed and evaluated

  8. Effects of antimony (Sb) on electron trapping near SiO{sub 2}/4H-SiC interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Mooney, P. M.; Jiang, Zenan; Basile, A. F. [Physics Department, Simon Fraser University, Burnaby, British Columbia V5A 1S6 (Canada); Zheng, Yongju; Dhar, Sarit [Physics Department, Auburn University, Auburn, Alabama 36849 (United States)

    2016-07-21

    To investigate the mechanism by which Sb at the SiO{sub 2}/SiC interface improves the channel mobility of 4H-SiC MOSFETs, 1 MHz capacitance measurements and constant capacitance deep level transient spectroscopy (CCDLTS) measurements were performed on Sb-implanted 4H-SiC MOS capacitors. The measurements reveal a significant concentration of Sb donors near the SiO{sub 2}/SiC interface. Two Sb donor related CCDLTS peaks corresponding to shallow energy levels in SiC were observed close to the SiO{sub 2}/SiC interface. Furthermore, CCDLTS measurements show that the same type of near-interface traps found in conventional dry oxide or NO-annealed capacitors are present in the Sb implanted samples. These are O1 traps, suggested to be carbon dimers substituted for O dimers in SiO{sub 2}, and O2 traps, suggested to be interstitial Si in SiO{sub 2}. However, electron trapping is reduced by a factor of ∼2 in Sb-implanted samples compared with samples with no Sb, primarily at energy levels within 0.2 eV of the SiC conduction band edge. This trap passivation effect is relatively small compared with the Sb-induced counter-doping effect on the MOSFET channel surface, which results in improved channel transport.

  9. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Science.gov (United States)

    Urbanski, Wiktor; Marycz, Krzysztof; Krzak, Justyna; Pezowicz, Celina; Dragan, Szymon Feliks

    2017-01-01

    Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL)-1, IL-6, and tumor necrosis factor-alpha (TNF-α) are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS) and titanium alloy (Ti6Al4V) biomaterials coated with titanium dioxide (TiO2) and silica (SiO2) coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software) of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues, irrespective of the material used as a substrate, that is, either Ti6Al4V or SS. This suggests lower inflammatory response, which directly points out improvement of materials’ biocompatibility. PMID:28280331

  10. Enhanced diffusion of dopants in vacancy supersaturation produced by MeV implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Univ. of North Texas, Denton, TX; Haynes, T.E.; Agarwal, A.; Lucent Technologies, Murray Hill, NJ; Gossmann, H.J.; Eaglesham, D.J.

    1997-04-01

    The diffusion of Sb and B markers has been studied in vacancy supersaturations produced by MeV Si implantation in float zone (FZ) silicon and bonded etch-back silicon-on-insulator (BESOI) substrates. MeV Si implantation produces a vacancy supersaturated near-surface region and an interstitial-rich region at the projected ion range. Transient enhanced diffusion (TED) of Sb in the near surface layer was observed as a result of a 2 MeV Si + , 1 x 10 16 /cm 2 , implant. A 4x larger TED of Sb was observed in BESOI than in FZ silicon, demonstrating that the vacancy supersaturation persists longer in BESOI than in FZ. B markers in samples with MeV Si implant showed a factor of 10x smaller diffusion relative to markers without the MeV Si + implant. This data demonstrates that a 2 MeV Si + implant injects vacancies into the near surface region

  11. Enhancement of c-axis texture of AlN films by substrate implantation

    International Nuclear Information System (INIS)

    Chen, C.H.; Yeh, J.M.; Hwang, J.

    2005-01-01

    Highly oriented AlN films are successfully deposited on B + implanted Si(1 1 1) substrates in a radio frequency inductively coupled plasma (RF/ICP) system. The implanted energy and dose used for the B + implanted Si(1 1 1) substrates are 200 keV and 10 15 cm -2 , respectively. The c-axis texture of AlN films can be affected by RF gun power and ion implantation. Experimental results show that the full width at half-maximum (FWHM) of AlN(0 0 2) in the X-ray rocking curve measurements decreases with increasing RF gun power. The optimum condition is at 500 W, where the FWHM of the AlN films deposited on Si(1 1 1) with and without B + implantation are 2.77 and 3.17, respectively. In average, the FWHM of the AlN films on B + implanted Si(1 1 1) are less than those on Si(1 1 1) by a factor of ∼10%. The enhancement of c-axis of AlN films due to B + implantation is attributed to the reduction of AlN grains. Raman spectra also suggest that ion implantation plays a role in reducing the tensile stress in AlN films deposited on B + implanted Si(1 1 1)

  12. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  13. Simple fabrication of back contact heterojunction solar cells by plasma ion implantation

    Science.gov (United States)

    Koyama, Koichi; Yamaguchi, Noboru; Hironiwa, Daisuke; Suzuki, Hideo; Ohdaira, Keisuke; Matsumura, Hideki

    2017-08-01

    A back-contact amorphous-silicon (a-Si)/crystalline silicon (c-Si) heterojunction is one of the most promising structures for high-efficiency solar cells. However, the patterning of back-contact electrodes causes the increase in fabrication cost. Thus, to simplify the fabrication of back-contact cells, we attempted to form p-a-Si/i-a-Si/c-Si and n-a-Si/i-a-Si/c-Si regions by the conversion of a patterned area of p-a-Si/i-a-Si/c-Si to n-a-Si/i-a-Si/c-Si by plasma ion implantation. It is revealed that the conversion of the conduction type can be realized by the plasma ion implantation of phosphorus (P) atoms into p-a-Si/i-a-Si/c-Si regions, and also that the quality of passivation can be kept sufficiently high, the same as that before ion implantation, when the samples are annealed at around 250 °C and also when the energy and dose of ion implantation are appropriately chosen for fitting to a-Si layer thickness and bulk c-Si carrier density.

  14. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  15. Pulsed Q-switched ruby laser annealing of Bi implanted Si crystals investigated by channeling

    International Nuclear Information System (INIS)

    Deutch, B.I.; Shih-Chang, T.; Shang-Hwai, L.; Zu-Yao, Z.; Jia-Zeng, H.; Ren-Zhi, D.; Te-Chang, C.; De-Xin, C.

    1979-01-01

    Channeling was used to investigate pulsed, Q switched ruby-laser annealed and thermally annealed Si single crystals implanted with 40-keV Bi ions to a dose of 10 15 atoms/cm 2 . After thermal annealing, residual damage decreased with increasing annealing temperature to a minimum value of 30% at 900 0 C. The Bi atoms in substitutional sites reached a maximum value (50%) after annealing at 750 0 C but decreased with increasing annealing temperature. Out diffusion of Bi atoms occurred at temperatures higher than 625 0 C. For comparison, the residual damage disappeared almost completely after pulsed-laser annealing (30 ns pulse width, Energy, E = 3J/cm 2 ). The concentration of Bi in Si exceeded its solid solubility by an order of magnitude; 95% of Bi atoms were annealed to substitutional sites. Laser pulses of different energies were used to investigate the efficiency of annealing. (author)

  16. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  17. Leaky mode suppression in planar optical waveguides written in Er:TeO{sub 2}–WO{sub 3} glass and CaF{sub 2} crystal via double energy implantation with MeV N{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Bányász, I., E-mail: banyasz@sunserv.kfki.hu [Department of Crystal Physics, Wigner Research Centre for Physics, Hungarian Academy of Sciences, P.O.B. 49, H-1525 Budapest (Hungary); Zolnai, Z.; Fried, M. [Research Institute for Technical Physics and Materials Science, Research Centre for Natural Sciences, Hungarian Academy of Sciences, P.O.B. 49, Budapest H-1525 (Hungary); Berneschi, S. [MDF-Lab, “Nello Carrara” Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy); “Enrico Fermi” Center for Study and Research, Piazza del Viminale 2, 00184 Roma (Italy); Pelli, S.; Nunzi-Conti, G. [MDF-Lab, “Nello Carrara” Institute of Applied Physics, IFAC-CNR, Via Madonna del Piano 10, 50019 Sesto Fiorentino (Italy)

    2014-05-01

    Ion implantation proved to be an universal technique for producing waveguides in most optical materials. Tellurite glasses are good hosts of rare-earth elements for the development of fibre and integrated optical amplifiers and lasers covering all the main telecommunication bands. Er{sup 3+}-doped tellurite glasses are good candidates for the fabrication of broadband amplifiers in wavelength division multiplexing around 1.55 μm, as they exhibit large stimulated cross sections and broad emission bandwidth. Calcium fluoride is an excellent optical material, due to its perfect optical characteristics from UV wavelengths up to near IR. It has become a promising laser host material (doped with rare earth elements). Ion implantation was also applied to optical waveguide fabrication in CaF{sub 2} and other halide crystals. In the present work first single-energy implantations at 3.5 MeV at various fluences were applied. Waveguide operation up to 1.5 μm was observed in Er:Te glass, and up to 980 nm in CaF{sub 2}. Then double-energy implantations at a fixed upper energy of 3.5 MeV and lower energies between 2.5 and 3.2 MeV were performed to suppress leaky modes by increasing barrier width.

  18. Hydrogen- and helium-implanted silicon: Low-temperature positron-lifetime studies

    DEFF Research Database (Denmark)

    Mäkinen, S.; Rajainmäki, H.; Linderoth, Søren

    1991-01-01

    High-purity single-crystal samples of float-zoned Si have been implanted with 6.95-MeV protons and with 25-MeV 3He2 ions at 15 K, and the positron-lifetime technique has been used to identify the defects created in the samples, and to study the effects of H and He on the annealing of point defects...... in Si. The results have been compared with those of proton-irradiated Si. A 100–300-K annealing stage was clearly observed in hydrogen (H+) -implanted Si, and this stage was almost identical to that in the p-irradiated Si. The final annealing state of the H+-implanted Si started at about 400 K......, and it is connected to annealing out of negatively charged divacancy-oxygen pairs. This stage was clearly longer than that for the p-irradiated Si, probably due to the breakup of Si-H bonds at about 550 K. The 100-K annealing stage was not seen with the He-implanted samples. This has been explained by assuming...

  19. Effect of oxygen on the processes of ion beam synthesis of buried SiC layers in silicon

    International Nuclear Information System (INIS)

    Artamonov, V.V.; Valakh, M.Ya.; Klyuj, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of Si-structures with buried silicon carbide (SiC) layers created by high dose carbon implantation into Cz-Si or Fz-Si wafers followed by high-temperature annealing were studied by Raman and infrared spectroscopy. Effect of additional oxygen implantation on the peculiarities of SiC layer formation was also studied. It was shown that under the same implantation and post-implantation annealing conditions the buried SiC layers are more effectively formed in Cz-Si or in Si subjected to additional oxygen implantation. Thus, oxygen in silicon promotes the SiC layer formation due to SiO x precipitate creation and accommodation of the crystal volume in the region where SiC phase is formed

  20. Structural and optical characterization of Mn doped ZnS nanocrystals elaborated by ion implantation in SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C. E-mail: bonafos@el.ub.es; Garrido, B.; Lopez, M.; Romano-Rodriguez, A.; Gonzalez-Varona, O.; Perez-Rodriguez, A.; Morante, J.R.; Rodriguez, R

    1999-01-01

    Mn doped ZnS nanocrystals have been formed in SiO{sub 2} layers by ion implantation and thermal annealing. The structural analysis of the processed samples has been performed mainly by Secondary Ion Mass Spectroscopy (SIMS) and Transmission Electron Microscopy (TEM). The data show the precipitation of ZnS nanocrystals self-organized into two layers parallel to the free surface. First results of the optical analysis of samples co-implanted with Mn show the presence of a yellow-green photoluminescence depending on the Mn concentration and the size of the nanocrystals, suggesting the doping with Mn of some precipitates.

  1. Shallow doping of gallium arsenide by recoil implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Souza, J.P. de; Rutz, R.F.; Cardone, F.; Norcott, M.H.

    1989-01-01

    Si atoms were recoil-implanted into GaAs by bombarding neutral (As + ) or dopant (Si + ) ions through a thin Si cap. The bombarded samples were subsequently rapid thermally or furnace annealed at 815-1000 degree C in Ar or arsine ambient. The presence of the recoiled Si in GaAs and resulting n + -doping was confirmed by secondary ion mass spectrometry and Hall measurements. It was found that sheet resistance of 19 cm 3 and the annealing temperature was > 850 degree C. The present electrical data show that the recoil implant method is a viable alternative to direct shallow implant for n + doping of GaAs. 7 refs., 3 figs., 1 tab

  2. Perspectives of the Si3N4-TiN ceramic composite as a biomaterial and manufacturing of complex-shaped implantable devices by electrical discharge machining (EDM).

    Science.gov (United States)

    Bucciotti, Francesco; Mazzocchi, Mauro; Bellosi, Alida

    2010-01-01

    In this work we investigated the suitability of electroconductive silicon nitride/titanium nitride composite for biomedical implantable devices with particular attention on the processing route that allows the net-shaping of complex components by electrical discharge machining (EDM). The composite, constituted mainly of a beta-Si3N4, dispersed TiN grains and a glassy grain boundary phase, exhibited a low density and high hardness, strength and toughness. Bulk, surface characteristics and properties of the Si3N4-TiN composite were analyzed. After the EDM process, the microstructure of the machined surface was examined. The obtained results showed that the Si3N4-TiN ceramic composite together with the EDM manufacturing process might potentially play a key role in implantable load-bearing prosthesis applications.

  3. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  4. Enhancement of Er optical efficiency through bismuth sensitization in yttrium oxide

    Energy Technology Data Exchange (ETDEWEB)

    Scarangella, Adriana [CNR IMM-MATIS, Via S. Sofia 64, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy); Reitano, Riccardo [Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy); Franzò, Giorgia; Miritello, Maria, E-mail: maria.miritello@ct.infn.it [CNR IMM-MATIS, Via S. Sofia 64, 95123 Catania (Italy); Priolo, Francesco [CNR IMM-MATIS, Via S. Sofia 64, 95123 Catania (Italy); Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania (Italy); Scuola Superiore di Catania, Università di Catania, Via Valdisavoia 9, 95123 Catania (Italy)

    2015-07-27

    The process of energy transfer (ET) between optically active ions has been widely studied to improve the optical efficiency of a system for different applications, from lighting and photovoltaics to silicon microphotonics. In this work, we report the influence of Bi on the Er optical emission in erbium-yttrium oxide thin films synthesized by magnetron co-sputtering. We demonstrate that this host permits to well dissolve Er and Bi ions, avoiding their clustering, and thus to stabilize the optically active Er{sup 3+} and Bi{sup 3+} valence states. In addition, we establish the ET occurrence from Bi{sup 3+} to Er{sup 3+} by the observed Bi{sup 3+} PL emission decrease and the simultaneous Er{sup 3+} photoluminescence (PL) emission increase. This was further confirmed by the coincidence of the Er{sup 3+} and Bi{sup 3+} excitation bands, analyzed by PL excitation spectroscopy. By increasing the Bi content of two orders of magnitude inside the host, though the occurrence of Bi-Bi interactions becomes deleterious for Bi{sup 3+} optical efficiency, the ET process between Bi{sup 3+} and Er{sup 3+} is still prevalent. We estimate ET efficiency of 70% for the optimized Bi:Er ratio equal to 1:3. Moreover, we have demonstrated to enhance the Er{sup 3+} effective excitation cross section by more than three orders of magnitude with respect to the direct one, estimating a value of 5.3 × 10{sup −18} cm{sup 2}, similar to the expected Bi{sup 3+} excitation cross section. This value is one of the highest obtained for Er in Si compatible hosts. These results make this material very promising as an efficient emitter for Si-compatible photonics devices.

  5. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  6. Influence of Hot Implantation on Residual Radiation Damage in Silicon Carbide

    International Nuclear Information System (INIS)

    Rawski, M.; Zuk, J.; Kulik, M.; Drozdziel, A.; Pyszniak, K.; Turek, M.; Lin, L.; Prucnal, S.

    2011-01-01

    Remarkable thermomechanical and electrical properties of silicon carbide (SiC) make this material very attractive for high-temperature, high-power, and high-frequency applications. Because of very low values of diffusion coefficient of most impurities in SiC, ion implantation is the best method to selectively introduce dopants over well-defined depths in SiC. Aluminium is commonly used for creating p-type regions in SiC. However, post-implantation radiation damage, which strongly deteriorates required electric properties of the implanted layers, is difficult to anneal even at high temperatures because of remaining residual damage. Therefore implantation at elevated target temperatures (hot implantation) is nowadays an accepted method to decrease the level of the residual radiation damage by avoiding ion beam-induced amorphization. The main objective of this study is to compare the results of the Rutherford backscattering spectroscopy with channeling and micro-Raman spectroscopy investigations of room temperature and 500 o C Al + ion implantation-induced damage in 6H-SiC and its removal by high temperature (up to 1600 o C) thermal annealing. (author)

  7. Nuclear radiation detector based on ion implanted p-n junction in 4H-SiC

    International Nuclear Information System (INIS)

    Vervisch, V.; Issa, F.; Ottaviani, L.; Lazar, M.; Kuznetsov, A.; Szalkai, D.; Klix, A.; Lyoussi, A.; Vermeeren, L.; Hallen, A.

    2013-06-01

    In this paper, we propose a new device detector based on ion implanted p-n junction in 4H-SiC for nuclear instrumentation. We showed the interest to use 10 Boron as a Neutron Converter Layer in order to detect thermal neutrons. We present the main results obtained during irradiation tests performed in the Belgian Reactor 1. We show the capability of our detector by means of first results of the detector response at different reverse voltage biases and at different reactor power (authors)

  8. Influence of phosphorous precursors on spectroscopic properties of Er3+-activated SiO2-HfO2-P2O5 planar waveguides

    International Nuclear Information System (INIS)

    Vasilchenko, I; Carpentiero, A; Chiappini, A; Chiasera, A; Ferrari, M; Vaccari, A; Lukowiak, A; Righini, G C; Vereshagin, V

    2014-01-01

    (70-x)SiO 2 -30HfO 2 -xP 2 O 5 (x= 5, 10 mol %) glass planar waveguides activated by 0.5 mol% Er 3 + ions were prepared by sol-gel route. Several phosphorous precursors have been investigated for the synthesis of a dielectric stable sol useful for the realization of planar waveguides. The waveguides were investigated by different diagnostic techniques. The optical properties such as refractive index, thickness, number of propagating modes and attenuation coefficient were measured at 632.8 and 543.5 nm by prism coupling technique. Transmission measurements were carried out in order to assess the transparency of the deposited films. Photoluminescence measurements and lifetime decay curves of the Er 3 + transition (4 I 13/2 → 4 I 15/2 ) were performed in order to investigate the role of P 2 O 5

  9. Ion implantation by isotope separator on line (ISOL) of indium isotopes

    International Nuclear Information System (INIS)

    Hanada, Reimon; Murayama, Mitsuhiro; Saito, Shigeru; Nagata, Shinji; Yamaguchi, Sadaei; Shinozuka, Tsutomu; Fujioka, Manabu.

    1994-01-01

    111 In has been known as the nuclide which is most suitable to perturbed angular correlation (PAC) process, as the life of its intermediate state is long , the half life is proper in view of the measurement and radiation control, and it is easily available as its chloride is on the market. In the PAC, it is necessary to introduce this probe nuclei into samples. The most simple method is diffusion process, but in the materials, of which the solid-solubility of In is low like Fe and Si, the introduction is very difficult, therefore, it is necessary to do ion implantation. The development of this process was tried, and the results are reported. For the experiment, the ISOL in the cyclotron RI center, Tohoku University, was used as the accelerator for the implantation. The experimental method is explained. As the results, in the case of nonradioactive In implantation, the Ruthereford back scattering (RBS) spectra of the Si in which In was implanted, the spectra when the channeling condition was satisfied, and the results of measuring the angle dependence of channeling for In and In-implanted Si are shown. In the case of the ion implantation of radioactive 111 In, the energy spectra of In-implanted Si, the PAC spectra of In-implanted Si samples, and the PAC spectra for pure iron and Fe-Si alloy are shown. The further improvement of the ion sources is necessary. (K.I.)

  10. Co-Au core-shell nanocrystals formed by sequential ion implantation into SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Hoy, B.; Johannessen, B.; Dunn, S. G.; Foran, G. J.; Ridgway, M. C.

    2006-01-01

    Co-Au core-shell nanocrystals (NCs) were formed by sequential ion implantation of Au and Co into thin SiO 2 . The NCs were investigated by means of transmission electron microscopy and extended x-ray absorption fine structure spectroscopy. The latter reveals a bond length expansion in the Co core compared to monatomic Co NCs. Concomitantly, a significant contraction of the bond length and a significant reduction of the effective Au-Au coordination number were observed in the Au shells. Increased Debye-Waller factors indicate significant strain in the NCs. These experimental results verify recent theoretical predictions

  11. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  12. $^{31}$Si Self-Diffusion in Si-Ge Alloys and Si-(B-)C-N Ceramics and Diffusion Studies for Al and Si Beam Developments

    CERN Multimedia

    Nylandsted larsen, A; Voss, T L; Strohm, A

    2002-01-01

    An invaluable method for studying diffusion in solids is the radiotracer technique. However, its applicability had been restricted to radiotracer atoms with half-lives $t_{1/2}$ of about 1~d or longer. Within the framework of IS372 a facility was developed in which short-lived radiotracer atoms ( 5min $\\scriptstyle{\\lesssim}$ $t_{1/2}\\scriptstyle{\\lesssim}$1 d ) can be used. For the implantation of the short-lived tracers the facility is flanged to the ISOLDE beamline, and all post-implantation steps required in the radiotracer technique are done in situ.\\\\ After successful application of this novel technique in diffusion studies of $^{11}$C ($t_{1/2}$ = 20.3 min), this experiment aims at performing self-diffusion studies of $^{31}$Si ($t_{1/2}$ = 2.6~h) in Si--Ge alloys and in amorphous Si--(B--)C--N ceramics.\\\\ Our motivation for measuring diffusion in Si--Ge alloys is their recent technological renaissance as well as the purpose to test the prediction that in these alloys the self-diffusion mechanism chang...

  13. Characterization of Si(1 1 1) crystals implanted with Sb{sup +} ions and annealed by rapid thermal processing

    Energy Technology Data Exchange (ETDEWEB)

    Labbani, R.; Halimi, R.; Laoui, T.; Vantomme, A.; Pipeleers, B.; Roebben, G

    2003-09-15

    Monocrystalline Si(1 1 1) targets are implanted (at room temperature) with antimony ions at 120 keV energy to 5x10{sup 14} or 5x10{sup 15} Sb{sup +} cm{sup -2} dose. The samples are heat treated by means of rapid thermal processing (RTP) at 1000 deg. C during 60 s, under nitrogen atmosphere. In this work, we report the measured evolution of the silicon surface damage and the radiation damage recovery in relation to antimony dose and RTP processing. We also study the behavior of antimony dopant into Si(1 1 1) specimens. The investigation is carried out by He{sup +} Rutherford backscattering spectrometry (RBS; operating at 1.57 MeV energy in both random and channeling modes), X-ray diffraction (XRD) and atomic force microscopy (AFM) techniques. It is shown that a good surface damage recovery is obtained for all the annealed samples. However, after RTP, a significant loss of antimony has occurred for the specimens which are implanted with 5x10{sup 15} Sb{sup +} cm{sup -2} dose. This suggests an antimony out-diffusion. Finally, a good morphological characterization of the specimens is provided by AFM.

  14. Ridge optical waveguide in an Er3+/Yb3+ co-doped phosphate glass produced by He+ ion implantation combined with Ar+ ion beam etching

    International Nuclear Information System (INIS)

    Tan Yang; Chen Feng; Hu Lili; Xing Pengfei; Chen Yanxue; Wang Xuelin; Wang Keming

    2007-01-01

    This paper reports on the fabrication and characterization of a ridge optical waveguide in an Er 3+ /Yb 3+ co-doped phosphate glass. The He + ion implantation (at energy of 2.8 MeV) is first applied onto the sample to produce a planar waveguide substrate, and then Ar + ion beam etching (at energy of 500 eV) is carried out to construct rib stripes on the sample surface that has been deposited by a specially designed photoresist mask. According to a reconstructed refractive index profile of the waveguide cross section, the modal distribution of the waveguide is simulated by applying a computer code based on the beam propagation method, which shows reasonable agreement with the experimentally observed waveguide mode by using the end-face coupling method. Simulation of the incident He ions at 2.8 MeV penetrating into the Er 3+ /Yb 3+ co-doped phosphate glass substrate is also performed to provide helpful information on waveguide formation

  15. CeNi{sub 3}-type rare earth compounds: crystal structure of R{sub 3}Co{sub 7}Al{sub 2} (R=Y, Gd–Tm) and magnetic properties of {Gd–Er}{sub 3}Co{sub 7}Al{sub 2}, {Tb, Dy}{sub 3}Ni{sub 8}Si and Dy{sub 3}Co{sub 7.68}Si{sub 1.32}

    Energy Technology Data Exchange (ETDEWEB)

    Morozkin, A.V., E-mail: morozkin@tech.chem.msu.ru [Department of Chemistry, Moscow State University, Leninskie Gory, House 1, Building 3, GSP-1, Moscow 119991 (Russian Federation); Yapaskurt, V.O. [Department of Petrology, Geological Faculty Moscow State University, Leninskie Gory, Moscow 119991 (Russian Federation); Nirmala, R. [Indian Institute of Technology Madras, Chennai 600 036 (India); Quezado, S.; Malik, S.K. [Departamento de Física Teórica e Experimental, Universidade Federal do Rio Grande do Norte, Natal 59082-970 (Brazil)

    2017-03-15

    The crystal structure of new CeNi{sub 3}-type {Y, Gd–Tm}{sub 3}Co{sub 7}Al{sub 2} (P63/mmc. N 194, hP24) compounds has been established using powder X-ray diffraction studies. The magnetism of Tb{sub 3}Ni{sub 8}Si and Dy{sub 3}Ni{sub 8}Si is dominated by rare earth sublattice and the magnetic properties of R{sub 3}Co{sub 7}Al{sub 2} (R =Gd–Er) and Dy{sub 3}Co{sub 7.68}Si{sub 1.32} are determined by both rare earth and cobalt sublattices. Magnetization data indicate ferromagnetic ordering of {Tb, Dy}{sub 3}Ni{sub 8}Si at 32 K and 21 K, respectively. Gd{sub 3}Co{sub 7}Al{sub 2} and Tb{sub 3}Co{sub 7}Al{sub 2} exhibit ferromagnetic ordering at 309 K and 209 K, respectively, whereas Dy{sub 3}Co{sub 7}Al{sub 2}, Ho{sub 3}Co{sub 7}Al{sub 2}, Er{sub 3}Co{sub 7}Al{sub 2} and Dy{sub 3}Co{sub 7.68}Si{sub 1.32} show a field dependent ferromagnetic-like ordering at 166 K, 124 K, 84 K and 226 K, respectively followed by a low temperature transition at 34 K for Dy{sub 3}Co{sub 7}Al{sub 2}, 18 K for Ho{sub 3}Co{sub 7}Al{sub 2}, 56 K for Er{sub 3}Co{sub 7}Al{sub 2}, 155 K and 42 K for Dy{sub 3}Co{sub 7.68}Si{sub 1.32}. Among these compounds, Dy{sub 3}Ni{sub 8}Si shows largest magnetocaloric effect (isothermal magnetic entropy change) of −11.6 J/kg·K at 18 K in field change of 50 kOe, whereas Tb{sub 3}Co{sub 7}Al{sub 2}, Dy{sub 3}Co{sub 7}Al{sub 2} and Dy{sub 3}Co{sub 7.68}Si{sub 1.32} exhibit best permanent magnet properties in the temperature range of 2–5 K with remanent magnetization of 11.95 μ{sub B}/fu, 12.86 μ{sub B}/fu and 14.4 μ{sub B}/fu, respectively and coercive field of 3.0 kOe, 1.9 kOe and 4.4 kOe, respectively. - Highlights: • {Y, Gd–Tm}{sub 3}Co{sub 7}Al{sub 2} compounds crystallize in the CeNi{sub 3}-type structure. • {Gd-Er}{sub 3}Co{sub 7}Al{sub 2} show ferrimagnetic ordering at 309 K, 209 K, 166 K, 124 K and 84 K. • Dy{sub 3}Co{sub 7.68}Si{sub 1.32} exhibits magnetic transitions at 226 K, 155 K and 42 K. • {Tb-Er}{sub 3}Co{sub 7}Al{sub 2

  16. Hyperfine electric parameters calculation in Si samples implanted with {sup 57}Mn→{sup 57}Fe

    Energy Technology Data Exchange (ETDEWEB)

    Abreu, Y., E-mail: yabreu@ceaden.edu.cu [Centro de Aplicaciones Tecnológicas y Desarrollo Nuclear (CEADEN), Calle 30 No. 502 e/5ta y 7ma Ave., 11300 Miramar, Playa, La Habana (Cuba); Cruz, C.M.; Piñera, I.; Leyva, A.; Cabal, A.E. [Centro de Aplicaciones Tecnológicas y Desarrollo Nuclear (CEADEN), Calle 30 No. 502 e/5ta y 7ma Ave., 11300 Miramar, Playa, La Habana (Cuba); Van Espen, P. [Departement Chemie, Universiteit Antwerpen, Middelheimcampus, G.V.130, Groenenborgerlaan 171, 2020 Antwerpen (Belgium); Van Remortel, N. [Departement Fysica, Universiteit Antwerpen, Middelheimcampus, G.U.236, Groenenborgerlaan 171, 2020 Antwerpen (Belgium)

    2014-07-15

    Nowadays the electronic structure calculations allow the study of complex systems determining the hyperfine parameters measured at a probe atom, including the presence of crystalline defects. The hyperfine electric parameters have been measured by Mössbauer spectroscopy in silicon materials implanted with {sup 57}Mn→{sup 57}Fe ions, observing four main contributions to the spectra. Nevertheless, some ambiguities still remain in the {sup 57}Fe Mössbauer spectra interpretation in this case, regarding the damage configurations and its evolution with annealing. In the present work several implantation environments are evaluated and the {sup 57}Fe hyperfine parameters are calculated. The observed correlation among the studied local environments and the experimental observations is presented, and a tentative microscopic description of the behavior and thermal evolution of the characteristic defects local environments of the probe atoms concerning the location of vacancies and interstitial Si in the neighborhood of {sup 57}Fe ions in substitutional and interstitial sites is proposed.

  17. Two concepts of introducing thin-film superconductivity in Ge and Si by use of Ga-ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Skrotzki, Richard [Dresden High Magnetic Field Laboratory (HLD) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany); Department of Chemistry and Food Chemistry, TU Dresden (Germany); Herrmannsdoerfer, Thomas; Fiedler, Jan; Heera, Viton; Voelskow, Matthias; Muecklich, Arndt; Schmidt, Bernd; Skorupa, Wolfgang; Helm, Manfred; Wosnitza, Joachim [Dresden High Magnetic Field Laboratory (HLD) and Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), Dresden (Germany)

    2012-07-01

    We report on two unconventional routes of embedding superconducting nanolayers in a semiconducting environment. Ion implantation and subsequent annealing have been used for preparation of superconducting thin-films of Ga-doped germanium (Ge:Ga) as well as 10 nm thin amorphous Ga-rich layers in silicon (Si:Ga). Structural investigations by means of XTEM, EDX, RBS/C, and SIMS have been performed in addition to low-temperature electrical transport and magnetization measurements. Regarding Ge:Ga, we unravel the evolution of T{sub c} with charge-carrier concentration while for Si:Ga recently implemented microstructuring renders critical-current densities or more than 50 kA/cm{sup 2}. Combined with a superconducting onset at around 10 K, this calls for on-chip application in novel heterostructured devices.

  18. Deep ultra violet and visible Raman spectroscopy studies of ion implanted 6H-SiC: Recrytallisation behaviour and thermal decomposition/thermal etching of the near surface region

    Energy Technology Data Exchange (ETDEWEB)

    Kuhudzai, R.J., E-mail: rj.kuhudzai@tuks.co.za [Physics Department, University of Pretoria, Pretoria (South Africa); Malherbe, J.B.; Berg, N.G. van der; Hlatshwayo, T.T.; Odutemowo, O.; Prinsloo, L.C. [Physics Department, University of Pretoria, Pretoria (South Africa); Buys, A.V. [Laboratory for Microscopy and Microanalysis, University of Pretoria (South Africa); Erasmus, R. [School of Physics, University of the Witwatersrand, Johannesburg (South Africa); Wendler, E. [Institut Für Festköperphysik, Friedrich-Schiller-Universität Jena, Jena (Germany)

    2015-12-15

    The recystallisation behaviour and thermal decomposition of the near surface amorphised region of 6H-SiC have been investigated by Raman spectroscopy. 360 keV ions of iodine and silver were implanted at room temperature into wafers of 6H-SiC resulting in the amorphisation of the near surface region. Vacuum annealing of the samples was performed at 1200 °C for 5 h and then sequentially from 1200 to 1600 °C in steps of 100 °C for 30 h at each annealing temperature. Raman spectroscopy was performed using two laser wavelength excitation regimes, the 514 nm laser (visible region) and the 244 nm laser (deep ultraviolet region, DUV). Measurements in the visible region for samples annealed at 1200 °C for 5 h showed that the characteristic 6H-SiC peaks, namely, the Transverse Optical (TO) and Longitudinal Optical (LO) are similar to the virgin samples, albeit with lower intensity due to some retained defects upon recystallisation of the SiC surface region. The similarities between the virgin spectra and the annealed sample were due to the deep penetration of the 514 nm laser into 6H-SiC resulting in the signal from the bulk undamaged 6H-SiC contributing to the overall spectra. However, DUV laser excitation, which only probes the near surface region, shows that after annealing the peaks are broader and asymmetrical compared to the virgin samples. DUV Raman spectra of samples annealed at 1600 °C indicate that SiC has completely decomposed and the top surface layer is now covered by a carbon layer. However the deeper penetrating laser in the visible region showed that the extent of decomposition at 1600 °C was greater for the silver implanted samples than for the iodine implanted samples.

  19. Electrical and crystallographic evaluation of SOS implanted with silicon and/or oxygen

    International Nuclear Information System (INIS)

    Yamamoto, Y.; Kobayashi, H.; Takahashi, T.; Inada, T.

    1985-01-01

    RBS and Hall measurements have revealed that the formation of an amorphous laer in SOS near in the Si/sapphire interface by oxygen implantation at 130 K followed by regrowth by thermal annealing above 800 0 C for 20 min in N 2 is effective in improving crystalline quality and Hall mobility as well as in increasing activation of implanted P. The temperature dependence of the mobility was measured. The mobility increased by 80% and 40% at 77 K and RT, respectively, after improvement in crystalline quality. The costly low temperature implantation of O can be replaced with dual implantation of Si and O; formation of an amorphous layer by Si implantation and Al gettering by oxygen implantation. (orig.)

  20. Polarized micro-Raman scattering characterization of Mg2Si nanolayers in (001) Si matrix

    International Nuclear Information System (INIS)

    Zlateva, G; Atanassov, A; Baleva, M; Nikolova, L; Abrashev, M V

    2007-01-01

    An orientational growth of the Mg 2 Si lattice relative to the Si lattice is considered assuming minimum mismatch of their lattice parameters. The Raman scattering cross-sections are calculated for the four possible orientations of the Mg 2 Si lattice positioned in this way. The integral intensity ratios for the F 2g mode of Mg 2 Si in different polarization configurations, obtained from the experimental spectra, are compared with the calculated ratios. It is found that the Mg 2 Si nanolayer's morphology is sensitive to the implantation energy, which determines both the peak Mg concentration in the initial implantation profile and its position in the sample depth. At a peak concentration of the order of the stoichiometric concentration, the layers are highly oriented. When the peak concentration is higher and the peak is placed closer to the surface, the layers are polycrystalline

  1. Electrical properties of polymer modified by metal ion implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Huixing; Zhang Xiaoji; Deng Zhiwei; Zhou Gu

    2000-01-01

    Polyethylene terephthalate (PET) has been modified by Ag, Cr, Cu and Si ion implantation with a dose range from 1x10 16 to 2x10 17 ions cm -2 using a metal vapor vacuum arc (MEVVA) source. The electrical properties of PET have been changed after metal ion implantation. The resistivity of implanted PET decreased obviously with an increase of ion dose. When metal ion dose of 2x10 17 cm -2 was selected, the resistivity of PET could be less than 10 Ω cm, but when Si ions are implanted, the resistivity of PET would be up to several hundred Ω cm. The results show that the conductive behavior of a metal ion implanted sample is obviously different from Si implantation one. The changes of the structure and composition have been observed with transmission electron microscope (TEM) and X-ray diffraction (XRD). The surface structure is varying after ion implantation and it is believed that the change would cause the improvement of the conductive properties. The mechanism of electrical conduction will be discussed

  2. Isotopic effects in sub-barrier fusion of Si + Si systems

    Science.gov (United States)

    Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Esbensen, H.; Bourgin, D.; Čolović, P.; Corradi, L.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Grebosz, J.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Szilner, S.; Urbani, M.; Zhang, G. L.

    2018-04-01

    Background: Recent measurements of fusion cross sections for the 28Si+28Si system revealed a rather unsystematic behavior; i.e., they drop faster near the barrier than at lower energies. This was tentatively attributed to the large oblate deformation of 28Si because coupled-channels (CC) calculations largely underestimate the 28Si+28Si cross sections at low energies, unless a weak imaginary potential is applied, probably simulating the deformation. 30Si has no permanent deformation and its low-energy excitations are of a vibrational nature. Previous measurements of this system reached only 4 mb, which is not sufficient to obtain information on effects that should show up at lower energies. Purpose: The aim of the present experiment was twofold: (i) to clarify the underlying fusion dynamics by measuring the symmetric case 30Si+30Si in an energy range from around the Coulomb barrier to deep sub-barrier energies, and (ii) to compare the results with the behavior of 28Si+28Si involving two deformed nuclei. Methods: 30Si beams from the XTU tandem accelerator of the Laboratori Nazionali di Legnaro of the Istituto Nazionale di Fisica Nucleare were used, bombarding thin metallic 30Si targets (50 μ g /cm2) enriched to 99.64 % in mass 30. An electrostatic beam deflector allowed the detection of fusion evaporation residues (ERs) at very forward angles, and angular distributions of ERs were measured. Results: The excitation function of 30Si+30Si was measured down to the level of a few microbarns. It has a regular shape, at variance with the unusual trend of 28Si+28Si . The extracted logarithmic derivative does not reach the LCS limit at low energies, so that no maximum of the S factor shows up. CC calculations were performed including the low-lying 2+ and 3- excitations. Conclusions: Using a Woods-Saxon potential the experimental cross sections at low energies are overpredicted, and this is a clear sign of hindrance, while the calculations performed with a M3Y + repulsion

  3. Defects and defect generation in oxide layer of ion implanted silicon-silicon dioxide structures

    CERN Document Server

    Baraban, A P

    2002-01-01

    One studies mechanism of generation of defects in Si-SiO sub 2 structure oxide layer as a result of implantation of argon ions with 130 keV energy and 10 sup 1 sup 3 - 3.2 x 10 sup 1 sup 7 cm sup - sup 2 doses. Si-SiO sub 2 structures are produced by thermal oxidation of silicon under 950 deg C temperature. Investigations were based on electroluminescence technique and on measuring of high-frequency volt-farad characteristics. Increase of implantation dose was determined to result in spreading of luminosity centres and in its maximum shifting closer to boundary with silicon. Ion implantation was shown, as well, to result in increase of density of surface states at Si-SiO sub 2 interface. One proposed model of defect generation resulting from Ar ion implantation into Si-SiO sub 2

  4. Nanocrystalline SiC and Ti3SiC2 Alloys for Reactor Materials: Diffusion of Fission Product Surrogates

    Energy Technology Data Exchange (ETDEWEB)

    Henager, Charles H. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jiang, Weilin [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2014-11-01

    MAX phases, such as titanium silicon carbide (Ti3SiC2), have a unique combination of both metallic and ceramic properties, which make them attractive for potential nuclear applications. Ti3SiC2 has been suggested in the literature as a possible fuel cladding material. Prior to the application, it is necessary to investigate diffusivities of fission products in the ternary compound at elevated temperatures. This study attempts to obtain relevant data and make an initial assessment for Ti3SiC2. Ion implantation was used to introduce fission product surrogates (Ag and Cs) and a noble metal (Au) in Ti3SiC2, SiC, and a dual-phase nanocomposite of Ti3SiC2/SiC synthesized at PNNL. Thermal annealing and in-situ Rutherford backscattering spectrometry (RBS) were employed to study the diffusivity of the various implanted species in the materials. In-situ RBS study of Ti3SiC2 implanted with Au ions at various temperatures was also performed. The experimental results indicate that the implanted Ag in SiC is immobile up to the highest temperature (1273 K) applied in this study; in contrast, significant out-diffusion of both Ag and Au in MAX phase Ti3SiC2 occurs during ion implantation at 873 K. Cs in Ti3SiC2 is found to diffuse during post-irradiation annealing at 973 K, and noticeable Cs release from the sample is observed. This study may suggest caution in using Ti3SiC2 as a fuel cladding material for advanced nuclear reactors operating at very high temperatures. Further studies of the related materials are recommended.

  5. Spectroscopy features of Pr{sup 3+} and Er{sup 3+} ions in Li{sub 2}O-ZrO{sub 2}-SiO{sub 2} glass matrices mixed with some sesquioxides

    Energy Technology Data Exchange (ETDEWEB)

    Srinivasa Rao, Ch. [Department of Physics, Acharya Nagarjuna University - Nuzvid Campus, Nuzvid-521201, A.P. (India); Kityk, I.V., E-mail: iwank74@gmail.com [Electrical Engineering Department, Technical University of Czestochowa, Aleja Armii, Krajowej 17/19, PL-42-201 Czestochowa (Poland); Srikumar, T.; Naga Raju, G.; Ravi Kumar, V.; Gandhi, Y.; Veeraiah, N. [Department of Physics, Acharya Nagarjuna University - Nuzvid Campus, Nuzvid-521201, A.P. (India)

    2011-09-15

    Highlights: > Optical spectra of Pr{sup 3+} and Er{sup 3+} ions in Li{sub 2}O-ZrO{sub 2}-SiO{sub 2}: Pr{sub 2}O{sub 3}/Er{sub 2}O{sub 3} with sesquioxides (viz., Al{sub 2}O{sub 3}, Sc{sub 2}O{sub 3}, Y{sub 2}O{sub 3}) studied. > The highest branching ratios {beta}{sub r} and quantum efficiencies of {sup 3}P{sub 0} {yields} {sup 3}H{sub 4} (Pr{sup 3+}) and {sup 4}S{sub 3/2} {yields} {sup 4}I{sub 15/2} (Er{sup 3+}) emissions have shown principal role of Y{sub 2}O{sub 3}. > Principal role of disorder around rare earth is established. - Abstract: The glasses of the composition Li{sub 2}O-ZrO{sub 2}-SiO{sub 2}: Pr{sub 2}O{sub 3}/Er{sub 2}O{sub 3} mixed with three interesting sesquioxides (viz., Al{sub 2}O{sub 3}, Sc{sub 2}O{sub 3}, Y{sub 2}O{sub 3}) were synthesized. Optical absorption and fluorescence spectra (in the spectral range 350-2100 nm were studied at ambient temperature. The Judd-Ofelt theory was applied to characterize the absorption and luminescence spectra of Pr{sup 3+} and Er{sup 3+} ions in these glasses. Following the luminescence spectra, various radiative properties like transition probability A, branching ratio {beta} and the radiative life time {tau} for different emission levels of two rare earth ions have been evaluated. The radiative life times for the upper levels {sup 3}P{sub 0} (Pr{sup 3+}) and {sup 4}S{sub 3/2} (Er{sup 3+}) have also been measured and quantum efficiencies were estimated. The variations observed in these parameters were discussed in the light of changing environment of rare earth ions due to mixing of different sesquioxides in the glass network.

  6. A HRXRD and nano-indentation study on Ne-implanted 6H–SiC

    International Nuclear Information System (INIS)

    Xu, C.L.; Zhang, C.H.; Li, J.J.; Zhang, L.Q.; Yang, Y.T.; Song, Y.; Jia, X.J.; Li, J.Y.; Chen, K.Q.

    2012-01-01

    Specimens of 6H–SiC single crystal were irradiated at room temperature with 2.3 MeV neon ions to three successively increasing fluences of 2 × 10 14 , 1.1 × 10 15 and 3.8 × 10 15 ions/cm 2 and then annealed at room temperature, 500, 700 and 1000 °C, respectively. The strain in the specimens was investigated with a high resolution XRD spectrometer with an ω-2θ scanning. And the mechanical properties were investigated with the nano-indentation in the continuous stiffness measurement (CSM) mode with a diamond Berkovich indenter. The XRD curves of specimens after irradiation show the diffraction peaks arising at lower angles aside of the main Bragg peak Θ Bragg , indicating that a positive strain is produced in the implanted layer. In the as-implanted specimens, the strain increases with the increase of the ion fluence or energy deposition. Recovery of the strain occurs on subsequent thermal annealing treatment and two stages of defects evolution process are displayed. An interpretation of defects migration, annihilation and evolution is given to explain the strain variations of the specimens after annealing. The nano-indentation measurements show that the hardness in as-implanted specimens first increases with the increase of the ion fluence, and a degradation of hardness occurs when the ion fluence exceeds a threshold. On the subsequent annealing, the hardness variations are regarded to be a combined effect of the covalent bonding and the pinning effect of defect clusters.

  7. The electrorheological properties of nano-sized SiO2 particle materials doped with rare earths

    International Nuclear Information System (INIS)

    Liu Yang; Liao Fuhui; Li Junran; Zhang Shaohua; Chen Shumei; Wei Chenguan; Gao Song

    2006-01-01

    Electrorheological (ER) materials of pure SiO 2 and SiO 2 doped with rare earths (RE = Ce, Gd, Y) (non-metallic glasses (silicates)) were prepared using Na 2 SiO 3 and RECl 3 as starting materials. The electrorheological properties are not enhanced by all rare earth additions. The material doped with Ce exhibits the best ER performance

  8. Temperature Dependence of Electrical Resistance of Woven Melt-Infiltrated SiCf/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Appleby, Matthew P.; Morscher, Gregory N.; Zhu, Dongming

    2016-01-01

    Recent studies have successfully shown the use of electrical resistance (ER)measurements to monitor room temperature damage accumulation in SiC fiber reinforced SiC matrix composites (SiCf/SiC) Ceramic Matrix Composites (CMCs). In order to determine the feasibility of resistance monitoring at elevated temperatures, the present work investigates the temperature dependent electrical response of various MI (Melt Infiltrated)-CVI (Chemical Vapor Infiltrated) SiC/SiC composites containing Hi-Nicalon Type S, Tyranno ZMI and SA reinforcing fibers. Test were conducted using a commercially available isothermal testing apparatus as well as a novel, laser-based heating approach developed to more accurately simulate thermomechanical testing of CMCs. Secondly, a post-test inspection technique is demonstrated to show the effect of high-temperature exposure on electrical properties. Analysis was performed to determine the respective contribution of the fiber and matrix to the overall composite conductivity at elevated temperatures. It was concluded that because the silicon-rich matrix material dominates the electrical response at high temperature, ER monitoring would continue to be a feasible method for monitoring stress dependent matrix cracking of melt-infiltrated SiC/SiC composites under high temperature mechanical testing conditions. Finally, the effect of thermal gradients generated during localized heating of tensile coupons on overall electrical response of the composite is determined.

  9. Electrical properties of the regrown implantation-induced amorphous layer on (1 1-bar 0 0)- and (1 1 2-bar 0)-oriented 6H-SiC

    International Nuclear Information System (INIS)

    Nakamura, Tomonori; Tanabe, Hitoshi; Hitomi, Takeshi; Satoh, Masataka

    2003-01-01

    In the (1 1-bar 0 0) and (1 1 2-bar 0)-oriented 6H-SiC, the electrical properties and activation process of the implanted phosphorus in the layer regrown from the implantation-induced amorphous layer are investigated by means of Hall effect measurement and Rutherford backscattering spectrometry. The samples are implanted by 60 keV phosphorus ions at room temperature with doses of 3 x 10 15 and 1 x 10 15 cm -2 to form implantation-induced amorphous layer and the partially disordered implant-layer, respectively. The implanted phosphorus in the implantation-induced amorphous layer can be electrically activated by annealing at 1000 deg. C. The electrical activity for the case of the implantation-induced amorphous layer (ratio of sheet carrier concentration to ion dose) is 2-3 times larger than that for the case of the partially disordered implant-layer for the annealing temperature of 1500 deg. C

  10. The structure and elemental composition of the SiO2 layers with zinc-based nano clusters created by high-dose implantation and annealing

    International Nuclear Information System (INIS)

    Mokhovikov, M.A.; Komarov, F.F.; Vlasukova, L.A.; Mil'chanin, O.V.; Wendler, E.; Wesch, W.; Zhukovski, P.; Vengerek, P.

    2015-01-01

    We present the results of the structure and elemental composition of the SiO 2 layers after high-dose zinc implantation (10 16 - 10 17 sm -2 ) at room temperature and at 500°C, as well as after 700°C annealing. In the case of 'hot' implantation the formation of nano sized (to 5 nm) clusters containing atoms of zinc is registered in as-implanted samples. TEM-analysis proves crystalline structure of these precipitates. Subsequent annealing results in a redistribution of zinc within the implanted layer and in the formation of large crystallites (10 -12 nm for a dose of 5*10 16 cm -2 and 12-18 nm for a dose of 10 17 cm -2 ) in the area of high impurity concentration. (authors)

  11. Fluorine incorporation during Si solid phase epitaxy

    International Nuclear Information System (INIS)

    Impellizzeri, G.; Mirabella, S.; Romano, L.; Napolitani, E.; Carnera, A.; Grimaldi, M.G.; Priolo, F.

    2006-01-01

    We have investigated the F incorporation and segregation in preamorphized Si during solid phase epitaxy (SPE) at different temperatures and for several implanted-F energies and fluences. The Si samples were amorphized to a depth of 550 nm by implanting Si at liquid nitrogen temperature and then enriched with F at different energies (65-150 keV) and fluences (0.07-5 x 10 14 F/cm 2 ). Subsequently, the samples were regrown by SPE at different temperatures: 580, 700 and 800 deg. C. We have found that the amount of F incorporated after SPE strongly depends on the SPE temperature and on the energy and fluence of the implanted-F, opening the possibility to tailor the F profile during SPE

  12. B implanted at room temperature in crystalline Si: B defect formation and dissolution

    International Nuclear Information System (INIS)

    Romano, L.; Piro, A.M.; Mirabella, S.; Grimaldi, M.G.

    2005-01-01

    The B lattice location of B implanted into crystalline Si at room temperature has been investigated using the nuclear reaction 11 B(p,α) 8 Be induced by 650 keV proton beam and channelling analyses. The angular scans along the and axes indicate the formation of a particular B complex with B atoms non-randomly located. The same defect has been observed also for B doped Si where the B atoms, initially substitutional and electrically active, have been displaced as consequence of the interaction with the point defects generated by proton irradiation. The angular scans were compatible with the B-B pairs aligned along the axis predicted by theoretical calculations. The thermal evolution in the 400-950 deg. C range of the B complexes has been inferred both by B lattice location measurements and electrical activation. At low temperature (<700 deg. C) only 10% of the total B dose is active and a significant increase of randomly located B occurs. A significant electrical activation consistent with the concentration of substitutional B occurs at temperature higher than 800 deg. C. The data are interpreted in terms of a formation and dissolution of the B complexes

  13. Analytical threshold voltage modeling of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Balraj; Kumar, Sanjay; Singh, Kunal; Jit, Satyabrata

    2017-04-01

    Two dimensional threshold voltage model of ion-implanted strained-Si double-material double-gate MOSFETs has been done based on the solution of two dimensional Poisson's equation in the channel region using the parabolic approximation method. Novelty of the proposed device structure lies in the amalgamation of the advantages of both the strained-Si channel and double-material double-gate structure with a vertical Gaussian-like doping profile. The effects of different device parameters (such as device channel length, gate length ratios, germanium mole fraction) and doping parameters (such as projected range, straggle parameter) on threshold voltage of the proposed structure have been investigated. It is observed that the subthreshold performance of the device can be improved by simply controlling the doping parameters while maintaining other device parameters constant. The modeling results show a good agreement with the numerical simulation data obtained by using ATLAS™, a 2D device simulator from SILVACO.

  14. In-Situ Photoexcitation-Induced Suppression of Point Defect Generation in Ion Implanted Silicon

    International Nuclear Information System (INIS)

    Cho, C.R.; Rozgonyi, G.A.; Yarykin, N.; Zuhr, R.A.

    1999-01-01

    The formation of vacancy-related defects in n-type silicon has been studied immediately after implantation of He, Si, or Ge ions at 85 K using in-situ DLTS. A-center concentrations in He-implanted samples reach a maximum immediately after implantation, whereas, with Si or Ge ion implanted samples they continuously increase during subsequent anneals. It is proposed that defect clusters, which emit vacancies during anneals, are generated in the collision cascades of Si or Ge ions. An illumination-induced suppression of A-center formation is seen immediately after implantation of He ions at 85 K. This effect is also observed with Si or Ge ions, but only after annealing. The suppression of vacancy complex formation via photoexcitation is believed to occur due to an enhanced recombination of defects during ion implantation, and results in reduced number of vacancies remaining in the defect clusters. In p-type silicon, a reduction in K-center formation and an enhanced migration of defects are concurrently observed in the illuminated sample implanted with Si ions. These observations are consistent with a model where the injection of excess carriers modifies the defect charge state and impacts their diffusion

  15. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  16. Investigation of electric fields in B-implanted Si by positron beam spectroscopy

    International Nuclear Information System (INIS)

    Abdulmalik, D.A.; Coleman, P.G.

    2007-01-01

    Besides its conventional applications in defect characterization, variable-energy positron annihilation spectroscopy can be employed to monitor internal electric fields in the depletion regions in semiconductor structures. In this work, electric fields were studied in pre-amorphized Cz Si wafers (background dopant level ∝10 15 cm -3 ) implanted with 0.5 keV B ions at a dose of 10 15 cm -2 , and then annealed isothermally at 800 C for times ranging from 1 to 2700 s. Differences in the S parameter with annealing time were observed in samples implanted (a) with B ions only and (b) with B followed by F ions at 10 keV; these were attributed to different electric fields, which drift positrons back (a) to the surface, or (b) to a vacancy-like defected layer. Fitting of the data revealed depletion regions of widths between 150-350 nm centered at depths between 250-350 nm, with electric field values in the range -9 x 10 6 to -3 x 10 6 Vm -1 . The depth and width of the depletion regions increase significantly for annealing times greater than 100 s, attributed to B diffusion. The results are consistent with simple theoretical estimates, but the uncertainties on the latter are large. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Tunnel oxide passivated contacts formed by ion implantation for applications in silicon solar cells

    International Nuclear Information System (INIS)

    Reichel, Christian; Feldmann, Frank; Müller, Ralph; Hermle, Martin; Glunz, Stefan W.; Reedy, Robert C.; Lee, Benjamin G.; Young, David L.; Stradins, Paul

    2015-01-01

    Passivated contacts (poly-Si/SiO x /c-Si) doped by shallow ion implantation are an appealing technology for high efficiency silicon solar cells, especially for interdigitated back contact (IBC) solar cells where a masked ion implantation facilitates their fabrication. This paper presents a study on tunnel oxide passivated contacts formed by low-energy ion implantation into amorphous silicon (a-Si) layers and examines the influence of the ion species (P, B, or BF 2 ), the ion implantation dose (5 × 10 14  cm −2 to 1 × 10 16  cm −2 ), and the subsequent high-temperature anneal (800 °C or 900 °C) on the passivation quality and junction characteristics using double-sided contacted silicon solar cells. Excellent passivation quality is achieved for n-type passivated contacts by P implantations into either intrinsic (undoped) or in-situ B-doped a-Si layers with implied open-circuit voltages (iV oc ) of 725 and 720 mV, respectively. For p-type passivated contacts, BF 2 implantations into intrinsic a-Si yield well passivated contacts and allow for iV oc of 690 mV, whereas implanted B gives poor passivation with iV oc of only 640 mV. While solar cells featuring in-situ B-doped selective hole contacts and selective electron contacts with P implanted into intrinsic a-Si layers achieved V oc of 690 mV and fill factor (FF) of 79.1%, selective hole contacts realized by BF 2 implantation into intrinsic a-Si suffer from drastically reduced FF which is caused by a non-Ohmic Schottky contact. Finally, implanting P into in-situ B-doped a-Si layers for the purpose of overcompensation (counterdoping) allowed for solar cells with V oc of 680 mV and FF of 80.4%, providing a simplified and promising fabrication process for IBC solar cells featuring passivated contacts

  18. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Directory of Open Access Journals (Sweden)

    Urbanski W

    2017-02-01

    Full Text Available Wiktor Urbanski,1 Krzysztof Marycz,2 Justyna Krzak,3 Celina Pezowicz,4 Szymon Feliks Dragan1 1Department of Orthopaedic Surgery and Traumatology, Wroclaw University Hospital, 2Electron Microscope Laboratory, Wroclaw University of Environmental and Life Sciences, 3Institute of Materials Science and Applied Mechanics, 4Division of Biomedical Engineering and Experimental Mechanics, Wroclaw University of Technology, Wroclaw, Poland Abstract: Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL-1, IL-6, and tumor necrosis factor-alpha (TNF-α are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS and titanium alloy (Ti6Al4V biomaterials coated with titanium dioxide (TiO2 and silica (SiO2 coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues

  19. Near-barrier Fusion Evaporation and Fission of 28Si+174Yb and 32S+170Er

    Science.gov (United States)

    Wang, Dongxi; Lin, Chengjian; Jia, Huiming; Ma, Nanru; Sun, Lijie; Xu, Xinxing; Yang, Lei; Yang, Feng; Zhang, Huanqiao; Bao, Pengfei

    2017-11-01

    Fusion evaporation residues and fission fragments have been measured, respectively, at energies around the Coulomb barrier for the 28Si+174Yb and 32S+170Er systems forming the same compound nucleus 202Po. The excitation function of fusion evaporation, fission as well as capture reactions were deduced. Coupled-channels analyses reveal that couplings to the deformations of targets and the two-phonon states of projectiles contribute much to the enhancement of capture cross sections at sub-barrier energies. The mass and total kinetic energy of fission fragments were deduced by the time-difference method assuming full momentum transfer in a two-body kinematics. The mass-energy and mass-angle distributions were obtained and no obvious quasi-fission components were observed in this bombarding energy range. Further, mass distributions of fission fragments were fitted to extract their widths. Results show that the mass widths decrease monotonically with decreasing energy, but might start to increase when Ec.m./VB < 0.95 for both systems.

  20. Cooperative upconversion as the gain-limiting factor in Er doped miniature Al2O3 optical waveguide amplifiers

    International Nuclear Information System (INIS)

    Kik, P.G.; Polman, A.

    2003-01-01

    Erbium doped Al 2 O 3 waveguide amplifiers were fabricated using two different doping methods, namely Er ion implantation into sputter deposited Al 2 O 3 , and co-sputtering from an Er 2 O 3 /Al 2 O 3 target. Although the Er concentration in both materials is almost identical (0.28 and 0.31 at. %), the amplifiers show a completely different behavior. Upon pumping with 1.48 μm, the co-sputtered waveguide shows a strong green luminescence from the 4 S 3/2 level, indicating efficient cooperative upconversion in this material. This is confirmed by pump power dependent measurements of the optical transmission at 1.53 μm and the spontaneous emission at 1.53 and 0.98 μm. All measurements can be accurately modeled using a set of rate equations that include first order and second order cooperative upconversion. The first order cooperative upconversion coefficient C 24 is found to be 3.5x10 -16 cm 3 s -1 in the co-sputtered material, two orders of magnitude higher than the value obtained in Er implanted Al 2 O 3 of 4.1x10 -18 cm 3 s -1 . It is concluded that the co-sputtering process results in a strongly inhomogeneous atomic scale spatial distribution of the Er ions. As a result, the co-sputtered waveguides do not show optical gain, while the implanted waveguides do

  1. Surface modification of ceramics and metals by ion implantation combined with plasma irradiation

    International Nuclear Information System (INIS)

    Miyagawa, Soji; Miyagawa, Yoshiko; Nakao, Setsuo; Ikeyama, Masami; Saitoh, Kazuo

    2000-01-01

    To develop a new surface modification technique using ion implantation combined with plasma irradiation, thin film formation by IBAD (Ion Beam Assisted Deposition) and atom relocation processes such as radiation enhanced diffusion and ion beam mixing under high dose implantation have been studied. It was confirmed that the computer simulation code, dynamic-SASAMAL (IBAD version) developed in this research, is quite useful to evaluate ballistic components in film formation by high dose implantation on ceramics and metals, by ion beam mixing of metal-ceramics bi-layer and by the IBAD method including hydrocarbon deposition. Surface modification process of SiC by simultaneous irradiation of ions with a radical beam has also been studied. A composite of SiC and β-Si 3 N 4 was found to be formed on a SiC surface by hot implantation of nitrogen. The amount of β- Si 3 N 4 crystallites increased with increasing the dosage of the hydrogen radical beam during nitrogen implantation. (author)

  2. Thermal stress resistance of ion implanted sapphire crystals

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Jamieson, D.N.; Szymanski, R.; Orlov, A.V.; Williams, J.S.; Conway, M.

    1999-01-01

    Monocrystals of sapphire have been subjected to ion implantation with 86 keV Si - and 80 keV Cr - ions to doses in the range of 5x10 14 -5x10 16 cm -2 prior to thermal stress testing in a pulsed plasma. Above a certain critical dose ion implantation is shown to modify the near-surface structure of samples by introducing damage, which makes crack nucleation easier under the applied stress. The effect of ion dose on the stress resistance is investigated and the critical doses which produce a noticeable change in the stress resistance are determined. The critical dose for Si ions is shown to be much lower than that for Cr - ions. However, for doses exceeding 2x10 16 cm -2 the stress resistance parameter decreases to approximately the same value for both implants. The size of the implantation-induced crack nucleating centers and the density of the implantation-induced defects are considered to be the major factors determining the stress resistance of sapphire crystals irradiated with Si - and Cr - ions

  3. Nanocrystalline SiC and Ti3SiC2 Alloys for Reactor Materials: Annual Report

    Energy Technology Data Exchange (ETDEWEB)

    Henager, Charles H. [pnnl; Alvine, Kyle J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Roosendaal, Timothy J. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Shin, Yongsoon [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Nguyen, Ba Nghiep; Borlaug, Brennan A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jiang, Weilin [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Arreguin, Shelly A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2015-01-15

    A new dual-phase nanocomposite of Ti₃SiC₂/SiC is being synthesized using preceramic polymers, ceramic powders, and carbon nanotubes (CNTs) designed to be suitable for advanced nuclear reactors and perhaps as fuel cladding. The material is being designed to have superior fracture toughness compared to SiC, adequate thermal conductivity, and higher density than SiC/SiC composites. This annual report summarizes the progress towards this goal and reports progress in understanding certain aspects of the material behavior but some shortcomings in achieving full density or in achieving adequate incorporation of CNTs. The measured thermal conductivity is adequate and falls into an expected range based on SiC and Ti₃SiC₂. Part of this study makes an initial assessment for Ti₃SiC₂ as a barrier to fission product transport. Ion implantation was used to introduce fission product surrogates (Ag and Cs) and a noble metal (Au) in Ti₃SiC₂, SiC, and a synthesized at PNNL. The experimental results indicate that the implanted Ag in SiC is immobile up to the highest temperature (1273 K) applied in this study; in contrast, significant out-diffusion of both Ag and Au in MAX phase Ti₃SiC₂ occurs during ion implantation at 873 K. Cs in Ti₃SiC₂ is found to diffuse during post-irradiation annealing at 973 K, and noticeable Cs release from the sample is observed. This study may suggest caution in using Ti₃SiC₂ as a fuel cladding material for advanced nuclear reactors operating at very high temperatures. Progress is reported in thermal conductivity modeling of SiC-based materials that is relevant to this research, as is progress in modeling the effects of CNTs on fracture strength of SiC-based materials.

  4. Analyses of the As doping of SiO{sub 2}/Si/SiO{sub 2} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ruffino, Francesco; Miritello, Maria [CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Tomasello, Mario Vincenzo [Scuola Superiore di Catania, via San Nullo 5/i, 95123 Catania (Italy); De Bastiani, Riccardo; Grimaldi, Maria Grazia [Dipartimento di Fisica ed Astronomia, Universita di Catania, via S. Sofia 64, 95123 Catania (Italy); CNR-IMM MATIS, via S. Sofia 64, 95123 Catania (Italy); Nicotra, Giuseppe; Spinella, Corrado [Consiglio Nazionale delle Ricerche-Istituto per la Microelettronica e Microsistemi (CNR-IMM), VIII Strada 5, 95121 Catania (Italy)

    2011-03-15

    We illustrate the behaviour of As when it is confined, by the implantation technique, in a SiO{sub 2}(70nm)/Si(30nm)/SiO{sub 2}(70nm) multilayer and its spatial redistribution when annealing processes are performed. By Rutherford backscattering spectrometry and Z-contrast transmission electron microscopy we found an As accumulation at the Si/SiO{sub 2} interfaces and at the Si grain boundaries with no segregation of the As in the Si layer. Such an effect is in agreement with a model that assumes a traps distribution in the Si in the first 2-3 nm above the SiO{sub 2}/Si interfaces and along the Si grain boundaries. The traps concentration at the Si/SiO{sub 2} interfaces was estimated in 10{sup 14} traps/cm{sup 2}. The outlined results can open perspectives on the doping properties of As in Si nanocrystals, whose applications in nanoelectronics and optoelectronics are widely investigated (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. In vivo study of nanostructured diopside (CaMgSi2O6) coating on magnesium alloy as biodegradable orthopedic implants

    International Nuclear Information System (INIS)

    Razavi, Mehdi; Fathi, Mohammadhossein; Savabi, Omid; Razavi, Seyed Mohammad; Heidari, Fariba; Manshaei, Maziar; Vashaee, Daryoosh; Tayebi, Lobat

    2014-01-01

    Highlights: • In vitro biocompatibility of biodegradable Mg alloy was improved by diopside coating. • In vivo biocompatibility of biodegradable Mg alloy was improved by diopside coating. • Degradation behavior of biodegradable Mg alloy was improved by diopside coating. - Abstract: In order to improve the corrosion resistance and bioactivity of a biodegradable magnesium alloy, we have recently prepared a nanostructured diopside (CaMgSi 2 O 6 ) coating on AZ91 magnesium alloy through a combined micro-arc oxidation (MAO) and electrophoretic deposition (EPD) method (reported elsewhere). In this work, we performed a detailed biocompatibility analysis of the implants made by this material and compared their performance with those of the uncoated and micro arc oxidized magnesium implants. The biocompatibility evaluation of samples was performed by culturing L-929 cells and in vivo animal study, including implantation of samples in greater trochanter of rabbits, radiography and histological examinations. The results from both the in vitro and in vivo studies indicated that the diopside/MAO coated magnesium implant significantly enhanced cell viability, biodegradation resistance and new bone formation compared with both the uncoated and the micro-arc oxidized magnesium implants. Our data provides an example of how the proper surface treatment of magnesium implants can overcome their drawbacks in terms of high degradation rate and gas bubble formation under physiological conditions

  6. In vivo immuno-reactivity analysis of the porous three-dimensional chitosan/SiO2 and chitosan/SiO2 /hydroxyapatite hybrids.

    Science.gov (United States)

    Guo, Mengxia; Dong, Yifan; Xiao, Jiangwei; Gu, Ruicai; Ding, Maochao; Huang, Tao; Li, Junhua; Zhao, Naru; Liao, Hua

    2018-05-01

    Inorganic/organic hybrid silica-chitosan (CS) scaffolds have promising potential for bone defect repair, due to the controllable mechanical properties, degradation behavior, and scaffold morphology. However, the precise in vivo immuno-reactivity of silica-CS hybrids with various compositions is still poorly defined. In this study, we fabricated the three-dimensional (3D) interconnected porous chitosan-silica (CS/SiO 2 ) and chitosan-silica-hydroxyapatite (CS/SiO 2 /HA) hybrids, through sol-gel process and 3D plotting skill, followed by the naturally or freeze drying separately. Scanning electron microscopy demonstrated the hybrids possessed the uniform geometric structure, while, transmission electron microscopy displayed nanoscale silica, or HA nanoparticles dispersed homogeneously in the CS matrix, or CS/silica hybrids. After intramuscular implantation, CS/SiO 2 and CS/SiO 2 /HA hybrids triggered a local and limited monocyte/macrophage infiltration and myofiber degeneration. Naturally dried CS/SiO 2 hybrid provoked a more severe inflammation than the freeze-dried ones. Dendritic cells were attracted to invade into the implants embedded-muscle, but not be activated to prime the adaptive immunity, because the absence of cytotoxic T cells and B cells in muscle received the implants. Fluorescence-activated cell sorting (FACS) analysis indicated the implanted hybrids were incapable to initiate splenocytes activation. Plasma complement C3 enzyme linked immunosorbent assay (ELISA) assay showed the hybrids induced C3 levels increase in early implanting phase, and the subsequent striking decrease. Thus, the present results suggest that, in vivo, 3D plotted porous CS/SiO 2 and CS/SiO 2 /HA hybrids are relatively biocompatible in vivo, which initiate a localized inflammatory procedure, instead of a systematic immune response. © 2018 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1223-1235, 2018. © 2018 Wiley Periodicals, Inc.

  7. Photoluminescence of a superficial Si nanolayer and an example of its use

    International Nuclear Information System (INIS)

    Ley, M.; Svrcek, V.; Kuznicki, Z.T.

    2003-01-01

    A characteristic photoluminescence of a superficial Si nanolayer realized by ion implantation has been observed. This effect, being totally independent of those shown recently for a nanoscale Si-layered system, is similar to that produced by Si nanocrystals (Si nc). To visualize the nature and give evidence of this effect, we fabricated samples in two different ways: (i) by incorporation of Si nc into thin SiO 2 films deposited on Si wafer by the spin-on-glass method and (ii) by a nanoscale superficial crystalline-Si modification using medium-energy ion implantation and thermal treatment. In both cases the UV-to-red light conversion has been observed to be independent of wafer post-implantation damage. To show the UV-to-red conversion contribution, we use the ion modified superficial Si layer with its well-defined potential barrier, the so-called carrier collection limit. Such a modified Si structure gives us a method of deconvoluting several optoelectronic features observed experimentally on modified Si. The practical realization is compatible with well-established Si technology

  8. Silicon-Doped Titanium Dioxide Nanotubes Promoted Bone Formation on Titanium Implants.

    Science.gov (United States)

    Zhao, Xijiang; Wang, Tao; Qian, Shi; Liu, Xuanyong; Sun, Junying; Li, Bin

    2016-02-26

    While titanium (Ti) implants have been extensively used in orthopaedic and dental applications, the intrinsic bioinertness of untreated Ti surface usually results in insufficient osseointegration irrespective of the excellent biocompatibility and mechanical properties of it. In this study, we prepared surface modified Ti substrates in which silicon (Si) was doped into the titanium dioxide (TiO₂) nanotubes on Ti surface using plasma immersion ion implantation (PIII) technology. Compared to TiO₂ nanotubes and Ti alone, Si-doped TiO₂ nanotubes significantly enhanced the expression of genes related to osteogenic differentiation, including Col-I, ALP, Runx2, OCN, and OPN, in mouse pre-osteoblastic MC3T3-E1 cells and deposition of mineral matrix. In vivo, the pull-out mechanical tests after two weeks of implantation in rat femur showed that Si-doped TiO₂ nanotubes improved implant fixation strength by 18% and 54% compared to TiO₂-NT and Ti implants, respectively. Together, findings from this study indicate that Si-doped TiO₂ nanotubes promoted the osteogenic differentiation of osteoblastic cells and improved bone-Ti integration. Therefore, they may have considerable potential for the bioactive surface modification of Ti implants.

  9. Moessbauer-spectroscopic study of structure and magnetism of the exchange-coupled layer systems Fe/FeSn{sub 2}, and Fe/FeSi/Si and the ion-implanted diluted magnetic semiconductor SiC(Fe); Moessbauerspektroskopische Untersuchung von Struktur und Magnetismus der austauschgekoppelten Schichtsysteme Fe/FeSn{sub 2} und Fe/FeSi/Si und des ionenimplantierten verduennten magnetischen Halbleiters SiC(Fe)

    Energy Technology Data Exchange (ETDEWEB)

    Stromberg, Frank

    2009-07-07

    In line with this work the structural and magnetic properties of the exchange coupled layered systems Fe/FeSn{sub 2} and Fe/FeSi/Si and of the Fe ion implanted diluted magnetic semiconductor (DMS) SiC(Fe) were investigated. The main measuring method was the isotope selective {sup 57}Fe conversion electron Moessbauer spectroscopy (CEMS), mostly in connection with the {sup 57}Fe tracer layer technique, in a temperature range from 4.2 K to 340 K. Further measurement techniques were X-ray diffraction (XRD), electron diffraction (LEED, RHEED), SQUID magnetometry and FMR (Ferromagnetic Resonance). In the first part of this work the properties of thin AF FeSn{sub 2}(001) films and of the exchange-bias system Fe/FeSn{sub 2}(001) on InSb(001) were investigated. With the application of {sup 57}Fe-tracer layers and CEMS both the Fe-spin structure and the temperature dependence of the magnetic hyperfine field (B{sub hf}) of FeSn{sub 2} could be examined. The evaporation of Fe films on the FeSn{sub 2} films produced in the latter ones a high perpendicular spin component at the Fe/FeSn{sub 2} interface. In some distance from the interface the Fe spins rotate back into the sample plane. Furthermore {sup 57}Fe-CEMS provided a correlation between the absolute value of the exchange field vertical stroke He vertical stroke and the amount of magnetic defects within the FeSn{sub 2}. Temperature dependent CEMS-measurements yielded informations about the spin dynamics within the AF. The transition temperatures T{sub B}{sup *}, which were interpreted as superparamagnetic blocking temperatures, obtain higher values compared to the temperatures T{sub B} of the exchange-bias effect, obtained with magnetometry measurements. The second part of this work deals with the indirect exchange coupling within Fe/FeSi/Si/FeSi/Fe multilayers and FeSi diffusion barriers. The goal was to achieve Fe free Si interlayers. The CEMS results show that starting from a thickness of t{sub FeSi}=10-12 A of the

  10. Blocking germanium diffusion inside silicon dioxide using a co-implanted silicon barrier

    Science.gov (United States)

    Barba, D.; Wang, C.; Nélis, A.; Terwagne, G.; Rosei, F.

    2018-04-01

    We investigate the effect of co-implanting a silicon sublayer on the thermal diffusion of germanium ions implanted into SiO2 and the growth of Ge nanocrystals (Ge-ncs). High-resolution imaging obtained by transmission electron microscopy and energy dispersive spectroscopy measurements supported by Monte-Carlo calculations shows that the Si-enriched region acts as a diffusion barrier for Ge atoms. This barrier prevents Ge outgassing during thermal annealing at 1100 °C. Both the localization and the reduced size of Ge-ncs formed within the sample region co-implanted with Si are observed, as well as the nucleation of mixed Ge/Si nanocrystals containing structural point defects and stacking faults. Although it was found that the Si co-implantation affects the crystallinity of the formed Ge-ncs, this technique can be implemented to produce size-selective and depth-ordered nanostructured systems by controlling the spatial distribution of diffusing Ge. We illustrate this feature for Ge-ncs embedded within a single SiO2 monolayer, whose diameters were gradually increased from 1 nm to 5 nm over a depth of 100 nm.

  11. Local structure of the silicon implanted in a graphite single crystal

    International Nuclear Information System (INIS)

    Baba, Yuji; Shimoyama, Iwao; Sekiguchi, Tetsuhiro

    2002-01-01

    Solid carbon forms two kinds of local structures, i.e., diamond-like and two-dimensional graphite structures. In contrast, silicon carbide tends to prefer only diamond structure that is composed of sp 3 bonds. In order to clarify weather or not two-dimensional graphitic Si x C layer exists, we investigate the local structures of Si x C layer produced by Si + -ion implantation into highly oriented pyrolytic graphite (HOPG) by means of near-edge X-ray absorption fine structure (NEXAFS). The energy of the resonance peak in the Si K-edge NEXAFS spectra for Si + -implanted HOPG is lower than those for any other Si-containing materials. The intensity of the resonance peak showed a strong polarization dependence. These results suggests that the final state orbitals around Si atoms have π*-like character and the direction of this orbital is perpendicular to the graphite plane. It is elucidated that the Si-C bonds produced by the Si + -ion implantation are nearly parallel to the graphite plane, and Si x C phase forms a two-dimensionally spread graphite-like layer with sp 2 bonds. (author)

  12. Effects of cesium ion-implantation on mechanical and electrical properties of organosilicate low-k films

    Energy Technology Data Exchange (ETDEWEB)

    Li, W.; Pei, D.; Guo, X.; Cheng, M. K.; Lee, S.; Shohet, J. L. [Plasma Processing and Technology Laboratory, Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Lin, Q. [IBM T.J. Watson Research Center, Yorktown Heights, New York 10598 (United States); King, S. W. [Intel Corporation, Hillsboro, Oregon 97124 (United States)

    2016-05-16

    The effects of cesium (Cs) ion-implantation on uncured plasma-enhanced chemical-vapor-deposited organosilicate low dielectric constant (low-k) (SiCOH) films have been investigated and compared with an ultraviolet (UV) cured film. The mechanical properties, including the elastic modulus and hardness, of the SiCOH low-k films are improved by up to 30% with Cs implantation, and further up to 52% after annealing at 400 °C in a N{sub 2} ambient for 1 h. These improvements are either comparable to or better than the effects of UV-curing. They are attributed to an enhancement of the Si-O-Si network structure. The k-value of the SiCOH films increased slightly after Cs implantation, and increased further after annealing. These increases are attributed to two carbon-loss mechanisms, i.e., the carbon loss due to Si-CH{sub 3} bond breakage from implanted Cs ions, and the carbon loss due to oxidation during the annealing. The time-zero dielectric breakdown strength was improved after the Cs implantation and the annealing, and was better than the UV-cured sample. These results indicate that Cs ion implantation could be a supplement to or a substitution for the currently used UV curing method for processing SiCOH low-k films.

  13. Fiber-optic thermometer application of thermal radiation from rare-earth end-doped SiO2 fiber

    International Nuclear Information System (INIS)

    Katsumata, Toru; Morita, Kentaro; Komuro, Shuji; Aizawa, Hiroaki

    2014-01-01

    Visible light thermal radiation from SiO 2 glass doped with Y, La, Ce, Pr, Nd, Eu, Tb, Dy, Ho, Er, Tm, Yb, and Lu were studied for the fiber-optic thermometer application based on the temperature dependence of thermal radiation. Thermal radiations according to Planck's law of radiation are observed from the SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu at the temperature above 1100 K. Thermal radiations due to f-f transitions of rare-earth ions are observed from the SiO 2 fibers doped with Nd, Dy, Ho, Er, Tm, and Yb at the temperature above 900 K. Peak intensities of thermal radiations from rare-earth doped SiO 2 fibers increase sensitively with temperature. Thermal activation energies of thermal radiations by f-f transitions seen in Nd, Dy, Ho, Er, Tm, and Yb doped SiO 2 fibers are smaller than those from SiO 2 fibers doped with Y, La, Ce, Pr, Eu, Tb, and Lu. Thermal radiation due to highly efficient f-f transitions in Nd, Dy, Ho, Er, Tm, and Yb ions emits more easily than usual thermal radiation process. Thermal radiations from rare-earth doped SiO 2 are potentially applicable for the fiber-optic thermometry above 900 K

  14. Overexpressed cyclophilin B suppresses apoptosis associated with ROS and Ca2+ homeostasis after ER stress.

    Science.gov (United States)

    Kim, Jinhwan; Choi, Tae Gyu; Ding, Yan; Kim, Yeonghwan; Ha, Kwon Soo; Lee, Kyung Ho; Kang, Insug; Ha, Joohun; Kaufman, Randal J; Lee, Jinhwa; Choe, Wonchae; Kim, Sung Soo

    2008-11-01

    Prolonged accumulation of misfolded proteins in the endoplasmic reticulum (ER) results in ER stress-mediated apoptosis. Cyclophilins are protein chaperones that accelerate the rate of protein folding through their peptidyl-prolyl cis-trans isomerase (PPIase) activity. In this study, we demonstrated that ER stress activates the expression of the ER-localized cyclophilin B (CypB) gene through a novel ER stress response element. Overexpression of wild-type CypB attenuated ER stress-induced cell death, whereas overexpression of an isomerase activity-defective mutant, CypB/R62A, not only increased Ca(2+) leakage from the ER and ROS generation, but also decreased mitochondrial membrane potential, resulting in cell death following exposure to ER stress-inducing agents. siRNA-mediated inhibition of CypB expression rendered cells more vulnerable to ER stress. Finally, CypB interacted with the ER stress-related chaperones, Bip and Grp94. Taken together, we concluded that CypB performs a crucial function in protecting cells against ER stress via its PPIase activity.

  15. Effects of dual-ion irradiation on the swelling of SiC/SiC composites

    International Nuclear Information System (INIS)

    Kishimoto, Hirotatsu; Kohyama, Akira; Ozawa, Kazumi; Kondo, Sosuke

    2005-01-01

    Silicon carbide (SiC) matrix composites reinforced by SiC fibers is a candidate structural material of fusion gas-cooled blanket system. From the viewpoint of material designs, it is important to investigate the swelling by irradiation, which results from the accumulation of displacement damages. In the fusion environment, (n, α) nuclear reactions are considered to produce helium gas in SiC. For the microstructural evolution, a dual-ion irradiation method is able to simulate the effects of helium. In the present research, 1.7 MeV tandem and 1 MeV single-end accelerators were used for Si self-ion irradiation and helium implantation, respectively. The average helium over displacement per atom (dpa) ratio in SiC was adjusted to 60 appm/dpa. The irradiation temperature ranged from room temperature to 1400degC. The irradiation-induced swelling was measured by the step height method. Helium that was implanted simultaneously with displacement damages in dual-ion irradiated SiC increased the swelling that was larger than that by single-ion irradiated SiC below 800degC. Since this increase was not observed above 1000degC, the interaction of helium and displacement damages was considered to change above 800degC. In this paper, the microstructural behavior and dimensional stability of SiC materials under the fusion relevant environment are discussed. (author)

  16. Semiconductor nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Marstein Erik Stensrud

    2003-07-01

    This thesis presents a study of two material systems containing semiconductor nanocrystals, namely porous silicon (PSi) films and germanium (Ge) nanocrystals embedded in silicon dioxide (SiO2) films. The PSi films were made by anodic etching of silicon (Si) substrates in an electrolyte containing hydrofluoric acid. The PSi films were doped with erbium (Er) using two different doping methods. electrochemical doping and doping by immersing the PSi films in a solution containing Er. The resulting Er concentration profiles were investigated using scanning electron microscopy (SEN1) combined with energy dispersive X-ray analysis (EDS). The main subject of the work on PSi presented in this thesis was investigating and comparing these two doping methods. Ge nanocrystals were made by implanting Ge ions into Si02 films that were subsequently annealed. However. nanocrystal formation occurred only for certain sets of processing parameters. The dependence of the microstructure of the Ge implanted Si02 films on the processing parameters were therefore investigated. A range of methods were employed for these investigations, including transmission electron microscopy (TEM) combined with EDS, X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). The observed structures, ranging from Ge nanocrystals to voids with diameters of several tens of nanometers and Ge rich Si02 films without any nanocrystals is described. A model explaining the void formation is also presented. For certain sets of processing parameters. An accumulation of Ge at the Si-Si02 interface was observed. The effect of this accumulation on the electrical properties of MOS structures made from Ge implanted SiO2 films was investigated using CV-measurements. (Author)

  17. Energy transfer upconversion in Er3+-Tm3+ codoped sodium silicate glass

    Science.gov (United States)

    Kumar, Vinod; Pandey, Anurag; Ntwaeaborwa, O. M.; Swart, H. C.

    2018-04-01

    Er3+/Tm3+ doped and codoped Na2O-SiO2-ZnO (NSZO) glasses were prepared by the conventional melt-quenching method. The amorphous nature of the prepared glasses was confirmed by the X-ray diffraction analysis. The optical absorption spectrum displayed several peaks, which correspond to Er3+ and Tm3+ dopant ions embedded into the NSZO glass. Both dopants experienced upconversion emission under 980 nm excitation. Efficient energy transfer from Er3+ to Tm3+ was observed in the co-doped samples to enhance the near infrared emission of the Tm3+ ions.

  18. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  19. Luminescence of rare earth-doped Si-ZrO2 co-sputtered films

    International Nuclear Information System (INIS)

    Rozo, Carlos; Jaque, Daniel; Fonseca, Luis F.; Sole, Jose Garcia

    2008-01-01

    Er-doped Si-yttria-stabilized zirconia (YSZ) thin film samples were prepared by rf co-sputtering. Chemical composition of the samples was determined using energy-dispersive spectroscopy (EDS) and the structure of the films by X-ray diffraction (XRD). The samples were annealed to 700 deg. C. Photoluminescence (PL) measurements were performed for the visible and infrared. By exciting with the 488-nm-laser line the Er 3+ emissions 2 H 11/2 → 4 I 15/2 , 4 S 3/2 → 4 I 15/2 , 4 F 9/2 → 4 I 15/2 and a narrow 4 I 13/2 → 4 I 15/2 emission were observed. The 4 I 11/2 → 4 I 15/2 emissions for the same excitation wavelength were weak. Excitation wavelength dependence of the 4 I 13/2 → 4 I 15/2 emissions indicated that the emissions were due to a combination of energy transfer from Si nanoparticles (np) to Er ions and energy transfer from defects in the matrix to the Er ions for excitations resonant with the energy levels of such defects. 4 I 13/2 → 4 I 15/2 emission decay measurements show two decaying populations of Er ions according to their locations with respect to other ions or any non-radiative defects. 4 I 11/2 → 4 I 15/2 emission dependence on 4 I 13/2 → 4 I 15/2 emission showed that the former was possibly due to a combination of downconversion from higher levels of the Er ions, energy transfer from Si nanoparticles and upconversion transfer processes. We concluded that Er-doped Si-YSZ is a promising material for photonic applications being easily broadband excited using low-pumping powers

  20. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  1. Channeling ion implantation through palladium films

    International Nuclear Information System (INIS)

    Ishiwara, H.; Furukawa, S.

    1975-01-01

    The possibility of channeling ion implantation into semiconductors through polycrystalline metallic layers is studied. Minimum values and standard deviations of channeling angular yield in polycrystalline Pd 2 Si layers formed on Si have been measured by protons and 4 He, and 14 N ion backscattering and channeling measurements. Depth distributions of the spread of crystallite orientations and scattering centers such as lattice defects have been separately derived by using the above two quantities. It has been concluded that the channeling-ion-implantation technique will become a practical one by using the parallel scanning system

  2. Determination of phosphorus distribution in the region of a SiO2-Si interface by substoichiometric analysis

    International Nuclear Information System (INIS)

    Shigematsu, T.; Yonezawa, H.

    1994-01-01

    A simplified method for the substoichiometric analysis of phosphorus has been developed and applied to determine the concentration distribution of phosphorus in the region of a SiO 2 -Si interface in order to explain why phosphorus is lost from the ion-implanted silicon surface throughout the oxidation and oxide removal processes. It is revealed that phosphorus piles up on the SiO 2 side at the interface by the thermal oxidation of silicon surface and is removed with the oxide by wet etching and with the resulting silicon by RCA cleaning. This results in a total loss of ion-implanted phosphorus of 3.5%. (author) 11 refs.; 2 figs.; 3 tabs

  3. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  4. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Szakacs, G. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)], E-mail: szilagyi@rmki.kfki.hu; Paszti, F.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2008-04-15

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO{sub 2} films. To study this process in details, helium was implanted into the central part of a buried SiO{sub 2} island up to a fluence of 4 x 10{sup 17} He/cm{sup 2}. The implanted helium could be detected in the SiO{sub 2} island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 {mu}m thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity.

  5. Determination of migration of ion-implanted helium in silica by proton backscattering spectrometry

    International Nuclear Information System (INIS)

    Szakacs, G.; Szilagyi, E.; Paszti, F.; Kotai, E.

    2008-01-01

    Understanding the processes caused by ion implantation of light ions in dielectric materials such as silica is important for developing the diagnostic systems used in fusion and fission environments. Recently, it has been shown that ion-implanted helium is able to escape from SiO 2 films. To study this process in details, helium was implanted into the central part of a buried SiO 2 island up to a fluence of 4 x 10 17 He/cm 2 . The implanted helium could be detected in the SiO 2 island, if the oxide was insulated properly from the vacuum. The shape of the helium depth distributions was far from SRIM simulation because helium distributed in the whole 1 μm thick oxide layer. After the ion implantation, helium was observed only on the implanted spot. After nine months the implanted helium filled out the whole oxide island as it was expected from the high diffusivity

  6. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    International Nuclear Information System (INIS)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R.

    2000-01-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si + and C + ions into thermal SiO 2 and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO 2 . Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO 2

  7. Ion beam synthesis of semiconductor nanoparticles for Si based optoelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Varona, O.; Perez-Rodriguez, A.; Garrido, B.; Bonafos, C.; Lopez, M.; Morante, J.R.; Montserrat, J.; Rodriguez, R

    2000-03-01

    Intense white (to the eye) luminescence has been obtained by multiple implantation of Si{sup +} and C{sup +} ions into thermal SiO{sub 2} and a post-implantation annealing process. This white emission is a consequence of the convolution of three luminescence peaks centred at about 1.45 eV (infrared with a long tail in the red), 2.1 eV (yellow) and 2.8 eV (blue). These emissions have been correlated to the synthesis of nanocrystals of Si and SiC, and the existence of C-rich precipitates. Cross section TEM shows a buried layer with dark contrast, which correlates with the maximum of the C implanted profile, and likely with a high density of C-rich amorphous domains. Besides, two kinds of nanocrystalline precipitates are found, which have been identified as Si and hexagonal 6H-SiC by electron diffraction experiments. To our knowledge, these data provide the first experimental evidence on the ion beam synthesis of nanocrystalline 6H-SiC embedded in SiO{sub 2}. Correlation with previous data gives support to the assignment of the infrared, yellow and blue peaks with the Si, C-rich and SiC precipitate phases and/or its interfaces with SiO{sub 2}.

  8. Optical and electrical properties of disordered layers in GaAs crystals produced by Si+ ion implantation

    International Nuclear Information System (INIS)

    Shigetomi, S.; Matsumori, T.

    1981-01-01

    The ion dose dependence and the annealing behavior of GaAs after implantation with 200 keV 2 x 10 12 -2 x 10 16 Si + ions/cm 2 as studied by using optical absorption, reflection, photoluminescence, sheet-resistivity, Hall effect and field effect methods. The experimental results of the optical absorption and reflection indicate that, when annealed at about 400 0 C, the disordered layers produced by high-dose implantation (2 x 10 15 -2 x 10 16 ions/cm 2 ), reorder epitaxially on the underlying crystal leaving relatively little residual disorder in the near-surface. However, from the experimental results of optical reflection, 800 0 C annealing is required for perfect recrystallization. The temperature dependence of the sheet-resistivity, with annealing temperature as a parameter, indicates that, next to the variable-range hopping and phonon-assisted hopping regions, a new region is observed. Holes, thermally-activated from localized states near the Fermi level Esub(F) to the mobility edge Esub(V) near the valence band, are responsible for electrical conduction in this region. (orig.)

  9. Influence of irradiation spectrum and implanted ions on the amorphization of ceramics

    International Nuclear Information System (INIS)

    Zinkle, S.J.; Snead, L.L.

    1995-01-01

    Polycrystalline Al2O3, magnesium aluminate spinel (MgAl2O4), MgO, Si3N4, and SiC were irradiated with various ions at 200-450 K, and microstructures were examined following irradiation using cross-section TEM. Amorphization was not observed in any of the irradiated oxide ceramics, despsite damage energy densities up to ∼7 keV/atom (70 displacements per atom). On the other hand, SiC readily amorphized after damage levels of ∼0.4 dpa at room temperature (RT). Si3N4 exhibited intermediate behavior; irradiation with Fe 2+ ions at RT produced amorphization in the implanted ion region after damage levels of ∼1 dpa. However, irradiated regions outside the implanted ion region did not amorphize even after damage levels > 5 dpa. The amorphous layer in the Fe-implanted region of Si3N4 did not appear if the specimen was simultaneoulsy irradiated with 1-MeV He + ions at RT. By comparison with published results, it is concluded that the implantation of certain chemical species has a pronounced effect on the amorphization threshold dose of all five materials. Intense ionizing radiation inhibits amorphization in Si3N4, but does not appear to significantly influence the amorphization of SiC

  10. Annealing of Al implanted 4H silicon carbide

    International Nuclear Information System (INIS)

    Hallen, A; Suchodolskis, A; Oesterman, J; Abtin, L; Linnarsson, M

    2006-01-01

    Al ions were implanted with multiple energies up to 250 keV at elevated temperatures in n-type 4H SiC epitaxial layers to reach a surface concentration of 1x10 20 cm -3 . These samples were then annealed at temperatures between 1500 and 1950 deg. C. A similar 4H SiC epitaxial sample was implanted by MeV Al ions to lower doses and annealed only at 200 and 400 deg. C. After annealing, cross-sections of the samples were characterized by scanning spreading resistance microscopy (SSRM). The results show that the resistivity of high-dose Al implanted samples has not reached a saturated value, even after annealing at the highest temperature. For the MeV Al implanted sample, the activation of Al has not yet started, but a substantial annealing of the implantation induced damage can be seen from the SSRM depth profiles

  11. Enhanced Physicochemical and Biological Properties of Ion-Implanted Titanium Using Electron Cyclotron Resonance Ion Sources

    Directory of Open Access Journals (Sweden)

    Csaba Hegedűs

    2016-01-01

    Full Text Available The surface properties of metallic implants play an important role in their clinical success. Improving upon the inherent shortcomings of Ti implants, such as poor bioactivity, is imperative for achieving clinical use. In this study, we have developed a Ti implant modified with Ca or dual Ca + Si ions on the surface using an electron cyclotron resonance ion source (ECRIS. The physicochemical and biological properties of ion-implanted Ti surfaces were analyzed using various analytical techniques, such as surface analyses, potentiodynamic polarization and cell culture. Experimental results indicated that a rough morphology was observed on the Ti substrate surface modified by ECRIS plasma ions. The in vitro electrochemical measurement results also indicated that the Ca + Si ion-implanted surface had a more beneficial and desired behavior than the pristine Ti substrate. Compared to the pristine Ti substrate, all ion-implanted samples had a lower hemolysis ratio. MG63 cells cultured on the high Ca and dual Ca + Si ion-implanted surfaces revealed significantly greater cell viability in comparison to the pristine Ti substrate. In conclusion, surface modification by electron cyclotron resonance Ca and Si ion sources could be an effective method for Ti implants.

  12. Influence of Si addition on the microstructure and mechanical properties of Ti-35Nb alloy for applications in orthopedic implants.

    Science.gov (United States)

    Tavares, A M G; Ramos, W S; de Blas, J C G; Lopes, E S N; Caram, R; Batista, W W; Souza, S A

    2015-11-01

    In the development of new materials for orthopedic implants, special attention has been given to Ti alloys that show biocompatible alloy elements and that are capable of reducing the elastic modulus. Accordingly, Ti-Nb-Si alloys show great potential for application. Thus, this is a study on the microstructures and properties of Ti-35Nb-xSi alloys (x=0, 0.15, 0.35 and 0.55) (wt%) which were thermally treated and cooled under the following conditions: furnace cooling (FC), air cooling (AC), and water quenching (WQ). The results showed that Si addition is effective to reduce the density of omega precipitates making beta more stable, and to produce grain refinement. Silicides, referred as (Ti,Nb)3Si, were formed for alloys containing 0.55% Si, and its formation presumably occurred during the heating at 1000°C. In all cooling conditions, the hardness values increased with the increasing of Si content, as a result from the strong Si solid solution strengthening effect, while the elastic modulus underwent a continuous reduction due to the reduction of omega precipitates in beta matrix. Lower elastic moduli were observed in water-quenched alloys, which concentration of 0.15% Si was more effective in their reduction, with value around 65 GPa. Regarding Ti-35Nb-xSi alloys (x=0, 0.15 and 0.35), the "double yield point" phenomenon, which is typical of alloys with shape memory effect, was observed. The increase in Si concentration also produced an increase from 382 MPa to 540 MPa in the alloys' mechanical strength. Ti-35Nb-0.55Si alloy, however, showed brittle mechanical behavior which was related to the presence of silicides at the grain boundary. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Structural and optical properties of Er{sup 3+} doped SiO{sub 2}–Al{sub 2}O{sub 3}–GeO{sub 2} compounds prepared by a simple route

    Energy Technology Data Exchange (ETDEWEB)

    Filho, Fausto M. Faria [Instituto de Física, Universidade Federal de Goiás-UFG, Campus II, Caixa Postal 131, CEP 74001-970 Goiânia, GO (Brazil); Gonçalves, Rogéria R. [Departamento de Química, Faculdade de Filosofia, Ciências e Letras de Ribeirão Preto, Universidade de São Paulo-USP, Av. Bandeirantes, 3900, CEP 14040-901 Ribeirão Preto, SP (Brazil); Ribeiro, Sidney J.L. [Institute of Chemistry, São Paulo State University-UNESP, Rua Professor Francisco Degni, 55, CEP 14801-970 Araraquara, SP (Brazil); Maia, Lauro J.Q., E-mail: lauro@ufg.br [Instituto de Física, Universidade Federal de Goiás-UFG, Campus II, Caixa Postal 131, CEP 74001-970 Goiânia, GO (Brazil)

    2015-04-15

    Highlights: • We developed a simple route to obtain gels and powders using GeO{sub 2}, TEOS and TMAH solution. • Al{sub 6}Ge{sub 2}O{sub 13} crystalline nanoparticles embedded in amorphous matrix were obtained. • The Al{sub 2}O{sub 3} enhance Er{sup 3+} dispersion in GeO{sub 2}–SiO{sub 2} increasing its emission and the full width at half maximum from 41 to 56 nm. • The {sup 4}I{sub 13/2} Er{sup 3+} level lifetime varies between 4.8 and 5.6 ms (1533 nm emission). - Abstract: Samples of (1 − x)[0.70SiO{sub 2} + 0.30Al{sub 2}O{sub 3}] + xGeO{sub 2} compositions, containing x = 0.05, 0.10, 0.20, 0.30, 0.40 and 0.50, and doped with 1 mol% of Er{sup 3+}, were prepared by a mixed route (sol–gel process and Pechini method). Transparent gels were synthesized and homogeneous powders were obtained by heat treatments from 800 °C to 1050 °C. The final powders were characterized by X-ray diffraction, Fourier transform infrared spectroscopy and high-resolution transmission electron microscopy. The optical properties were studied by photoluminescence measurements in the infrared region, and the average lifetime of the metastable state {sup 4}I{sub 13/2} of Er{sup 3+} ions and the full-width at half maximum (FWHM) were determined. A silica-rich amorphous phase and nanocrystallites with orthorhombic structure of Al{sub 6}Ge{sub 2}O{sub 13} phase were obtained. The samples present a broad emission centered at around 1532 nm under excitation at 977 nm, with a FWHM of 53 nm and a lifetime of 5.6 ms. The synthesized compounds by an easy chemical procedure are potentially applicable in integrated optical systems.

  14. Photoluminescence at 1.54 {mu}m of Er-doped hydrogenated amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Bresler, Mikhail; Gusev, Oleg; Kuznetsov, Alexey; Kudoyarova, Vera; Terukov, Evgenii; Yassievich, Irina [A.F. Ioffe Physico-Technical Institute, Politekhnicheskaya 26, 194021 St. Petersburg (Russian Federation); Fuhs, Walther [Hahn-Meitner Institut, Abteilung Photovoltaik, Rudower Chaussee 5, D-12489 Berlin (Germany); Ulber, Isabell; Weiser, Gerhard [Philipps-Universitat Marburg, Fachbereich Physik, D-35032 Marburg (Germany)

    1998-05-11

    Photoluminescence (PL) and light absorption of Er-doped amorphous hydrogenated silicon samples are measured at 77-300K. The temperature dependence of luminescence of erbium ions in a-Si:H(Er) is compared with that of intrinsic PL of a-Si:H. The lifetime of excited erbium ions in this amorphous matrix changes from 20 to 8 {mu}s in this temperature range. We propose a defect-related Auger excitation (DRAE) mechanism of erbium luminescence and demonstrate that it is consistent with the whole set of our experimental results. The temperature quenching of the erbium luminescence observed above 200K, with the activation energy of 250 meV, results from the competition of the DRAE and multiphonon nonradiative defect processes for D{sup 0}+e>D{sup -} transition

  15. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  16. Electronic structure of xenon implanted with low energy in amorphous silicon

    International Nuclear Information System (INIS)

    Barbieri, P.F.; Landers, R.; Oliveira, M.H. de; Alvarez, F.; Marques, F.C.

    2007-01-01

    Electronic structure of Xe implanted in amorphous silicon (a-Si) films are investigated. Xe atoms were implanted with low energy by ion beam assisted deposition (IBAD) technique during growth of the a-Si films. The Xe implantation energy varied in the 0-300 eV energy range. X-ray photoelectron spectroscopy (XPS), X-ray Auger excited spectroscopy (XAES) and X-ray absorption spectroscopy (XAS) were used for investigating the Xe electronic structure. The Xe M 4 N 45 N 45 transitions were measured to extract the Auger parameter and to analyze the initial state and relaxation contributions. It was found that the binding energy variation is mainly due to initial state contribution. The relaxation energy variation also shows that the Xe trapped environment depends on the implantation energy. XAS measurements reveals that Xe atoms are dispersed in the a-Si matrix

  17. Characterization of the crystalline quality of β-SiC formed by ion beam synthesis

    International Nuclear Information System (INIS)

    Intarasiri, S.; Hallen, A.; Kamwanna, T.; Yu, L.D.; Possnert, G.; Singkarat, S.

    2006-01-01

    The ion beam synthesis (IBS) technique is applied to form crystalline silicon carbide (SiC) for future optoelectronics applications. Carbon ions at 80 and 40 keV were implanted into (1 0 0) high-purity p-type silicon wafers at room temperature and 400 deg. C, respectively, to doses in excess of 10 17 ions/cm 2 . Subsequent thermal annealing of the implanted samples was performed in a vacuum furnace at temperatures of 800, 900 and 1000 deg. C, respectively. Elastic recoil detection analysis was used to investigate depth distributions of the implanted ions and infrared transmittance (IR) measurement was used to characterize formation of SiC in the implanted Si substrate. Complementary to IR, Raman scattering measurements were also carried out. Levels of the residual damage distribution of the samples annealed at different temperatures were compared with that of the as-implanted one by Rutherford backscattering spectrometry (RBS) in the channeling mode. The results show that C-ion implantation at the elevated temperature, followed by high-temperature annealing, enhances the synthesis of crystalline SiC

  18. In vivo study of nanostructured diopside (CaMgSi{sub 2}O{sub 6}) coating on magnesium alloy as biodegradable orthopedic implants

    Energy Technology Data Exchange (ETDEWEB)

    Razavi, Mehdi, E-mail: mrzavi2659@gmail.com [Biomaterials Research Group, Department of Materials Engineering, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of); Torabinejad Dental Research Center, School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); School of Materials Science and Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); School of Electrical and Computer Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); Fathi, Mohammadhossein [Biomaterials Research Group, Department of Materials Engineering, Isfahan University of Technology, Isfahan 84156-83111 (Iran, Islamic Republic of); Dental Materials Research Center, Isfahan University of Medical Sciences, Isfahan (Iran, Islamic Republic of); Savabi, Omid [Torabinejad Dental Research Center, School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); Razavi, Seyed Mohammad [School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); Heidari, Fariba; Manshaei, Maziar [Torabinejad Dental Research Center, School of Dentistry, Isfahan University of Medical Sciences, Isfahan 81746-73461 (Iran, Islamic Republic of); Vashaee, Daryoosh [School of Electrical and Computer Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); Tayebi, Lobat, E-mail: lobat.tayebi@okstate.edu [School of Materials Science and Engineering, Helmerich Advanced Technology Research Center, Oklahoma State University, Tulsa, OK 74106 (United States); School of Chemical Engineering, Oklahoma State University, Stillwater, OK 74078 (United States)

    2014-09-15

    Highlights: • In vitro biocompatibility of biodegradable Mg alloy was improved by diopside coating. • In vivo biocompatibility of biodegradable Mg alloy was improved by diopside coating. • Degradation behavior of biodegradable Mg alloy was improved by diopside coating. - Abstract: In order to improve the corrosion resistance and bioactivity of a biodegradable magnesium alloy, we have recently prepared a nanostructured diopside (CaMgSi{sub 2}O{sub 6}) coating on AZ91 magnesium alloy through a combined micro-arc oxidation (MAO) and electrophoretic deposition (EPD) method (reported elsewhere). In this work, we performed a detailed biocompatibility analysis of the implants made by this material and compared their performance with those of the uncoated and micro arc oxidized magnesium implants. The biocompatibility evaluation of samples was performed by culturing L-929 cells and in vivo animal study, including implantation of samples in greater trochanter of rabbits, radiography and histological examinations. The results from both the in vitro and in vivo studies indicated that the diopside/MAO coated magnesium implant significantly enhanced cell viability, biodegradation resistance and new bone formation compared with both the uncoated and the micro-arc oxidized magnesium implants. Our data provides an example of how the proper surface treatment of magnesium implants can overcome their drawbacks in terms of high degradation rate and gas bubble formation under physiological conditions.

  19. Waveguiding properties of Er-implanted silicon-rich oxides

    International Nuclear Information System (INIS)

    Elliman, R.G.; Forcales, M.; Wilkinson, A.R.; Smith, N.J.

    2007-01-01

    The optical properties of erbium-doped silicon-rich silicon-oxide waveguides containing amorphous silicon nanoclusters and/or silicon nanocrystals are reported. Both amorphous nanoclusters and nanocrystals are shown to act as effective sensitizers for Er, with nanocrystals being more effective at low pump powers and nanoclusters being more effective at higher pump powers. All samples are shown to exhibit photo-induced absorption, as measured for a guided 1.5 μm probe beam while the waveguide was illuminated from above with a 477 nm pump beam. At a given pump power samples containing silicon nanocrystals exhibited greater attenuation than samples containing amorphous nanoclusters. The absorption is shown to be consistent with confined-carrier absorption due to photoexcited carriers in the nanocrystals and/or nanoclusters

  20. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  1. Promising Ta-Ti-Zr-Si metallic glass coating without cytotoxic elements for bio-implant applications

    Science.gov (United States)

    Lai, J. J.; Lin, Y. S.; Chang, C. H.; Wei, T. Y.; Huang, J. C.; Liao, Z. X.; Lin, C. H.; Chen, C. H.

    2018-01-01

    Tantalum (Ta) is considered as one of the most promising metal due to its high corrosion resistance, excellent biocompatibility and cell adhesion/in-growth capabilities. Although there are some researches exploring the biomedical aspects of Ta and Ta based alloys, systematic characterizations of newly developed Ta-based metallic glasses in bio-implant applications is still lacking. This study employs sputtering approach to produced thin-film Ti-based metallic glasses due to the high melting temperature of Ta (3020 °C). Two fully amorphous Ta-based metallic glasses composed of Ta57Ti17Zr15Si11 and Ta75Ti10Zr8Si7 are produced and experimentally characterized in terms of their mechanical properties, bio-corrosion properties, surface hydrophilic characteristics, and in-vitro cell viability and cells attachment tests. Compare to conventional pure Ti and Ta metals, the developed Ta-based metallic glasses exhibit higher hardness and lower modulus which are better match to the mechanical properties of bone. MTS assay results show that Ta-based metallic glasses show comparable cell viability and cell attachment rate compared to that of pure Ti and Ta surface in a 72 h in-vitro test.

  2. Evaluation of damage accumulation behavior and strength anisotropy of NITE SiC/SiC composites by acoustic emission, digital image correlation and electrical resistivity monitoring

    International Nuclear Information System (INIS)

    Nozawa, Takashi; Ozawa, Kazumi; Asakura, Yuuki; Kohyama, Akira; Tanigawa, Hiroyasu

    2014-01-01

    Understanding the cracking process of the composites is essential to establish the design basis for practical applications. This study aims to investigate the damage accumulation process and its anisotropy for nano-infiltration transient eutectic sintered (NITE) SiC/SiC composites by various characterization techniques such as the acoustic emission (AE), digital image correlation (DIC) and electrical resistivity (ER) measurements. Cracking behavior below the proportional limit stress (PLS) was specifically addressed. Similar to the other generic SiC/SiC composites, the 1st AE event was identified below the PLS for NITE SiC/SiC composites with a dependency of fabric orientation. The DIC results support that the primary failure mode depending on fiber orientation affected more than the other minor modes did. Detailed AE waveform analysis by wavelet shows a potential to classify the failure behavior depending on architecture. Cracking below the PLS is a potential concern in component deign but the preliminary ER measurements imply that the impact of cracking below the PLS on composite function was limited

  3. Fusion evaporation residues and the distribution of reaction strength in 16O + 40Ca and 28Si + 28Si reactions

    International Nuclear Information System (INIS)

    Kolata, J.J.; Hinnefeld, J.; Kovar, D.G.

    1985-01-01

    In measurements performed previously at ANL, studying the two entrance channels 16 O + 40 Ca and 28 Si + 28 Si which form the same compound nucleus 56 Ni, it was found that at higher bombarding energies (E/sub Lab/ > 5-7 MeV/nucleon) the distributions of reaction strength was dramatically different. Although the total reaction cross section behaviors for the two entrance channels are similar, the total evaporation residue (ER) cross sections for 28 Si + 28 Si decrease rapidly with increasing bombarding energies and up to the highest energy studied show no evidence for incomplete fusion processes. For 16 O + 40 Ca the ER cross section remains constant at approximately 1 barn with increasing bombarding energy and shows evidence of increasing contributions from incomplete fusion. To better understand this apparent dependence on the mass asymmetry in the entrance channel, coincidence measurements between evaporation residue-like products and heavy ions on the opposite side of the beam were performed for the two systems at E/sub Lab/ = 8 MeV/nucleon

  4. A simulation study of 6H-SiC Schottky barrier source/drain MOSFET

    International Nuclear Information System (INIS)

    Wang Yuan; Zhang Yimen; Zhang Yuming; Tang Xiaoyan

    2003-01-01

    A novel SiC metal-oxide-semiconductor field-effect transistor (SiC SBSD-MOSFET) with Schottky barrier contacts for source and drain is presented in this paper. This kind of device gives a fabrication advantage of avoiding the steps of ion implantation and annealing at high temperatures of the conventional SiC MOSFET. Also it has no problems of crystal damage caused by ion implantation and low activation rate of implanted atoms. The operational mechanism of this device is analyzed and its characteristics are comparable to the conventional SiC MOSFET from the simulation with MEDICI. The effects of different metal workfunctions, oxide thickness, and gate length on the device performance are discussed

  5. Annealing behaviour of MeV erbium implanted lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Gortmaker, P.; McCallum, J.C. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Lithium niobate (LiNbO{sub 3}) is a crystalline ceramic commonly used in the fabrication of optoelectronic devices. Recently, rare earth doping of LiNbO{sub 3} has become a topic of particular interest. The electronic configuration of rare earth elements such as Erbium (Er) and Neodymium (Nd) allows them to lase in nearly any host matrix making fabrication of a whole range of new optoelectronic devices possible. At present, the doping technique, for LiNbO{sub 3} are centred upon diffusion technology, but the diffusion profiles for the rare earths are not generally well-matched to the optical modes of the device. The aim of this research is to develop MeV implantation and annealing conditions of rare earth doped LiNbO{sub 3} that would be compatible with optoelectronic device fabrication. To determine the characteristics of the rare earth elements in the LiNbO{sub 3} host material over the depth range of interest in optoelectronic device applications, high energy Rutherford backscattering spectrometry and ion channeling (RBS-C) must be used. Presented here are the Er depth profile and lattice damage results obtained from 5 MeV RBS-C measurements on samples of LiNbO{sub 3} implanted with various doses of MeV Erbium and subsequently thermally annealed at a temperature of 1000 deg C. It was found that there is a peak implant concentration (2 x 10{sup 16} Er/cm{sup 2}) for which erbium no longer goes substitutional in the lattice, and the implantation damage is not fully removed by annealing. 8 refs., 3 figs.

  6. Annealing behaviour of MeV erbium implanted lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Gortmaker, P; McCallum, J C [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Lithium niobate (LiNbO{sub 3}) is a crystalline ceramic commonly used in the fabrication of optoelectronic devices. Recently, rare earth doping of LiNbO{sub 3} has become a topic of particular interest. The electronic configuration of rare earth elements such as Erbium (Er) and Neodymium (Nd) allows them to lase in nearly any host matrix making fabrication of a whole range of new optoelectronic devices possible. At present, the doping technique, for LiNbO{sub 3} are centred upon diffusion technology, but the diffusion profiles for the rare earths are not generally well-matched to the optical modes of the device. The aim of this research is to develop MeV implantation and annealing conditions of rare earth doped LiNbO{sub 3} that would be compatible with optoelectronic device fabrication. To determine the characteristics of the rare earth elements in the LiNbO{sub 3} host material over the depth range of interest in optoelectronic device applications, high energy Rutherford backscattering spectrometry and ion channeling (RBS-C) must be used. Presented here are the Er depth profile and lattice damage results obtained from 5 MeV RBS-C measurements on samples of LiNbO{sub 3} implanted with various doses of MeV Erbium and subsequently thermally annealed at a temperature of 1000 deg C. It was found that there is a peak implant concentration (2 x 10{sup 16} Er/cm{sup 2}) for which erbium no longer goes substitutional in the lattice, and the implantation damage is not fully removed by annealing. 8 refs., 3 figs.

  7. Characterization and Modeling of SiC Integrated Circuits for Harsh Environment

    OpenAIRE

    Kimoto, Daiki

    2017-01-01

    Elektronik för extrema miljöer, som kan användas vid hög temperatur, hög strålning och omgivning med frätande gaser, har varit starkt önskvärd vid utforskning av rymden och övervakning av kärnreaktorer. Kiselkarbid (SiC) är en av kandidaterna inom material för extrema miljöer på grund av sin höga temperatur- och höga strålnings-tolerans. Syftet med denna avhandling är att karakterisera 4H-SiC MOSFETar vid hög temperatur och att konstruera SPICE modeller för 4H-SiC MOSFETar. MOSFET-transistore...

  8. Formation of hydrogen-related shallow donors in Ge1-xSix crystals implanted with protons

    International Nuclear Information System (INIS)

    Pokotilo, Yu.M.; Petukh, A.N.; Litvinov, V.V.; Markevich, V.P.; Peaker, A.R.; Abrosimov, N.A.

    2007-01-01

    It is found that shallow hydrogen-related donors are formed in the proton-implanted dilute Ge 1-x Si x alloys (0≤x≤0.031) as well as in Si-free Ge samples upon heat-treatments in the temperature range 225-300 degrees centigrade. The maximum concentration of the donors is about 1.5·10 16 cm -3 for a H + implantation dose of 10 15 cm -2 . Formation and annihilation temperatures of the proton-implantation-induced donors do not depend on the Si concentration in Ge 1-x Si x samples. However, the increase in Si content has resulted in a decrease of the concentration of the H-related donors. The possible origin of the H-related donors and mechanisms of Si-induced suppression of their formation are discussed. (authors)

  9. Optically active erbium centers in silicon

    NARCIS (Netherlands)

    Przybylinska, H; Jantsch, W; SuprunBelevitch, Y; Stepikhova, M; Palmetshofer, L; Hendorfer, G; Kozanecki, A; Wilson, RJ; Sealy, BJ

    1996-01-01

    The intra-4f transition close to 1.54 mu m of Er implanted into Si shows rich fine structure due to the crystal field of different defect types. Making use of the influence of implantation and annealing parameters, additional doping, temperature, and excitation power, we identify groups of lines

  10. A new Fe–Mn–Si alloplastic biomaterial as bone grafting material: In vivo study

    Energy Technology Data Exchange (ETDEWEB)

    Fântânariu, Mircea, E-mail: mfantanariu@uaiasi.ro [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Veterinary Medicine, Str. Aleea M. Sadoveanu, no. 8, 700489, Iasi (Romania); Trincă, Lucia Carmen, E-mail: lctrinca@uaiasi.ro [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Horticulture, Str. Aleea M. Sadoveanu, no. 3, 700490, Iasi (Romania); Solcan, Carmen, E-mail: csolcan@yahoo.com [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Veterinary Medicine, Str. Aleea M. Sadoveanu, no. 8, 700489, Iasi (Romania); Trofin, Alina, E-mail: aetrofin@yahoo.com [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Horticulture, Str. Aleea M. Sadoveanu, no. 3, 700490, Iasi (Romania); Strungaru, Ştefan, E-mail: strungaru_stefan@yahoo.com [“Alexandru Ioan Cuza” University, Faculty of Biology, Bulevardul Carol I, Nr.11, 700506, Iasi (Romania); Şindilar, Eusebiu Viorel, E-mail: esindilar@uaiasi.ro [“Ion Ionescu de la Brad” University of Agricultural Sciences and Veterinary Medicine, Faculty of Veterinary Medicine, Str. Aleea M. Sadoveanu, no. 8, 700489, Iasi (Romania); Plăvan, Gabriel, E-mail: gabriel.plavan@uaic.ro [“Alexandru Ioan Cuza” University, Faculty of Biology, Bulevardul Carol I, Nr.11, 700506, Iasi (Romania); and others

    2015-10-15

    Highlights: • A Fe–Mn–Si alloy was obtained as alloplastic graft material for bone implants. • Fe–Mn–Si alloy degradation rate was preliminary evaluate with SEM and EDAX techniques. • Biochemical, histological, RX and CT investigations were done in rats with subcutaneous and tibiae implants. • Fe–Mn–Si alloy assured an ideal compromise between degradation and mechanical integrity during bone regeneration. - Abstract: Designing substrates having suitable mechanical properties and targeted degradation behavior is the key's development of bio-materials for medical application. In orthopedics, graft material may be used to fill bony defects or to promote bone formation in osseous defects created by trauma or surgical intervention. Incorporation of Si may increase the bioactivity of implant locally, both by enhancing interactions at the graft–host interface and by having a potential endocrine like effect on osteoblasts. A Fe–Mn–Si alloy was obtained as alloplastic graft materials for bone implants that need long recovery time period. The surface morphology of the resulted specimens was investigated using scanning electrons microscopy (VegaTescan LMH II, SE detector, 30 kV), X-ray diffractions (X’Pert equipment) or X-ray dispersive energy analyze (Bruker EDS equipment). This study objective was to evaluate in vivo the mechanisms of degradation and the effects of its implantation over the main metabolic organs. Biochemical, histological, plain X radiography and computed tomography investigations showed good compatibility of the subcutaneous implants in the rat organism. The implantation of the Fe–Mn–Si alloy, in critical size bone (tibiae) defect rat model, did not induced adverse biological reactions and provided temporary mechanical support to the affected bone area. The biodegradation products were hydroxides layers which adhered to the substrate surface. Fe–Mn–Si alloy assured the mechanical integrity in rat tibiae defects

  11. Ultra-low-energy ion-beam synthesis of nanometer-separated Si nanoparticles and Ag nanocrystals 2D layers

    Science.gov (United States)

    Carrada, M.; Haj Salem, A.; Pecassou, B.; Paillard, V.; Ben Assayag, G.

    2018-03-01

    2D networks of Si and Ag nanocrystals have been fabricated in the same SiO2 matrix by Ultra-Low-Energy Ion-Beam-Synthesis. Our synthesis scheme differs from a simple sequential ion implantation and its key point is the control of the matrix integrity through an appropriate intermediate thermal annealing. Si nanocrystal layer is synthesised first due to high thermal budget required for nucleation, while the second Ag nanocrystal plane is formed during a subsequent implantation due to the high diffusivity of Ag in silica. The aim of this work is to show how it is possible to overcome the limitation related to ion mixing and implantation damage to obtain double layers of Si-NCs and Ag-NCs with controlled characteristics. For this, we take advantage of annealing under slight oxidizing ambient to control the oxidation of Si-NCs and the Si excess in the matrix. The nanocrystal characteristics and in particular their position and size can be adjusted thanks to a compromise between the implantation energy, the implanted dose for both Si and Ag ions and the intermediate annealing conditions (atmosphere, temperature and duration).

  12. Method for Providing Semiconductors Having Self-Aligned Ion Implant

    Science.gov (United States)

    Neudeck, Philip G. (Inventor)

    2014-01-01

    A method is disclosed that provides a self-aligned nitrogen-implant particularly suited for a Junction Field Effect Transistor (JFET) semiconductor device preferably comprised of a silicon carbide (SiC). This self-aligned nitrogen-implant allows for the realization of durable and stable electrical functionality of high temperature transistors such as JFETs. The method implements the self-aligned nitrogen-implant having predetermined dimensions, at a particular step in the fabrication process, so that the SiC junction field effect transistors are capable of being electrically operating continuously at 500.degree. C. for over 10,000 hours in an air ambient with less than a 10% change in operational transistor parameters.

  13. Formation of copper silicides by high dose metal vapor vacuum arc ion implantation

    International Nuclear Information System (INIS)

    Rong Chun; Zhang Jizhong; Li Wenzhi

    2003-01-01

    Si(1 1 1) was implanted by copper ions with different doses and copper distribution in silicon matrix was obtained. The as-implanted samples were annealed at 300 and 540 deg. C, respectively. Formation of copper silicides in as-implanted and annealed samples were studied. Thermodynamics and kinetics of the reaction were found to be different from reaction at copper-silicon interface that was applied in conventional studies of copper-silicon interaction. The defects in silicon induced by implantation and formation of copper silicides were recognized by Si(2 2 2) X-ray diffraction (XRD)

  14. Development of a new comprehensive and reliable endometrial receptivity map (ER Map/ER Grade) based on RT-qPCR gene expression analysis.

    Science.gov (United States)

    Enciso, M; Carrascosa, J P; Sarasa, J; Martínez-Ortiz, P A; Munné, S; Horcajadas, J A; Aizpurua, J

    2018-02-01

    Is it possible to determine the receptivity status of an endometrium by combined quantitative reverse transcription PCR (RT-qPCR) expression analysis of genes involved in endometrial proliferation and immunity? The new ER Map®/ER Grade® test can predict endometrial receptivity status by RT-qPCR using a new panel of genes involved in endometrial proliferation and the maternal immune response associated to embryonic implantation. The human endometrium reaches a receptive status adequate for embryonic implantation around Days 19-21 of the menstrual cycle. During this period, known as the window of implantation (WOI), the endometrium shows a specific gene expression profile suitable for endometrial function evaluation. The number of molecular diagnostic tools currently available to characterize this process is very limited. In this study, a new system for human endometrial receptivity evaluation was optimized and presented for the first time. ER Map®/ER Grade® validation was achieved on 312 endometrial samples including fertile women and patients undergoing fertility treatment between July 2014 and March 2016. Expression analyses of 184 genes involved in endometrial receptivity and immune response were performed. Samples were additionally tested with an independent endometrial receptivity test. A total of 96 fertile women and 120 assisted reproduction treatment (ART) patients participated in the study. Endometrial biopsy samples were obtained at LH + 2 and LH + 7 days in fertile subjects in a natural cycle and at the window of implantation (WOI) in patients in a hormone-replacement therapy (HRT) cycle. Total RNA was purified, quality-checked and reverse-transcribed. Gene expression was quantified by high-throughput RT-qPCR and statistically analyzed. Informative genes were selected and used to classify samples into four different groups of endometrial receptivity status. Significantly different gene expression levels were found in 85 out of 184 selected genes when

  15. Upconversion and tribological properties of β-NaYF{sub 4}:Yb,Er film synthesized on silicon substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chuanying [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-05-15

    Highlights: • β-NaYF{sub 4}:Yb,Er upconversion (UC) film was synthesized on silicon substrate. • Tribological test was used to qualitatively evaluate the adhesion of the UC film. • The UC film was combined with Si substrate by covalent chemical bonds. • The method used in this work can be applicable for other UC films. - Abstract: In this work, β-NaYF{sub 4}:Yb,Er upconversion (UC) film was successfully prepared on silicon (Si) substrate via self-assemble method for the first time. The chemical composition and surface morphology of the UC film were characterized by Fourier transform infrared spectroscopy (FT-IR), X-ray photoelectron spectroscopy (XPS), water contact angle (WCA), X-ray power diffraction (XRD), and scanning electron microscopy (SEM) measurements. To investigate the effects of KH-560 primer film and chemical reactions on the UC luminescence properties of β-NaYF{sub 4}:Yb,Er UC film, decay profiles of the 540 nm and 655 nm radiations were measured. Furthermore, tribological test was applied to qualitatively evaluate the adhesion of the UC film. The results indicate that the UC film has been successfully prepared on Si substrate by covalent chemical bonds. This work provides a facile way to synthesize β-NaYF{sub 4}:Yb,Er UC film with robust adhesion to the substrate, which can be applicable for other UC films.

  16. Doping porous silicon with erbium: pores filling as a method to limit the Er-clustering effects and increasing its light emission

    KAUST Repository

    Mula, Guido

    2017-07-14

    Er clustering plays a major role in hindering sufficient optical gain in Er-doped Si materials. For porous Si, the long-standing failure to govern the clustering has been attributed to insufficient knowledge of the several, concomitant and complex processes occurring during the electrochemical Er-doping. We propose here an alternative road to solve the issue: instead of looking for an equilibrium between Er content and light emission using 1-2% Er, we propose to significantly increase the electrochemical doping level to reach the filling the porous silicon pores with luminescent Er-rich material. To better understand the intricate and superposing phenomena of this process, we exploit an original approach based on needle electron tomography, EXAFS and photoluminescence. Needle electron tomography surprisingly shows a heterogeneous distribution of Er content in the silicon thin pores that until now couldn\\'t be revealed by the sole use of scanning electron microscopy compositional mapping. Besides, while showing that pore filling leads to enhanced photoluminescence emission, we demonstrate that the latter is originated from both erbium oxide and silicate. These results give a much deeper understanding of the photoluminescence origin down to nanoscale and could lead to novel approaches focused on noteworthy enhancement of Er-related photoluminescence in porous silicon.

  17. Doping porous silicon with erbium: pores filling as a method to limit the Er-clustering effects and increasing its light emission

    KAUST Repository

    Mula, Guido; Printemps, Tony; Licitra, Christophe; Sogne, Elisa; D’ Acapito, Francesco; Gambacorti, Narciso; Sestu, Nicola; Saba, Michele; Pinna, Elisa; Chiriu, Daniele; Ricci, Pier Carlo; Casu, Alberto; Quochi, Francesco; Mura, Andrea; Bongiovanni, Giovanni; Falqui, Andrea

    2017-01-01

    Er clustering plays a major role in hindering sufficient optical gain in Er-doped Si materials. For porous Si, the long-standing failure to govern the clustering has been attributed to insufficient knowledge of the several, concomitant and complex processes occurring during the electrochemical Er-doping. We propose here an alternative road to solve the issue: instead of looking for an equilibrium between Er content and light emission using 1-2% Er, we propose to significantly increase the electrochemical doping level to reach the filling the porous silicon pores with luminescent Er-rich material. To better understand the intricate and superposing phenomena of this process, we exploit an original approach based on needle electron tomography, EXAFS and photoluminescence. Needle electron tomography surprisingly shows a heterogeneous distribution of Er content in the silicon thin pores that until now couldn't be revealed by the sole use of scanning electron microscopy compositional mapping. Besides, while showing that pore filling leads to enhanced photoluminescence emission, we demonstrate that the latter is originated from both erbium oxide and silicate. These results give a much deeper understanding of the photoluminescence origin down to nanoscale and could lead to novel approaches focused on noteworthy enhancement of Er-related photoluminescence in porous silicon.

  18. Enhancing Hydrogen Diffusion in Silica Matrix by Using Metal Ion Implantation to Improve the Emission Properties of Silicon Nanocrystals

    Directory of Open Access Journals (Sweden)

    J. Bornacelli

    2014-01-01

    Full Text Available Efficient silicon-based light emitters continue to be a challenge. A great effort has been made in photonics to modify silicon in order to enhance its light emission properties. In this aspect silicon nanocrystals (Si-NCs have become the main building block of silicon photonic (modulators, waveguide, source, and detectors. In this work, we present an approach based on implantation of Ag (or Au ions and a proper thermal annealing in order to improve the photoluminescence (PL emission of Si-NCs embedded in SiO2. The Si-NCs are obtained by ion implantation at MeV energy and nucleated at high depth into the silica matrix (1-2 μm under surface. Once Si-NCs are formed inside the SiO2 we implant metal ions at energies that do not damage the Si-NCs. We have observed by, PL and time-resolved PL, that ion metal implantation and a subsequent thermal annealing in a hydrogen-containing atmosphere could significantly increase the emission properties of Si-NCs. Elastic Recoil Detection measurements show that the samples with an enhanced luminescence emission present a higher hydrogen concentration. This suggests that ion metal implantation enhances the hydrogen diffusion into silica matrix allowing a better passivation of surface defects on Si NCs.

  19. Strain evolution in Si substrate due to implantation of MeV ion observed by extremely asymmetric x-ray diffraction

    International Nuclear Information System (INIS)

    Emoto, T.; Ghatak, J.; Satyam, P. V.; Akimoto, K.

    2009-01-01

    We studied the strain introduced in a Si(111) substrate due to MeV ion implantation using extremely asymmetric x-ray diffraction and measured the rocking curves of asymmetrical 113 diffraction for the Si substrates implanted with a 1.5 MeV Au 2+ ion at fluence values of 1x10 13 , 5x10 13 , and 1x10 14 /cm 2 . The measured curves consisted of a bulk peak and accompanying subpeak with an interference fringe. The positional relationship of the bulk peak to the subpeak and the intensity variation of those peaks with respect to the wavelengths of the x rays indicated that crystal lattices near the surface were strained; the lattice spacing of surface normal (111) planes near the surface was larger than that of the bulk. Detailed strain profiles along the depth direction were successfully estimated using a curve-fitting method based on Darwin's dynamical diffraction theory. Comparing the shapes of resultant strain profiles, we found that a strain evolution rapidly occurred within a depth of ∼300 nm at fluence values between 1x10 13 and 5x10 13 /cm 2 . This indicates that formation of the complex defects progressed near the surface when the fluence value went beyond a critical value between 1x10 13 and 5x10 13 /cm 2 and the defects brought a large strain to the substrate.

  20. Ion implantation methods for semiconductor substrates

    International Nuclear Information System (INIS)

    Matsushita, T.; Mamine, T.; Hayashi, H.; Nishiyama, K.

    1980-01-01

    A method of ion implantation for controlling the life time of minority carriers in a semiconductor substrate and hence to reduce the temperature dependency of the life time, comprises implanting iron ions into an N type semiconductor substrate with a dosage of 10 10 to 10 15 ions cm -2 , and then heat-treating the implanted substrate at 850 0 to 1250 0 C. The method is applicable to the production of diodes, transistors, Si controlled rectifiers and gate controlled switching devices. (author)

  1. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  2. Formation of shallow junctions for VLSI by ion implantation and rapid thermal annealing

    International Nuclear Information System (INIS)

    Oeztuerk, M.C.

    1988-01-01

    In this work, several techniques were studied to form shallow junctions in silicon by ion implantation. These include ion implantation through thin layers of silicon dioxide and ion implantation through a thick polycrystalline silicon layer. These techniques can be used to reduce the junction depth. Their main disadvantage is dopant loss in the surface layer. As an alternative, preamorphization of the Si substrate prior to boron implantation to reduce boron channeling was investigated. The disadvantage of preamorphization is the radiation damage introduced into the Si substrate using the implant. Preamorphization by silicon self-implantation has been studied before. The goal of this study was to test Ge as an alternative amorphizing agent. It was found that good-quality p + -n junctions can be formed by both boron and BF 2 ion implantation into Ge-preamorphized Si provided that the preamorphization conditions are optimized. If the amorphous crystalline interface is sufficiently close to the surface, it is possible to completely remove the end-of-range damage. If these defects are not removed and are left in the depletion region, they can result in poor-quality, leaky junctions

  3. Photoemission studies of amorphous silicon induced by P + ion implantation

    Science.gov (United States)

    Petö, G.; Kanski, J.

    1995-12-01

    An amorphous Si layer was formed on a Si (1 0 0) surface by P + implantation at 80 keV. This layer was investigated by means of photoelectron spectroscopy. The resulting spectra are different from earlier spectra on amorphous Si prepared by e-gun evaporation or cathode sputtering. The differences consist of a decreased intensity in the spectral region corresponding to p-states, and appearace of new states at higher binding energy. Qualitativity similar results have been reported for Sb implanted amorphous Ge and the modification seems to be due to the changed short range order.

  4. High-speed Si/GeSi hetero-structure Electro Absorption Modulator.

    Science.gov (United States)

    Mastronardi, L; Banakar, M; Khokhar, A Z; Hattasan, N; Rutirawut, T; Bucio, T Domínguez; Grabska, K M; Littlejohns, C; Bazin, A; Mashanovich, G; Gardes, F Y

    2018-03-19

    The ever-increasing demand for integrated, low power interconnect systems is pushing the bandwidth density of CMOS photonic devices. Taking advantage of the strong Franz-Keldysh effect in the C and L communication bands, electro-absorption modulators in Ge and GeSi are setting a new standard in terms of device footprint and power consumption for next generation photonics interconnect arrays. In this paper, we present a compact, low power electro-absorption modulator (EAM) Si/GeSi hetero-structure based on an 800 nm SOI overlayer with a modulation bandwidth of 56 GHz. The device design and fabrication tolerant process are presented, followed by the measurement analysis. Eye diagram measurements show a dynamic ER of 5.2 dB at a data rate of 56 Gb/s at 1566 nm, and calculated modulator power is 44 fJ/bit.

  5. Nickel silicide formation in silicon implanted nickel

    Science.gov (United States)

    Rao, Z.; Williams, J. S.; Pogany, A. P.; Sood, D. K.; Collins, G. A.

    1995-04-01

    Nickel silicide formation during the annealing of very high dose (≥4.5×1017 ions/cm2) Si implanted Ni has been investigated, using ion beam analytical techniques, electron microscopy, and x-ray diffraction analysis. An initial amorphous Si-Ni alloy, formed as a result of high dose ion implantation, first crystallized to Ni2Si upon annealing in the temperature region of 200-300 °C. This was followed by the formation of Ni5Si2 in the temperature region of 300-400 °C and then by Ni3Si at 400-600 °C. The Ni3Si layer was found to have an epitaxial relationship with the substrate Ni, which was determined as Ni3Si∥Ni and Ni3Si∥Ni for Ni(100) samples. The minimum channeling yield in the 2 MeV He Rutherford backscattering and channeling spectra of this epitaxial layer improved with higher annealing temperatures up to 600 °C, and reached a best value measured at about 8%. However, the epitaxial Ni3Si dissolved after long time annealing at 600 °C or annealing at higher temperatures to liberate soluble Si into the Ni substrate. The epitaxy is attributed to the excellent lattice match between the Ni3Si and the Ni. The annealing behavior follows the predictions of the Ni-Si phase diagram for this nickel-rich binary system.

  6. Electrochemical doping of mesoporous silicon with Er: the effect of the current intensity

    Energy Technology Data Exchange (ETDEWEB)

    Mula, Guido, E-mail: guido.mula@unica.it [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Pinna, Elisa [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Falqui, Andrea [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Ruffilli, Roberta [Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Palmas, Simonetta; Mascia, Michele [Dipartimento di Ingegneria Meccanica Chimica e dei Materiali, Università degli Studi di Cagliari, Piazza d’Armi, 09126 Cagliari (Italy)

    2014-08-30

    Graphical abstract: - Highlights: • A multidisciplinary approach on porous Si electrochemical Er doping is proposed. • The phenomena taking place at the large developed surface of porous silicon are studied. • Electrochemical, optical and structural characterizations are used. • The early stages of doping are studied by electrochemical impedance spectroscopy. • The dependence of the final amount of Er deposited on the current intensity and not only on the transferred charge is shown. - Abstract: There is an ongoing intense research for cost-effective Er-doped Si-based light-emitting devices at the 1.5 μm wavelength. The efficient electrochemical Er-doping of porous silicon for this purpose requires a good understanding of the phenomena involved, since those taking place at the pores inner surface control the doping process. However, almost no attention has been given, to date, to the relevant effects of the current intensity onto the doping results. In this work, the effect of the current intensity on the doping process is explored by means of electrochemical impedance spectroscopy, optical reflectivity and energy dispersive spectrometry via scanning electron microscopy. The combined analysis of all results strongly suggests that the formation of a gel-like Er ethanolate, unaffected by changes in the sample thickness, occurs from the very first stages of the doping process. Moreover, while for constant current doping processes we show that, under any given doping condition, the doping level is proportional to the transferred charge, we demonstrate that performing the doping process using different current intensities may lead to dramatically different results.

  7. Revisited study of fluorine implantation impact on negative bias temperature instability for input/output device of automotive micro controller unit

    Science.gov (United States)

    Yoshida, Tetsuya; Maekawa, Keiichi; Tsuda, Shibun; Shimizu, Tatsuo; Ogasawara, Makoto; Aono, Hideki; Yamaguchi, Yasuo

    2018-04-01

    We investigate the effect of fluorine implanted in the polycrystalline silicon (poly-Si) gate and source/drain (S/D) region on negative bias temperature instability (NBTI) improvement. It is found that there is a trade-off implantation energy dependence of NBTI between fluorine in the poly-Si gate and that in the S/D region. Fluorine implanted in the poly-Si gate contributes to NBTI improvement under low energy implantation. On the other hand, NBTI is improved by fluorine implanted in the S/D region under high energy. We propose that the two-step implantation process with high and low energy is the optimum condition for NBTI improvement.

  8. Above room temperature ferromagnetism in Si:Mn and TiO(2-delta)Co.

    Science.gov (United States)

    Granovsky, A; Orlov, A; Perov, N; Gan'shina, E; Semisalova, A; Balagurov, L; Kulemanov, I; Sapelkin, A; Rogalev, A; Smekhova, A

    2012-09-01

    We present recent experimental results on the structural, electrical, magnetic, and magneto-optical properties of Mn-implanted Si and Co-doped TiO(2-delta) magnetic oxides. Si wafers, both n- and p-type, with high and low resistivity, were used as the starting materials for implantation with Mn ions at the fluencies up to 5 x 10(16) cm(-2). The saturation magnetization was found to show the lack of any regular dependence on the Si conductivity type, type of impurity and the short post-implantation annealing. According to XMCD Mn impurity in Si does not bear any appreciable magnetic moment at room temperature. The obtained results indicate that above room temperature ferromagnetism in Mn-implanted Si originates not from Mn impurity but rather from structural defects in Si. The TiO(2-delta):Co thin films were deposited on LaAlO3 (001) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2 x 10(-6)-2 x 10(-4) Torr. The obtained transverse Kerr effect spectra at the visible and XMCD spectra indicate on intrinsic room temperature ferromagnetism in TiO(2-delta):Co thin films at low (< 1%) volume fraction of Co.

  9. Isothermal section of the Er-Fe-Al ternary system at 800 oC

    International Nuclear Information System (INIS)

    Jemmali, M.; Walha, S.; Pasturel, M.; Tougait, O.; Ben Hassen, R.; Noel, H.

    2010-01-01

    Physico-chemical analysis techniques, including X-ray diffraction and Scanning Electron Microscope-Energy Dispersive X-ray Spectroscopy, were employed to construct the isothermal section of the Er-Fe-Al system at 800 o C. At this temperature, the phase diagram is characterized by the formation of five intermediate phases, ErFe 12-x Al x with 5 ≤ x ≤ 8 (ThMn 12 -type), ErFe 1+x Al 1-x with -0.2 ≤ x ≤ 0.75 (MgZn 2 -type), ErFe 3-x Al x with 0.5 2 Al-type), Er 2 Fe 17-x Al x with 4.74 ≤ x ≤ 5.7 (TbCu 7 -type) and Er 2 Fe 17-x Al x with 5.7 2 Zn 17 -type), seven extensions of binaries into the ternary system; ErFe x Al 3-x with x 3 Cu-type), ErFe x Al 2-x with x ≤ 0.68 (MgCu 2 -type), Er 2 Fe x Al 1-x with x ≤ 0.25 (Co 2 Si-type), ErFe 2-x Al x with x ≤ 0.5 (MgCu 2 -type), ErFe 3-x Al x with x ≤ 0.5 (Be 3 Nb-type), Er 6 Fe 23-x Al x with x ≤ 8 (Th 6 Mn 23 -type), and Er 2 Fe 17-x Al x with x ≤ 4.75 (Th 2 Ni 17 -type) and one intermetallic compound; the ErFe 2 Al 10 (YbFe 2 Al 10 -type).

  10. Nanostructured Si-substituted hydroxyapatite coatings for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Rau, Julietta V., E-mail: giulietta.rau@ism.cnr.it [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Fosca, Marco [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via del Fosso del Cavaliere, 100-00133 Rome (Italy); Cacciotti, Ilaria [Università di Roma “Tor Vergata”, Dipartimento di Ingegneria Industriale,UR INSTM “Roma Tor Vergata”, Via del Politecnico, 1-00133 Rome (Italy); Laureti, Sara [Istituto di Struttura della Materia, Consiglio Nazionale delle Ricerche, Via Salaria km 29.300-00016 Monterotondo Scalo (RM) (Italy); Bianco, Alessandra [Università di Roma “Tor Vergata”, Dipartimento di Ingegneria Industriale,UR INSTM “Roma Tor Vergata”, Via del Politecnico, 1-00133 Rome (Italy); Teghil, Roberto [Università della Basilicata, Dipartimento di Scienze, Via dell' Ateneo Lucano 10-85100, Potenza (Italy)

    2013-09-30

    In the present work, the Si-HAp coatings were deposited on titanium substrates by Pulsed Laser Deposition technique. For deposition, the Si-HAp targets (1.4 wt.% of Si), produced starting from wet synthesized powders, were used. The properties of coatings were investigated by X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, atomic force microscopy and Vickers microhardness. The obtained Si-HAp coatings presented a nanosized structure, proper thickness and hardness for applications in orthopedical and dental surgery, aimed at improving the stability and the osteointegration of bone implants. - Highlights: ► Pulsed Laser Deposition method was applied to coat heated Titanium supports. ► Films were deposited using a target of Silicon-Hydroxyapatite sintered ceramics. ► Nanostructured crystalline hard film was grown replicating target composition. ► Prepared coating could be used for orthopedic and dental implants applications.

  11. Nanostructured Si-substituted hydroxyapatite coatings for biomedical applications

    International Nuclear Information System (INIS)

    Rau, Julietta V.; Fosca, Marco; Cacciotti, Ilaria; Laureti, Sara; Bianco, Alessandra; Teghil, Roberto

    2013-01-01

    In the present work, the Si-HAp coatings were deposited on titanium substrates by Pulsed Laser Deposition technique. For deposition, the Si-HAp targets (1.4 wt.% of Si), produced starting from wet synthesized powders, were used. The properties of coatings were investigated by X-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy, atomic force microscopy and Vickers microhardness. The obtained Si-HAp coatings presented a nanosized structure, proper thickness and hardness for applications in orthopedical and dental surgery, aimed at improving the stability and the osteointegration of bone implants. - Highlights: ► Pulsed Laser Deposition method was applied to coat heated Titanium supports. ► Films were deposited using a target of Silicon-Hydroxyapatite sintered ceramics. ► Nanostructured crystalline hard film was grown replicating target composition. ► Prepared coating could be used for orthopedic and dental implants applications

  12. Implantation doping of GaN

    International Nuclear Information System (INIS)

    Zolper, J.C.

    1996-01-01

    Ion implantation has played an enabling role in the realization of many high performance photonic and electronic devices in mature semiconductor materials systems such as Si and GaAs. This can also be expected to be the case in III-Nitride based devices as the material quality continues to improve. This paper reviews the progress in ion implantation processing of the III-Nitride materials, namely, GaN, AlN, InN and their alloys. Details are presented of the successful demonstrations of implant isolation as well as n- and p-type implantation doping of GaN. Implant doping has required activation annealing at temperatures in excess of 1,000 C. The nature of the implantation induced damage and its response to annealing is addressed using Rutherford Backscattering. Finally, results are given for the first demonstration of a GaN device fabricated using ion implantation doping, a GaN junction field effect transistor (JFET)

  13. The influence of substrate on the properties of Er2O3 films grown by magnetron sputtering

    International Nuclear Information System (INIS)

    Miritello, M.; Lo Savio, R.; Iacona, F.; Franzo, G.; Bongiorno, C.; Irrera, A.; Priolo, F.

    2006-01-01

    The structural properties and the room temperature luminescence of Er 2 O 3 thin films deposited by RF magnetron sputtering have been studied. Films characterized by good morphological properties have been obtained by using a SiO 2 interlayer between the film and the Si substrate. The evolution of the properties of the Er 2 O 3 films due to rapid thermal annealing processes in O 2 ambient performed at temperatures in the range 800-1200 deg. C has been investigated in details. The existence of well-defined annealing conditions (temperature of 1100 deg. C or higher) allowing to avoid the occurrence of extensive chemical reactions with the oxidized substrate has been demonstrated and an increase of the photoluminescence (PL) intensity by about a factor of 40 with respect to the as deposited material has been observed. The enhanced efficiency of the photon emission process has been correlated with the longer lifetime of the PL signal. The same annealing processes are less effective when Er 2 O 3 is deposited on Si. In this latter case interfacial reactions and pit formation occur, leading to a material characterized by stronger non-radiative phenomena that limit the PL efficiency

  14. SiO 2/SiC interface proved by positron annihilation

    Science.gov (United States)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-06-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2/SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage ( C- V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method.

  15. SiO2/SiC interface proved by positron annihilation

    International Nuclear Information System (INIS)

    Maekawa, M.; Kawasuso, A.; Yoshikawa, M.; Itoh, H.

    2003-01-01

    We have studied positron annihilation in a Silicon carbide (SiC)-metal/oxide/semiconductor (MOS) structure using a monoenergetic positron beam. The Doppler broadening of annihilation quanta were measured as functions of the incident positron energy and the gate bias. Applying negative gate bias, significant increases in S-parameters were observed. This indicates the migration of implanted positrons towards SiO 2 /SiC interface and annihilation at open-volume type defects. The behavior of S-parameters depending on the bias voltage was well correlated with the capacitance-voltage (C-V) characteristics. We observed higher S-parameters and the interfacial trap density in MOS structures fabricated using the dry oxidation method as compared to those by pyrogenic oxidation method

  16. Lattice site of helium implanted in Si and diamond

    International Nuclear Information System (INIS)

    Allen, W.R.

    1993-01-01

    Single crystals of silicon and diamond were implanted at 300K with 70 keV 3 He. Ion channeling analyses were executed by application of Rutherford backscattering spectrometry and nuclear reaction analysis. Helium exhibits a non-random lattice site in the channeling angular distributions for silicon and diamond. A major fraction of the implanted He was qualitatively identified to be near to the tetrahedral interstice in both materials

  17. Optical characterization of luminescent silicon nanocrystals embedded in glass matrices

    Energy Technology Data Exchange (ETDEWEB)

    Debieu, Olivier

    2008-12-16

    Interstellar dust in nebulae and in the Diffuse Interstellar Medium (DISM) of galaxies contains a component which exhibits efficient visible-near infrared luminescence ranging from 500 to 1000 nm, known as Extended Red Emission (ERE). Silicon nanocrystals (nc-Si) are discussed as possible carriers of the ERE. We employed the accelerator facilities of the Institute of Solid State Physics of the University of Jena to implant Si ions into fused silica windows. An excess concentration of silicon atoms is thus produced in the host SiO{sub 2} matrix which, by applying an annealing at 1100 C, condensates to silicon nanoparticles and crystallizes. Although the condensation and crystallization occur after an annealing of one minute,10, 15 the samples were annealed during one hour in order to well-passivate the nc-Si, that means, to reduce effectively the number of Si-dangling bonds at the nc-Si surface that are efficient non-radiative recombination centers. 10, 16 Upon excitation with UV light, most of our nc-Si/SiO{sub 2} samples revealed strong PL. We implanted into our luminescent nc-Si/SiO{sub 2} systems other atomic elements, as for instance magnesium and calcium, which form silicates if their oxide is combined with SiO{sub 2}. The purpose is to simulate the conditions for silicates containing nc-Si. In order to understand the effect of the incorporation of foreign atoms on the PL properties of our nc-Si/SiO{sub 2} systems, we proceeded to similar experiments with Er and Ge. As has been demonstrated by several authors, 17, 18 the presence of nc-Si in a glass matrix enhances considerably the emission of Er{sup 3+} ions at 1.536{mu}m. At the same time, the PL of nc-Si is considerably quenched. Since the solubility of Er in crystalline silicon is about 2 orders of magnitude lower than in SiO{sub 2}, the optically active Er{sup 3+} ions are believed to be localized outside the nc-Si core, demonstrating that ions present in the host SiO{sub 2} matrix influence the PL

  18. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  19. Preparation and characterization of TiO2 and Si-doped octacalcium phosphate composite coatings on zirconia ceramics (Y-TZP) for dental implant applications

    Science.gov (United States)

    Bao, Lei; Liu, Jingxiao; Shi, Fei; Jiang, Yanyan; Liu, Guishan

    2014-01-01

    In order to prevent the low temperature degradation and improve the bioactivity of zirconia ceramic implants, TiO2 and Si-doped octacalcium phosphate composite coating was prepared on zirconia substrate. The preventive effect on low temperature degradation and surface morphology of the TiO2 layer were studied. Meanwhile, the structure and property changes of the bioactive coating after doping Si were discussed. The results indicate that the dense TiO2 layer, in spite of some microcracks, inhibited the direct contact of the water vapor with the sample's surface and thus prevented the low temperature degradation of zirconia substrates. The acceleration aging test shows that the ratio of the monoclinic phase transition decreased from 10% for the original zirconia substrate to 4% for the TiO2-coated substrate. As to the Si-doped octacalcium phosphate coating prepared by biomimetic method, the main phase composition of the coating was octacalcium phosphate. The morphology of the coating was lamellar-like, and the surface was uniform and continuous with no cracks being observed. It is suggested that Si was added into the coating both through substituting for PO43- and doping as NaSiO3.

  20. Mn-implanted, polycrystalline indium tin oxide and indium oxide films

    International Nuclear Information System (INIS)

    Scarlat, Camelia; Vinnichenko, Mykola; Xu Qingyu; Buerger, Danilo; Zhou Shengqiang; Kolitsch, Andreas; Grenzer, Joerg; Helm, Manfred; Schmidt, Heidemarie

    2009-01-01

    Polycrystalline conducting, ca. 250 nm thick indium tin oxide (ITO) and indium oxide (IO) films grown on SiO 2 /Si substrates using reactive magnetron sputtering, have been implanted with 1 and 5 at.% of Mn, followed by annealing in nitrogen or in vacuum. The effect of the post-growth treatment on the structural, electrical, magnetic, and optical properties has been studied. The roughness of implanted films ranges between 3 and 15 nm and XRD measurements revealed a polycrystalline structure. A positive MR has been observed for Mn-implanted and post-annealed ITO and IO films. It has been interpreted by considering s-d exchange. Spectroscopic ellipsometry has been used to prove the existence of midgap electronic states in the Mn-implanted ITO and IO films reducing the transmittance below 80%.

  1. Ion implantation induced structural changes in reactively sputtered Cr-N layers on Si substrates

    International Nuclear Information System (INIS)

    Novakovic, M.; Popovic, M.; Perusko, D.; Milinovic, V.; Radovic, I.; Bibic, N.; Mitric, M.; Milosavljevic, M.

    2007-01-01

    This paper presents a study of the structure and composition of reactively sputtered Cr-N layers as a function of deposition parameters, and the effects of ion implantation on these structures. The layers were deposited on (1 0 0) Si substrates to a thickness of 240-280 nm, at different nitrogen partial pressure, and subsequently irradiated with 120 keV Ar ions. Structural characterisation of the samples was performed with Rutherford backscattering spectroscopy, transmission electron microscopy and X-ray diffraction analysis. We also measured their electrical resistivity with a four point probe. It was found that the layers grow in form of columnar structures, and their composition, Cr 2 N or CrN, strongly depends on the nitrogen partial pressure during deposition. Ion irradiation induces local micro-structural changes, formation of nano-particles and defects, which can be nicely correlated to the measured electrical resistivity

  2. Ion Implantation in Ge: Structural and electrical investigation of the induced lattice damage & Study of the lattice location of implanted impurities

    CERN Document Server

    Decoster, Stefan; Wahl, Ulrich

    The past two decades, germanium has drawn international attention as one of the most promising materials to replace silicon in semiconductor applications. Due to important advantages with respect to Si, such as the increased electron and hole mobility, Ge is well on its way to become an important material in future high-speed integrated circuits. Although the interest in this elemental group IV semiconductor is increasing rapidly nowadays, the number of publications about this material is still relatively scarce, especially when compared to Si. The most widely used technique to dope semiconductors is ion implantation, due to its good control of the dopant concentration and profile, and the isotopic purity of the implanted species. However, there is a major lack of knowledge of the fundamental properties of ion implantation in Ge, which has triggered the research presented in this thesis. One of the most important and generally unwanted properties of ion implantation is the creation of damage to the crystal la...

  3. 紫外应力发光材料SrMgSi2O6∶Ce的制备与光谱性质研究%Ultraviolet Mechanoluminescence from SrMgSi2O6∶ Ce

    Institute of Scientific and Technical Information of China (English)

    付晓燕; 房立均; 付海霞; 张洪武

    2013-01-01

    UV mechanoluminescent (ML) phosphors SrMgSi2O6 ∶ Ce0.005,SrMgSi2O6∶Ce0.005,Er0.015 and Sr2 MgSi2O7∶ Ce0.005,Er0.015 were prepared via solid state reaction.The XRD results indicate that the structure of SrMgSi2O6 is identical to that of Sr2MgSi2O7,which has a tetragonal symmetry with a space group P421m.The emission bands of three samples are similar,which consist of a broad band with two peaks centered at 349 and 371 nm,caused by the f-d electron transition of Ce3+ ions.The ML results show that the ML intensities of these samples are well depended on the stress,indicating that these ML materials can be potentially used as sensors to detect the stress distribution of an object.Furthermore,the ML intensity of SrMgSi2O6∶Ce0.005,Er0.015 is obviously higher than those of SrMgSi2O6∶ Ce0.005 and Sr2MgSi2O7∶Ce0.005,Er0.015.The obtained results suggest that the trap and the worse symmetry of crystal structure are responsible for the higher ML intensity of SrMgSi2O6∶Ce0.005,Er0.015.Because of the UV emission of this material,it can be used as the excitation source to irradiate other color phosphors and then the various colors ML can be realized.%采用固相烧结法制备了3种紫外应力发光材料SrMgSi2O6∶Ce0.005、SrMgSi2O6∶Ce0005,Er0.015和Sr2MgSi2O7∶Ce0.005,Er0015.XRD测试结果表明:SrMgSi2O6与Sr2MgSi2O7具有相同的结构,掺杂离子的加入没有改变相结构.3种样品的荧光发射光谱很类似,均在330~400 nm紫外波段有较宽的发射谱带.应力发光曲线的测试结果表明,样品的应力发光强度与物体受力变化呈良好的对应关系,证明所制备的样品可以用来检测物体的受力情况.同时,研究了共掺杂离子以及改变基质结构对应力发光强度的影响,结果表明发光体中陷阱数目的增加以及基质对称性的降低有利于应力发光的产生.由于所开发的样品波长在紫外区,因而可以作为光源来激发其他颜色的光致发光材料从而实现多颜色应力发光材料的开发.

  4. The Leakage Current Improvement of a Ni-Silicided SiGe/Si Junction Using a Si Cap Layer and the PAI Technique

    International Nuclear Information System (INIS)

    Chang Jian-Guang; Wu Chun-Bo; Ji Xiao-Li; Ma Hao-Wen; Yan Feng; Shi Yi; Zhang Rong

    2012-01-01

    We investigate the leakage current of ultra-shallow Ni-silicided SiGe/Si junctions for 45 nm CMOS technology using a Si cap layer and the pre-amorphization implantation (PAI) process. It is found that with the conventional Ni silicide method, the leakage current of a p + (SiGe)—n(Si) junction is large and attributed to band-to-band tunneling and the generation-recombination process. The two leakage contributors can be suppressed quite effectively when a Si cap layer is added in the Ni silicide method. The leakage reduction is about one order of magnitude and could be associated with the suppression of the agglomeration of the Ni germano-silicide film. In addition, the PAI process after the application of a Si cap layer has little effect on improving the junction leakage but reduces the sheet resistance of the silicide film. As a result, the novel Ni silicide method using a Si cap combined with PAI is a promising choice for SiGe junctions in advanced technology. (cross-disciplinary physics and related areas of science and technology)

  5. Retention and damage in 3C-β SiC irradiated with He and H ions

    Energy Technology Data Exchange (ETDEWEB)

    Deslandes, Alec, E-mail: alec.deslandes@csiro.au [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Guenette, Mathew C. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia); Thomsen, Lars [Australian Synchrotron, 800 Blackburn Road, Clayton, Victoria 3168 (Australia); Ionescu, Mihail; Karatchevtseva, Inna; Lumpkin, Gregory R. [Australian Nuclear Science and Technology Organisation, Locked Bag 2001, Kirrawee DC, New South Wales 2232 (Australia)

    2016-02-15

    3C-β SiC was implanted with He and H ions using plasma immersion ion implantation (PIII). Regions of damage were created at various depths by applying a sample stage bias of 5 kV, 10 kV, 20 kV or 30 kV. Raman spectroscopy results indicate that He irradiation leads to more damage compared to H irradiation, as observed via increased disordered C and Si signals, as well as broadening of the SiC peaks. X-ray photoelectron spectroscopy (XPS) and near edge X-ray absorption fine structure spectroscopy (NEXAFS) results indicate significant change to the SiC structure and that surface oxidation has occurred following irradiation, with the degree of change varying dependent on impinging He fluence. The distributions of implanted species were measured using elastic recoil detection analysis. Despite the varying degree and depth of damage created in the SiC by the He ion irradiations, the retained H distribution was observed to not be affected by preceding He implantation.

  6. Defect formation in oxygen- and boron- implanted MOS structures after gamma irradiation

    CERN Document Server

    Kaschieva, S; Skorupa, W

    2003-01-01

    The effect of gamma irradiation on the interface states of ion-implanted MOS structures is studied by means of the thermally stimulated charge method. 10-keV oxygen- or boron- (O sup + or B sup +) implanted samples are gamma-irradiated with sup 6 sup 0 Co. Gamma irradiation creates electron levels at the SiSiO sub 2 interface of the samples in a different way depending on the type of the previously implanted atoms (O sup + or B sup +). The results demonstrate that the concentration of the shallower levels (in the silicon band gap) of oxygen-implanted samples increases more effectively after gamma irradiation. The same irradiation conditions increase more intensively the concentration of the deeper levels (in the silicon band gap) of boron-implanted samples. (orig.)

  7. Counterdoped very shallow p+/n junctions obtained by B and Sb implantation and codiffusion in Si

    Science.gov (United States)

    Solmi, Sandro

    1998-02-01

    In this article we investigate the B and Sb codiffusion upon postimplantation annealing in order to fabricate very shallow p+/n junctions (⩽70 nm), suitable for a complementary metal-oxide-semiconductor technology with a channel length of 0.18 μm. The junctions are prepared by implanting Sb and subsequently BF2, at a higher dose, in an n-type Si substrate. The preamorphization with Sb avoids the B channeling and increases the n-type doping in the junction region, thus confining the depth of the p layer. Furthermore, both the transient enhanced diffusion, being the B implanted in a preamorphized layer, and the standard diffusion, due to the pairing between donors and acceptors, are strongly reduced. This procedure allows us to obtain very shallow junctions even after annealings with relatively high thermal budget, like 800 °C/8 h or 900 °C/1 h, or 950 °C/10 min or 1000 °C/60 s. We verified that dopant diffusion is strongly affected by a direct donor-acceptor interaction, and that good prediction of the experimental results can only be obtained using a simulation code which takes into account the formation of neutral, near immobile, Sb-B pairs.

  8. New, fast corroding high ductility Mg–Bi–Ca and Mg–Bi–Si alloys, with no clinically observable gas formation in bone implants

    International Nuclear Information System (INIS)

    Remennik, S.; Bartsch, I.; Willbold, E.; Witte, F.; Shechtman, D.

    2011-01-01

    Highlights: ► Biodegradable, biocompatible and highly ductile Mg alloys based on the Mg–Bi system have been produced by rapid solidification and extrusion processes. ► The implants corroded fast within the first 4 weeks after implantation in rabbit bone, but no gas formation has been clinically observed. ► The corrosion rate could be significantly reduced in vitro and in vivo by using high purity magnesium for the alloy production. - Abstract: Current approaches to initial corrosion rate reduction of biodegradable magnesium alloys include alloying with rare earth elements, mechanical processing, coatings and the use of metallic glasses. The latter has limited ductility needed for implant adaptively to various surgery procedures. Furthermore, slow corroding magnesium alloys, coatings or metallic glasses have not proved to be fully dissolvable in vivo. With this in mind, we have developed a new class of biocompatible, biodegradable ductile magnesium alloys with 40% elongation at room temperature. The alloys are based on the Mg–Bi system and undergo a series of production routes, which include rapid solidification (RS) and various extrusion processes. The Mg–Bi–Si (B-BS) system exhibited a high corrosion rates in vitro and was excluded from in vivo screening. In preliminary experiments of Mg–Bi–Ca (B-BX) in rabbit femur bones, the alloy corroded rapidly without any clinically visible gas formation. Only 30% of the B-BX implant remained uncorroded after 4 weeks of implantation. After using low iron Mg for implant preparation the corrosion rate of HP-B-BX was reduced in bone leaving 70% of the implant uncorroded after 4 weeks, while the corrosion in intramuscular and subcutaneous sites were still high leaving only 40% and 10% uncorroded after 4 weeks. The foreign body reaction was very mild and enhanced bone formation could be observed in the vicinity of the corroding implant. Thus, these new magnesium alloys are potentially promising biomaterials

  9. Chemical behavior of energetic deuterium implanted into silicon carbide

    International Nuclear Information System (INIS)

    Iguchi, Kazunari; Morimoto, Yasutomi; Shimada, Asako; Inuduka, Nobuo; Okuno, Kenji; Nakamura, Hirohumi; Nishi, Masataka

    2000-01-01

    Studies on chemical behavior of energetic deuterium (D) ions implanted into SiC were carried out by means of X-ray Photoelectron Spectroscopy (XPS) and Thermal Desorption Spectroscopy (TDS). From XPS results, it was suggested that the implanted D was attracted strongly to Si and/or C. From TDS results, two peaks corresponding to D 2 release appeared near 470 K (1st peak) and 1080 K (2nd peak). The activation energies for the two D 2 release processes were also determined. It was found from these experimental results that the 1st peak seemed to be attributed to deuterium that existed in interstitial. The 2nd peak was considered to be caused by deuterium trapped in lattice defects produced through deuterium ion implantation. (author)

  10. Formation of CrSi2 studied by Rutherford backscattering spectrometry

    International Nuclear Information System (INIS)

    Tobbeche, S.; Benazzouz, C.; Boussaa, N.; Zilabdi, M.; Benouatas, A.; Bouabellou, A.; Halimi, R.

    1994-01-01

    Rutherford backscattering spectrometry (RBS) is used to study the growth of Cr silicides formed by thin film reactions. Thin films of Cr were deposited on phosphorus-implanted silicon and unimplanted silicon substrates. Thermal annealing was subsequently carried out. The analysis has shown a growth of a CrSi 2 phase and allowed the determination of formation kinetics. A retardation effect of the CrSi 2 growth is observed in the case of the phosphorus-implanted silicon substrate. (Author)

  11. Er:YAG laser-assisted hair transplantation in cicatricial alopecia.

    Science.gov (United States)

    Podda, M; Spieth, K; Kaufmann, R

    2000-11-01

    Autologous hair transplantation and its combination with flap or reduction procedures is a common surgical approach to cover defects in cicatricial alopecias. Due to the poor recipient conditions present in scar tissue, it is crucial to minimize the trauma exerted on implantation holes in order to achieve good transplantation results. We sought to evaluate the "cold"-ablative properties of the Er:YAG laser for the generation of recipient holes in cicatricial alopecia. Patients with cicatricial alopecia of diverse etiology were treated with Er:YAG laser-assisted hair transplantation. Mini- or micrografts were inserted into recipient holes ablated with a pulse energy of 900-1200 mJ and a spot size of 1.0-1.6 mm. A fluence of 80-120 J/cm2 and 8-12 pulses gave an almost ideal combination of minimal thermal damage and tissue ablation down to the subcutis. With an apparent mini- and micrograft survival of 95% we achieved good cosmetic results after two to five transplant sessions in all patients. The Er:YAG laser is a novel effective tool to ablate recipient holes for autologous hair transplantation in cicatricial alopecia.

  12. Lithium concentration dependence of implanted helium retention in lithium silicates

    Energy Technology Data Exchange (ETDEWEB)

    Szocs, D.E., E-mail: szocsd@rmki.kfki.h [KFKI Research Institute for Particle and Nuclear Physics, H-1525 Budapest, P.O. Box 49 (Hungary); Szilagyi, E.; Bogdan, Cs.; Kotai, E. [KFKI Research Institute for Particle and Nuclear Physics, H-1525 Budapest, P.O. Box 49 (Hungary); Horvath, Z.E. [Research Institute for Technical Physics and Materials Science, H-1525 Budapest, P.O. Box 49 (Hungary)

    2010-06-15

    Helium ions of 500 keV were implanted with a fluence of 1.4 x 10{sup 17} ion/cm{sup 2} into various lithium silicates to investigate whether a threshold level of helium retention exists in Li-containing silicate ceramics similar to that found in SiO{sub x} in previous work. The composition and phases of the as prepared lithium silicates were determined by proton backscattering spectrometry (p-BS) and X-ray diffraction (XRD) methods with an average error of {+-}10%. Electrostatic charging of the samples was successfully eliminated by wrapping the samples in Al foil. The amounts of the retained helium within the samples were determined by subtracting the non-implanted spectra from the implanted ones. The experimental results show a threshold in helium retention depending on the Li concentration. Under 20 at.% all He is able to escape from the material; at around 30 at.% nearly half of the He, while over 65 at.% all implanted He is retained. With compositions expressed in SiO{sub 2} volume percentages, a trend similar to those reported of SiO{sub x} previously is found.

  13. Microstructural changes in silicon induced by patterning with focused ion beams of Ga, Si and Au

    International Nuclear Information System (INIS)

    Chee, See Wee; Kammler, Martin; Balasubramanian, Prabhu; Reuter, Mark C.; Hull, Robert; Ross, Frances M.

    2013-01-01

    We use focused beams of Ga + , Au + and Si ++ ions to induce local microstructural changes in single crystal silicon. The ions were delivered as single spot pulses into thin Si membranes that could subsequently be imaged and annealed in situ in a transmission electron microscope. For each ion, the focused ion beam implantation created an array of amorphous regions in the crystalline membrane. Annealing causes solid phase epitaxial regrowth to take place, but we show that the resulting microstructure depends on the ion species. For Ga + and Au + , precipitates remain after recrystallization, while for Si ++ , dislocation loops form around the periphery of each implanted spot. We attribute these loops to defects formed during solid phase epitaxial regrowth, with controlled placement of the loops possible. - Highlights: ► Ga + , Au + and Si ++ were implanted into thin membranes of Si. ► Samples were imaged and annealed in situ in a transmission electron microscope. ► Focused ion beam implantation created an array of amorphous spots. ► After recrystallization, precipitates form for Ga + and Au + , dislocation loops for Si ++ . ► Controlled placement of the dislocation loops possible

  14. In Vitro Laser Treatment Platform Construction with Dental Implant Thread Surface on Bacterial Adhesion for Peri-Implantitis

    Directory of Open Access Journals (Sweden)

    Hsien-Nan Kuo

    2017-01-01

    Full Text Available This study constructs a standard in vitro laser treatment platform with dental implant thread surface on bacterial adhesion for peri-implantitis at different tooth positions. The standard clinical adult tooth jaw model was scanned to construct the digital model with 6 mm bone loss depth on behalf of serious peri-implantitis at the incisor, first premolar, and first molar. A cylindrical suite connected to the implant and each tooth root in the jaw model was designed as one experimental unit set to allow the suite to be replaced for individual bacterial adhesion. The digital peri-implantitis and suite models were exported to fulfill the physical model using ABS material in a 3D printer. A 3 mm diameter specimen implant on bacterial adhesion against Escherichia coli was performed for gram-negative bacteria. An Er:YAG laser, working with a chisel type glass tip, was moved from the buccal across the implant thread to the lingual for about 30 seconds per sample to verify the in vitro laser treatment platform. The result showed that the sterilization rate can reach 99.3% and the jaw model was not damaged after laser irradiation testing. This study concluded that using integrated image processing, reverse engineering, CAD system, and a 3D printer to construct a peri-implantitis model replacing the implant on bacterial adhesion and acceptable sterilization rate proved the feasibility of the proposed laser treatment platform.

  15. Surface topography of silicon nitride affects antimicrobial and osseointegrative properties of tibial implants in a murine model.

    Science.gov (United States)

    Ishikawa, Masahiro; de Mesy Bentley, Karen L; McEntire, Bryan J; Bal, B Sonny; Schwarz, Edward M; Xie, Chao

    2017-12-01

    While silicon nitride (Si 3 N 4 ) is an antimicrobial and osseointegrative orthopaedic biomaterial, the contribution of surface topography to these properties is unknown. Using a methicillin-resistant strain of Staphylococcus aureus (MRSA), this study evaluated Si 3 N 4 implants in vitro utilizing scanning electron microscopy (SEM) with colony forming unit (CFU) assays, and later in an established in vivo murine tibia model of implant-associated osteomyelitis. In vitro, the "as-fired" Si 3 N 4 implants displayed significant reductions in adherent bacteria versus machined Si 3 N 4 (2.6 × 10 4 vs. 8.7 × 10 4 CFU, respectively; p SEM imaging demonstrated that MRSA cannot directly adhere to native as-fired Si 3 N 4 . Subsequently, a cross-sectional study was completed in which sterile or MRSA contaminated as-fired and machined Si 3 N 4 implants were inserted into the tibiae of 8-week old female Balb/c mice, and harvested on day 1, 3, 5, 7, 10, or 14 post-operatively for SEM. The findings demonstrated that the antimicrobial activity of the as-fired implants resulted from macrophage clearance of the bacteria during biofilm formation on day 1, followed by osseointegration through the apparent recruitment of mesenchymal stem cells on days 3-5, which differentiated into osteoblasts on days 7-14. In contrast, the antimicrobial behavior of the machined Si 3 N 4 was due to repulsion of the bacteria, a phenomenon that also limited osteogenesis, as host cells were also unable to adhere to the machined surface. Taken together, these results suggest that the in vivo biological behavior of Si 3 N 4 orthopaedic implants is driven by critical features of their surface nanotopography. © 2017 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 105A: 3413-3421, 2017. © 2017 Wiley Periodicals, Inc.

  16. Planar self-aligned ion implanted InP MISFETS for fast logic applications

    International Nuclear Information System (INIS)

    Cameron, D.C.; Irving, L.D.; Whitehouse, C.R.; Woodward, J.; Lee, D.

    1983-01-01

    The first successful use of ion implantation to fabricate truly self-aligned planar n-channel enhancement-mode indium phosphide MISFITS is reported. The transistors have been fabricated on iron-doped semi-insulating material using PECVD-deposited SiO 2 as the gate dielectric and molybdenum gate electrodes. The self-aligned source and drain contact regions were produced by Si 29 ion implantation using each gate stripe as an implant mask. The devices fabricated to date have exhibited channel mobilities up to value of 2400 cm 2 v -1 s -1 , with excellent uniformity and stability of the device characteristics also being observed. (author)

  17. A Role for MEK-Interacting Protein 1 In Hormone Responsiveness of ER Positive Breast Cancer Cells

    Science.gov (United States)

    2011-10-01

    48 hours, ER- positiv e cell lines tran sfected with MP1siRNA (but not control siR NA) rounded up and detached fr om the plate, and trypan blue...phenotype to MCF-7. To quantitate the effect of MP1 knockdown, attached and detached cells were collected at 48 h following siRNA transfection, stained...Immunoblot from a representative experiment. Lower panel: Quantitation of MP1/Actin ratios in three independent experiments (mean ± SD, *pɘ.05). Figure

  18. Electroluminescence properties of Si MOS structures with incorporation of FeSi2 precipitates formed by iron implantation

    International Nuclear Information System (INIS)

    Chow, C.F.; Wong, S.P.; Gao, Y.; Ke, N.; Li, Q.; Cheung, W.Y.; Lourenco, M.A.; Homewood, K.P.

    2005-01-01

    Silicon MOS structures with FeSi 2 precipitates embedded in the MOS active region have been fabricated and the electroluminescence (EL) properties from these FeSi 2 -Si MOS structures were measured as a function of temperature from 80 K to 300 K. Clear EL signals were observed even at room temperature for samples prepared at appropriate processing conditions. The EL spectra consist of two peaks, one attributed to FeSi 2 and the other attributed to Si band edge emission. While the intensity of the FeSi 2 peak showed the usual thermal quenching behavior, the Si band edge emission showed the opposite trend with its intensity increased with increasing temperature. Details of the line shapes and their temperature dependence are analyzed and discussed

  19. Optical and dielectric properties of isothermally crystallized nano-KNbO3 in Er3+-doped K2O-Nb2O5-SiO2 glasses.

    Science.gov (United States)

    Chaliha, Reenamoni Saikia; Annapurna, K; Tarafder, Anal; Tiwari, V S; Gupta, P K; Karmakar, Basudeb

    2010-01-01

    Precursor glass of composition 25K(2)O-25Nb(2)O(5)-50SiO(2) (mol%) doped with Er(2)O(3) (0.5 wt% in excess) was isothermally crystallized at 800 degrees C for 0-100 h to obtain transparent KNbO(3) nanostructured glass-ceramics. XRD, FESEM, TEM, FTIRRS, dielectric constant, refractive index, absorption and fluorescence measurements were carried out to analyze the morphology, dielectric, structure and optical properties of the glass-ceramics. The crystallite size of KNbO(3) estimated from XRD and TEM is found to vary in the range 7-23 nm. A steep rise in the dielectric constant of glass-ceramics with heat-treatment time reveals the formation of ferroelectric nanocrystalline KNbO(3) phase. The measured visible photoluminescence spectra have exhibited green emission transitions of (2)H(11/2), (4)S(3/2)-->(4)I(15/2) upon excitation at 377 nm ((4)I(15/2)-->(4)G(11/2)) absorption band of Er(3+) ions. The near infrared (NIR) emission transition (4)I(13/2)-->(4)I(15/2) is detected around 1550 nm on excitation at 980 nm ((4)I(15/2)-->(4)I(11/2)) of absorption bands of Er(3+) ions. It is observed that photoluminescent intensity at 526 nm ((2)H(11/2)-->(4)I(15/2)), 550 nm ((4)S(3/2)-->(4)I(15/2)) and 1550 nm ((4)I(13/2)-->(4)I(15/2)) initially decrease and then gradually increase with increase in heat-treatment time. The measured lifetime (tau(f)) of the (4)I(13/2)-->(4)I(15/2) transition also possesses a similar trend. The measured absorption and fluorescence spectra reveal that the Er(3+) ions gradually enter into the KNbO(3) nanocrystals. Copyright 2009 Elsevier B.V. All rights reserved.

  20. Preoperative headband assessment for semi-implantable bone conduction hearing devices in conductive hearing loss: is it useful or misleading?

    Science.gov (United States)

    Rainsbury, James W; Williams, Blair A; Gulliver, Mark; Morris, David P

    2015-02-01

    To establish whether preoperative assessment using a conventional, percutaneous bone conducting implant (pBCI) processor on a headband accurately represents postoperative performance of a semi-implantable BCI (siBCI). Retrospective case series. Tertiary otology unit. Five patients with chronic otitis media (implanted unilaterally) and one with bilateral congenital ossicular fixation (implanted bilaterally). Semi-implantable bone conduction hearing implant. Functional hearing gain; preoperative (headband) versus postoperative (aided) speech discrimination; unaided bone conduction (BC) versus postoperative (aided) soundfield threshold. Significant functional gain was seen at all frequencies (one-tailed t test p G 0.01; n = 7). There was a 50 dB improvement in median speech reception threshold (SRT) from 70 dB unaided to 20 dB aided. Compared to the preoperative BC, aided siBCI thresholds were worse at 0.5 kHz, but at frequencies from 1 to 6 kHz, the siBCI closely matched the bone curve ( p G 0.01). The siBCI performed better than both pBCI processors on a headband at 3 to 4 kHz, except 1 kHz ( p G 0.01). BC thresholds may be a better indicator of implant performance than headband assessment. Candidacy assessment for siBCI implantation that relies on headband testing with pBCI processors should be interpreted with caution because the headband may under-represent the implanted device. This seems to be especially true at 3 kHz and above and may make it difficult for surgeons to conduct accurate informed consent discussions with patients about the realistic anticipated outcomes and benefits of the procedure.

  1. The effect of noise on the perception of prosody in cochlear implant listening

    DEFF Research Database (Denmark)

    Morris, David Jackson

    2011-01-01

    ’Du må ikke. Hold op.’ og ’Du må ikke holde op’ består af det samme ord, men semantisk set er de direkte modsætninger. I mundtlig kommunikation er det prosodi som tegner forskellen mellem de to. Cochlear Implant lyttere har det svært ved at opfatte prosodi især i støjende omgivelserne. Mit nuvære...

  2. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  3. The effect of Ni pre-implantation on surface morphology and optical absorption properties of Ag nanoparticles embedded in SiO2

    International Nuclear Information System (INIS)

    Shen, Yanyan; Qi, Ting; Qiao, Yu; Yu, Shengwang; Hei, Hongjun; He, Zhiyong

    2016-01-01

    Graphical abstract: - Highlights: • Ag concentration increased significantly due to the Ni pre-implantation. • Deposition and accumulation process of Ag atoms depends on Ni fluences. • The incorporation of Ni elements in Ag NPs can damp SPR absorption intensity. • AgNi alloy NPs embedded in SiO 2 have been created by sequentially implantation. • Unique SPR absorption with dual peaks centered at 406 nm and 563 nm was observed. - Abstract: The effect of Ni ion fluence on Ag nucleation and particle growth was investigated by sequentially implantation of 60 keV Ni ions at fluences of 1 × 10 16 , 5 × 10 16 , 1 × 10 17 ions/cm 2 and 70 keV Ag ions at a fluence of 5 × 10 16 ions/cm 2 . Due to the modification of the deposition and accumulation process of Ag implants caused by Ni pre-implantation, the surface morphology, structures, and optical absorption properties of the Ag nanoparticles (NPs) depends strongly on the Ni fluences. UV–vis absorption spectroscopy study showed that the introducing of Ni atoms lead to intensity decrease in the Ag SPR band. Remarkable local concentration increase of Ag profiles appeared for the sample pre-implanted by Ni ions of 5.0 × 10 16 ions/cm 2 . In particular, the AgNi alloy NPs with dual absorption peaks centered at 406 nm and 563 nm have been formed after 600 °C annealing in Ar atmosphere. However, at a low fluence of 1.0 × 10 16 ions/cm 2 , only small increase of the local Ag concentration than the Ag ions singly implanted sample can be observed. At a high fluence of 1.0 × 10 17 ions/cm 2 , lots Ag atoms are trapped close to the surface, which result in heavy sputtering loss of Ag atoms and the sublimation of Ag atoms after 600 °C annealing.

  4. Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing

    International Nuclear Information System (INIS)

    Whelan, S.; La Magna, A.; Privitera, V.; Mannino, G.; Italia, M.; Bongiorno, C.; Fortunato, G.; Mariucci, L.

    2003-01-01

    Excimer laser annealing (ELA) of ultra-low-energy (ULE) B-ion implanted Si has been performed. High-resolution transmission electron microscopy has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been investigated as a function of the laser energy density (melted depth), the implant dose, and the number of laser pulses (melt time). The activated and retained dose has been evaluated with spreading resistance profiling and secondary ion mass spectrometry. A significant amount of the implanted dopant was lost from the sample during ELA. However, the dopant that was retained in crystal material was fully activated following rapid resolidification. At an atomic concentration below the thermodynamic limit, the activation efficiency (dose activated/dose implanted into Si material) was a constant for a fixed melt depth, irrespective of the dose implanted and hence the total activated dose was raised as the implant dose was increased. The electrical activation was increased for high laser energy density annealing when the dopant was redistributed over a deeper range

  5. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang; Jiang, Weilin; Liu, Wenbo; Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J.; Li, Tao; He, Chaohui; Yun, Di; Wang, Zhiguang

    2016-12-01

    Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750°C with 120 keV He2+ and 4 MeV Kr15+ ions to E21 and 4E20 ions/m2 with profiles of the implanted species peaked at 450 and 1500 nm, respectively. The masked overlapping irradiation created three study areas of He2+, Kr15+ and He2+ + Kr15+ implanted SiC. The doses at the depth of the peak He concentration in He2+ and He2+ + Kr15+ implanted SiC correspond to 4 and 25 dpa. The sample was subsequently annealed at 1600°C for 3 h in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive x-ray spectroscopy. Compared to the He2+ implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, He presence in the co-implanted 3C-SiC significantly promotes He cavity growth, as contrasted to the smaller voids formed without He in the Kr15+ irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occur, but long-range Kr diffusion in SiC is not observed up to 1600°C.

  6. Vibrational and electronic spectroscopy of ion-implantation-induced defects in fused silica and crystalline quartz

    International Nuclear Information System (INIS)

    Arnold, G.W.

    1978-01-01

    Defects produced by implantation of various atomic species in fused and crystalline SiO 2 were studied using infrared reflection spectroscopy (IRS) with UV-visible spectroscopy. We observe a new vibrational band at 830 cm -1 which is tentatively associated with the creation of two nonbridging O atoms in SiO 4 units. Numerous chemical effects were also observed, including evidence for chemical incorporation of Li and anomalously large O-vacancy production for Al + , B + and Si + implantation

  7. NIMROD Simulations of the HIT-SI and HIT-SI3 Devices

    Science.gov (United States)

    Morgan, Kyle; Jarboe, Tom; Hossack, Aaron; Chandra, Rian; Everson, Chris

    2017-10-01

    The Helicity Injected Torus with Steady Inductive helicity injection (HIT-SI) experiment uses a set of inductively driven helicity injectors to apply non-axisymmetric current drive on the edge of the plasma, driving an axisymmetric spheromak equilibrium in a central confinement volume. Significant improvements have been made to extended MHD modeling of HIT-SI, with both the resolution of disagreement at high injector frequencies in HIT-SI in addition to successes with the new upgraded HIT-SI3 device. Previous numerical studies of HIT-SI, using a zero-beta eMHD model, focused on operations with a drive frequency of 14.5 kHz, and found reduced agreement with both the magnetic profile and current amplification at higher frequencies (30-70 kHz). HIT-SI3 has three helicity injectors which are able to operate with different mode structures of perturbations through the different relative temporal phasing of the injectors. Simulations that allow for pressure gradients have been performed in the parameter regimes of both devices using the NIMROD code and show improved agreement with experimental results, most notably capturing the observed Shafranov-shift due to increased beta observed at higher finj in HIT-SI and the variety of toroidal perturbation spectra available in HIT-SI3. This material is based upon work supported by the U.S. Department of Energy, Office of Science, Office of Fusion Energy Sciences under Award Number DE-FG02- 96ER54361.

  8. Ion implantation and annealing studies in III-V nitrides

    International Nuclear Information System (INIS)

    Zolper, J.C.; Pearton, S.J.

    1996-01-01

    Ion implantation doping and isolation is expected to play an enabling role for the realization of advanced III-Nitride based devices. In fact, implantation has already been used to demonstrate n- and p-type doping of GaN with Si and Mg or Ca, respectively, as well as to fabricate the first GaN junction field effect transistor. Although these initial implantation studies demonstrated the feasibility of this technique for the III-Nitride materials, further work is needed to realize its full potential. After reviewing some of the initial studies in this field, the authors present new results for improved annealing sequences and defect studies in GaN. First, sputtered AlN is shown by electrical characterization of Schottky and Ohmic contacts to be an effect encapsulant of GaN during the 1,100 C implant activation anneal. The AlN suppresses N-loss from the GaN surface and the formation of a degenerate n + -surface region that would prohibit Schottky barrier formation after the implant activation anneal. Second, they examine the nature of the defect generation and annealing sequence following implantation using both Rutherford Backscattering (RBS) and Hall characterization. They show that for a Si-dose of 1 x 10 16 cm -2 50% electrical donor activation is achieved despite a significant amount of residual implantation-induced damage in the material

  9. Maskless nano-implant of 20 keV Ga{sup +} in bulk Si(1 0 0) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Milazzo, R.G., E-mail: gabriella.milazzo@gmail.com [IMM-CNR, Strada VIII 5, Zona Industriale, I-95121 Catania (Italy); D’Arrigo, G.; Mio, A.M.; Rimini, E.; Spinella, C. [IMM-CNR, Strada VIII 5, Zona Industriale, I-95121 Catania (Italy); Peto, L.; Nadzeyka, A.; Bauerdick, S. [Raith GmbH, Konrad-Adenauer-Allee 8, 44263 Dortmund (Germany)

    2014-12-15

    Multidirectional SPEG (Solid Phase Epitaxial Growth) of silicon has been investigated in micro and nanoamorphous structures generated on a crystalline substrate by a nano-sized ion beam, Gaussian shaped and with a standard deviation of about 5 nm. The 20 keV Ga{sup +} ions were implanted at a fluence of 5 × 10{sup 14} ions cm{sup −2} in a bulk Si(1 0 0) single crystal. Two structures were used for the implants: circular regions of 100 nm and 1 μm diameters respectively and straight lines 10 nm in width and few microns in length along (1 0 0) or (1 1 0) directions. The lateral spread of ions has been taken into account in the damage estimation. Transmission Electron Microscopy indicates that the structures are made of an amorphous core surrounded by a defective and filamentary shell. The recovery of the damaged outer regions promptly occurs during the early stages of the thermal treatment at 500–600 °C for all the structures. By prolonging annealing time, re-crystallization of the amorphous cores is achieved too by the movement of the underneath crystal–amorphous interface. The re-growth is almost defects free when the contribution of the crystalline seed below the structures is present, defective and twin mediated if it misses as in the thinnest regions of the specimen.

  10. Studies of light charged particle emission from fission and ER reactions in the system 344 MeV {sup 28}Si+{sup 121}Sb{yields}{sup 149}Tb (E{sup *}=240 MeV)

    Energy Technology Data Exchange (ETDEWEB)

    Kaplan, Morton E-mail: kaplan@cmchem.chem.cmu.edu; Copi, Craig J.; DeYoung, Paul A.; Gilfoyle, G.J.; Karol, Paul J.; Moses, David J.; Parker, W.E.; Rehm, K. Ernst; Sarafa, John; Vardaci, Emanuele

    2001-04-09

    Light charged particles (LCP) have been measured for the reaction 344 MeV {sup 28}Si+{sup 121}Sb in singles and in coincidence with evaporation residues (ER), fusion-fission fragments (FF), and other LCP. A major feature of this experiment was the use of a gas-filled magnetic spectrometer in the forward direction to separate ER from the much more abundant yield of elastically scattered projectiles and projectile-like fragments. The dominant sources of evaporative {sup 1}H and {sup 4}He emission are the ER (approximately 75%), with the remainder being largely associated with fission reactions. For these latter reactions, most of the {sup 1}H and {sup 4}He can be well accounted for by evaporation from the composite system prior to fission and by evaporation from the postfission fragments. LCP emission cross sections were determined for each identified source, and a comparison has been made to previous studies. From this comparison, indications were found for significant entrance channel effects, with the more asymmetric channels exhibiting much larger LCP cross sections. Statistical model predictions for ER emissions are in good agreement with observed LCP energy spectra, angular distributions, and integrated inclusive and exclusive cross sections, with all calculations using the same unique set of model parameters. This result contrasts strongly with recent reports for light mass systems, where model calculations were unable to simultaneously reproduce all observables.

  11. Thermodynamic assessments of the Ag-Er and Er-Y systems

    International Nuclear Information System (INIS)

    Wang, S.L.; Wang, C.P.; Liu, X.J.; Tang, A.T.; Pan, F.S.; Ishida, K.

    2010-01-01

    The phase diagrams and thermodynamic properties in the Ag-Er and Er-Y binary systems have been assessed by using the CALPHAD (Calculation of Phase Diagrams) method on the basis of the experimental data including the thermodynamic properties and phase equilibria. The Gibbs free energies of the liquid, bcc, fcc, and hcp phases were described by the subregular solution model with the Redlich-Kister equation, and those of intermetallic compounds (Ag 2 Er and AgEr phases) were treated as stoichiometric compounds, and Ag 51 Er 14 phase was modeled by the sublattice model in the Ag-Er binary system. The thermodynamic parameters of the Ag-Er and Er-Y binary systems were obtained, and an agreement between the calculated results and experimental data was obtained for each binary system.

  12. Vacancy effects on the formation of He and Kr cavities in 3C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang, E-mail: zanghang@xjtu.edu.cn [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Jiang, Weilin, E-mail: weilin.jiang@pnnl.gov [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Liu, Wenbo [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J. [Pacific Northwest National Laboratory, Richland, WA 99352 (United States); Li, Tao; He, Chaohui; Yun, Di [Department of Nuclear Science and Technology, Xi’an Jiaotong University, Xi’an 710049 (China); Wang, Zhiguang [Institute of Modern Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2016-12-15

    Highlights: • He and Kr cavities are formed in ion-implanted and 1600 °C annealed 3C-SiC. • A higher vacancy concentration leads to formation of cavities with a smaller size and higher density. • Presence of He in irradiated 3C-SiC can significantly promote cavity growth. • Small voids are formed in Kr ion penetrated 3C-SiC during thermal annealing at 1600 °C. • Local Kr migration and trapping at cavities in SiC are observed, but long-range Kr diffusion does not occur at 1600 °C. - Abstract: Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750 °C with 120 keV He{sup 2+} and 4 MeV Kr{sup 15+} ions to 10{sup 17} and 4 × 10{sup 16} cm{sup −2}, respectively. The Kr{sup 15+} ions penetrated the entire depth region of the He{sup 2+} ion implantation. Three areas of He{sup 2+}, Kr{sup 15+} and He{sup 2+} + Kr{sup 15+} ion implanted SiC were created through masked overlapping irradiation. The sample was subsequently annealed at 1600 °C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive X-ray spectroscopy. Compared to the He{sup 2+} ion only implanted SiC, He cavities show a smaller size and higher density in the co-implanted SiC. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promotes cavity growth; much smaller voids are formed in the Kr{sup 15+} ion only irradiated SiC at the same dose. In addition, local Kr migration and trapping at cavities occurs, but long-range Kr diffusion in SiC is not observed up to 1600 °C.

  13. Er:YAG delamination of immersed biological membranes using sealed flexible hollow waveguides

    Science.gov (United States)

    Sagi-Dolev, A. M.; Dror, Jacob; Inberg, Alexandra; Ferencz, J. R.; Croitoru, Nathan I.

    1996-04-01

    The radiation of Er-YAG laser ((lambda) equals 2.94 micrometer) gives selective interaction with tissues. The extinction in soft tissues is only a few micrometers and in hard tissues is of the order of hundreds of micrometers. This makes this type of laser very suitable for treatments in dentistry, orthopedy, or ophthalmology. Because the usual silica fibers are not transmitting the radiation at lambda equals 2.94 micrometer of this laser, many applications cannot be presently performed. Fused silica hollow fibers for Er-YAG radiation were developed in our laboratory and several possible applications in dentistry, orthopedy and ophthalmology were indicated. Hole opening and implantation preparation of teeth were experimented, using Er-YAG laser and hollow plastic waveguide delivery systems. Hole drilling in cow bones was demonstrated for applications in orthopedy. A new procedure of delivering Er-YAG radiation on fibrotic membranes of inner eggshell as a model of the membranes in eyes was developed employing silica hollow waveguides of 0.5 and 0.7 mm ID or a plastic waveguide of 1.0 mm ID. For this purpose waveguides with sealed distal tip were employed to enable us to approach the delivery system through liquid media near to the membrane. This experiment demonstrates the possibility of surgical applications in vitectomy in ophthalmology using Er-YAG laser and silica hollow waveguides.

  14. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  15. Formation of CrSi[sub 2] studied by Rutherford backscattering spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Tobbeche, S.; Benazzouz, C.; Boussaa, N.; Zilabdi, M. (Centre de Developpement des Techniques Nucleaires (CDTN), Algiers (Algeria)); Benouatas, A.; Bouabellou, A.; Halimi, R. (Constantine Univ. (Algeria))

    1994-04-01

    Rutherford backscattering spectrometry (RBS) is used to study the growth of Cr silicides formed by thin film reactions. Thin films of Cr were deposited on phosphorus-implanted silicon and unimplanted silicon substrates. Thermal annealing was subsequently carried out. The analysis has shown a growth of a CrSi[sub 2] phase and allowed the determination of formation kinetics. A retardation effect of the CrSi[sub 2] growth is observed in the case of the phosphorus-implanted silicon substrate. (Author).

  16. Direct synthesis of ultrathin SOI structure by extremely low-energy oxygen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp; Yachida, Gosuke; Inoue, Kodai; Toyohara, Taiga; Nakata, Jyoji [Department of mathematics and physics, Kanagawa University, 2946, Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2016-06-15

    We performed extremely low-energy {sup 16}O{sup +} implantation at 10 keV (R{sub p} ∼ 25 nm) followed by annealing aiming at directly synthesizing an ultrathin Si layer separated by a buried SiO{sub 2} layer in Si(001) substrates, and then investigated feasible condition of recrystallization and stabilization of the superficial Si and the buried oxide layer by significantly low temperature annealing. The elemental compositions were analyzed by Rutherford backscattering (RBS) and secondary ion mass spectroscopy (SIMS). The crystallinity of the superficial Si layer was quantitatively confirmed by ananlyzing RBS-channeling spectra. Cross-sectional morphologies and atomic configurations were observed by transmission electron microscope (TEM). As a result, we succeeded in directly synthesizing an ultrathin single-crystalline silicon layer with ≤20 nm thick separated by a thin buried stoichiometric SiO{sub 2} layer with ≤20 nm thick formed by extremely low-energy {sup 16}O{sup +} implantation followed by surprisingly low temperature annealing at 1050{sup ∘} C.

  17. Energy levels, oscillator strengths, line strengths, and transition probabilities in Si-like ions of La XLIII, Er LIV, Tm LV, and Yb LVI

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Zhan-Bin, E-mail: chenzb008@qq.com [College of Science, National University of Defense Technology, Changsha, Hunan 410073 (China); Ma, Kun [School of Information Engineering, Huangshan University, Huangshan 245041 (China); Wang, Hong-Jian [Chongqing Key Laboratory for Design and Control of Manufacturing Equipment, Chongqing Technology and Business University, Chongqing 40067 (China); Wang, Kai, E-mail: wangkai@hbu.edu.cn [Hebei Key Lab of Optic-electronic Information and Materials, The College of Physics Science and Technology, Hebei University, Baoding 071002 (China); Liu, Xiao-Bin [Department of Physics, Tianshui Normal University, Tianshui 741001 (China); Zeng, Jiao-Long [College of Science, National University of Defense Technology, Changsha, Hunan 410073 (China)

    2017-01-15

    Detailed calculations using the multi-configuration Dirac–Fock (MCDF) method are carried out for the lowest 64 fine-structure levels of the 3s{sup 2}3p{sup 2}, 3s{sup 2}3p3d, 3s3p{sup 3}, 3s3p{sup 2}3d, 3s{sup 2}3d{sup 2}, and 3p{sup 4} configurations in Si-like ions of La XLIII, Er LIV, Tm LV, and Yb LVI. Energies, oscillator strengths, wavelengths, line strengths, and radiative electric dipole transition rates are given for all ions. A parallel calculation using the many-body perturbation theory (MBPT) method is also carried out to assess the present energy levels accuracy. Comparisons are performed between these two sets of energy levels, as well as with other available results, showing that they are in good agreement with each other within 0.5%. These high accuracy results can be used to the modeling and the interpretation of astrophysical objects and fusion plasmas. - Highlights: • Energy levels and E1 transition rates of Si-like ions are presented. • Breit interaction and Quantum Electrodynamics effects are discussed. • Present results should be useful in the astrophysical application and plasma modeling.

  18. Modelling the metal–semiconductor band structure in implanted ohmic contacts to GaN and SiC

    International Nuclear Information System (INIS)

    Pérez-Tomás, A; Fontserè, A; Placidi, M; Jennings, M R; Gammon, P M

    2013-01-01

    Here we present a method to model the metal–semiconductor (M–S) band structure to an implanted ohmic contact to a wide band gap semiconductor (WBG) such as GaN and SiC. The performance and understanding of the M–S contact to a WBG semiconductor is of great importance as it influences the overall performance of a semiconductor device. In this work we explore in a numerical fashion the ohmic contact properties to a WBG semiconductor taking into account the partial ionization of impurities and analysing its dependence on the temperature, the barrier height, the impurity level band energy and carrier concentration. The effect of the M–S Schottky barrier lowering and the Schottky barrier inhomogeneities are discussed. The model is applied to a fabricated ohmic contact to GaN where the M–S band structure can be completely determined. (paper)

  19. Effect of titanium implantation on the mechanical properties of silicon nitride

    International Nuclear Information System (INIS)

    Fujihana, T.; Nishimura, O.; Yabe, K.; Hayashi, H.; Iwaki, M.

    1995-01-01

    A study has been made of the chemical composition and mechanical properties of Ti + -implanted Si 3 N 4 surface layers. Implantation of 48 Ti + was performed with doses ranging from 10 15 to 10 17 ions cm -2 at an energy of 150 keV, and at nearly room temperature. XPS was used to analyze the depth dependence of atomic fraction and chemical bonding states of Ti + -implanted layers. The near-surface hardness was measured by a Vickers hardness tester. The friction and wear properties were measured under unlubricated conditions at room temperature using a pin on disk-plane and a block on wheel-periphery configurations, in which the pin and wheel used were AISI 1045 and ASTM W1-9, respectively. Implanted Ti-atoms formed a gaussian distribution predicted by the range theory. At the average projected range, most of Ti-atoms existed as a metallic state and TiN bonding was also formed. Oxygen and carbon were found near the surface layers. In addition to the surface peak, O-atoms accumulated in front of the average projected range of Ti. Such O-atoms formed bonds of Si-oxides and Ti-oxides. Carbon existed as a graphitic state. With increasing a Ti dose, the near-surface hardness decreased, and the wear rate increased at the running-in stage having the high friction coefficient. The steady wear attributed to the stable friction coefficient appeared after the running-in stage, although such a stable stage was not observed for unimplanted Si 3 N 4 . The mechanism for the change in mechanical properties of Si 3 N 4 induced by Ti + -implantation will be discussed in relation to XPS characteristics

  20. Low Estrogen Receptor (ER)-Positive Breast Cancer and Neoadjuvant Systemic Chemotherapy: Is Response Similar to Typical ER-Positive or ER-Negative Disease?

    Science.gov (United States)

    Landmann, Alessandra; Farrugia, Daniel J; Zhu, Li; Diego, Emilia J; Johnson, Ronald R; Soran, Atilla; Dabbs, David J; Clark, Beth Z; Puhalla, Shannon L; Jankowitz, Rachel C; Brufsky, Adam M; Ahrendt, Gretchen M; McAuliffe, Priscilla F; Bhargava, Rohit

    2018-05-08

    Pathologic complete response (pCR) rate after neoadjuvant chemotherapy was compared between 141 estrogen receptor (ER)-negative (43%), 41 low ER+ (13%), 47 moderate ER+ (14%), and 98 high ER+ (30%) tumors. Human epidermal growth factor receptor 2-positive cases, cases without semiquantitative ER score, and patients treated with neoadjuvant endocrine therapy alone were excluded. The pCR rate of low ER+ tumors was similar to the pCR rate of ER- tumors (37% and 26% for low ER and ER- respectively, P = .1722) but significantly different from the pCR rate of moderately ER+ (11%, P = .0049) and high ER+ tumors (4%, P < .0001). Patients with pCR had an excellent prognosis regardless of the ER status. In patients with residual disease (no pCR), the recurrence and death rate were higher in ER- and low ER+ cases compared with moderate and high ER+ cases. Low ER+ breast cancers are biologically similar to ER- tumors. Semiquantitative ER H-score is an important determinant of response to neoadjuvant chemotherapy.

  1. Study of shallow junction formation by boron-containing cluster ion implantation of silicon and two-stage annealing

    Science.gov (United States)

    Lu, Xin-Ming

    Shallow junction formation made by low energy ion implantation and rapid thermal annealing is facing a major challenge for ULSI (ultra large scale integration) as the line width decreases down to the sub micrometer region. The issues include low beam current, the channeling effect in low energy ion implantation and TED (transient enhanced diffusion) during annealing after ion implantation. In this work, boron containing small cluster ions, such as GeB, SiB and SiB2, was generated by using the SNICS (source of negative ion by cesium sputtering) ion source to implant into Si substrates to form shallow junctions. The use of boron containing cluster ions effectively reduces the boron energy while keeping the energy of the cluster ion beam at a high level. At the same time, it reduces the channeling effect due to amorphization by co-implanted heavy atoms like Ge and Si. Cluster ions have been used to produce 0.65--2keV boron for low energy ion implantation. Two stage annealing, which is a combination of low temperature (550°C) preannealing and high temperature annealing (1000°C), was carried out to anneal the Si sample implanted by GeB, SiBn clusters. The key concept of two-step annealing, that is, the separation of crystal regrowth, point defects removal with dopant activation from dopant diffusion, is discussed in detail. The advantages of the two stage annealing include better lattice structure, better dopant activation and retarded boron diffusion. The junction depth of the two stage annealed GeB sample was only half that of the one-step annealed sample, indicating that TED was suppressed by two stage annealing. Junction depths as small as 30 nm have been achieved by two stage annealing of sample implanted with 5 x 10-4/cm2 of 5 keV GeB at 1000°C for 1 second. The samples were evaluated by SIMS (secondary ion mass spectrometry) profiling, TEM (transmission electron microscopy) and RBS (Rutherford Backscattering Spectrometry)/channeling. Cluster ion implantation

  2. Irradiation effects of Ar cluster ion beams on Si substrates

    International Nuclear Information System (INIS)

    Ishii, Masahiro; Sugahara, Gaku; Takaoka, G.H.; Yamada, Isao

    1993-01-01

    Gas-cluster ion beams can be applied to new surface modification techniques such as surface cleaning, low damage sputtering and shallow junction formation. The effects of energetic Ar cluster impacts on solid surface were studied for cluster energies of 10-30keV. Irradiation effects were studied by RBS. For Si(111) substrates, irradiated with Ar ≥500 clusters to a dose of 1x10 15 ion/cm 2 at acceleration voltage 15kV, 2x10 14 atoms/cm 2 implanted Ar atoms were detected. In this case, the energy per cluster atom was smaller than 30eV; at this energy, no significant implantation occurs in the case of monomer ions. Ar cluster implantation into Si substrates occurred due to the high energy density irradiation. (author)

  3. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  4. SiC/GaN Based Optically Triggered MESFET for High Power Efficiency and High Radiation Resistance Solid State Switch Application for Actuator System

    Science.gov (United States)

    2016-06-23

    designed and nitrogen ion implantation has been performed followed by high temperature annealing . In 2016, the device electrical isolation has been...sputtering physical vapor deposition (PVD). The SiO2 layers were grown onto C-face and Si-face 4H-SiC substrates by different techniques such as wet ...forming the source and drain contact by ion implantation, (ii) the rapid thermal annealing processing, (iii) device isolation by using ion implantation

  5. Vacancy effects on the formation of helium and krypton cavities in 3-C-SiC irradiated and annealed at elevated temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Zang, Hang; Liu, Wenbo; Li, Tao; He, Chaohui; Yun, Di; Jiang, Weilin; Devaraj, Arun; Edwards, Danny J.; Henager, Charles H.; Kurtz, Richard J.; Wang, Zhiguang

    2017-02-27

    Polycrystalline 3C-SiC was sequentially irradiated at 400 and 750°C with 120 keV He2+ and 4 MeV Kr15+ ions to 1017 and 41016 cm-2, respectively. The Kr15+ ions penetrated the entire depth of the He2+ ion implantation region. Three areas of He2+, Kr15+ and He2+ + Kr15+ ion implanted 3C-SiC were created through masked overlapping irradiations. The sample was subsequently annealed at 1600°C in vacuum and characterized using cross-sectional transmission electron microscopy and energy-dispersive x-ray spectroscopy. Compared to the He2+ ion only implanted 3C-SiC, helium cavities in the He2+ and Kr15+ co-implanted 3C-SiC had a smaller size but higher density. At 25 dpa, presence of He in the co-implanted 3C-SiC significantly promoted cavity growth; much smaller voids were formed in the Kr15+ ion only irradiated 3C-SiC at the same dose. In addition, local Kr migration and trapping at cavities occurred, but long-range Kr diffusion in 3C-SiC was not observed up to 1600°C.

  6. Spectroscopic properties of Er3+ and Yb3+ co-doped glass ceramics containing SrF2 nanocrystals

    International Nuclear Information System (INIS)

    Qiao Xvsheng; Fan Xianping; Wang Minquan; Zhang Xianghua

    2009-01-01

    The spectroscopic properties of Er 3+ /Yb 3+ co-doped 50SiO 2 -10Al 2 O 3 -20ZnF 2 -20SrF 2 glass and glass ceramic containing SrF 2 nanocrystals were investigated. The formation of SrF 2 nanocrystals in the glass ceramic was confirmed by XRD. The oscillator strengths for several transitions of the Er 3+ ions in the glass ceramic have been obtained and the Judd-Ofelt parameters were then determined. The XRD result and Judd-Ofelt parameters suggested that Er 3+ and Yb 3+ ions had efficiently enriched in the SrF 2 nanocrystals in the glass ceramic. The lifetime of excited states has been used to reveal the surroundings of luminescent Er 3+ and Yb 3+ and energy transfer (ET) mechanism between Er 3+ and Yb 3+ . Much stronger upconversion luminescence and longer lifetime of the Er 3+ /Yb 3+ co-doped glass ceramic were observed in comparison with the Er 3+ /Yb 3+ co-doped glass, which could be ascribed to more efficient ET from Yb 3+ to Er 3+ due to the enrichment of Yb 3+ and Er 3+ and the shortening of the distance between lanthanide ions in the precipitated SrF 2 nanocrystals.

  7. Metalorganic chemical vapor deposition of Er{sub 2}O{sub 3} thin films: Correlation between growth process and film properties

    Energy Technology Data Exchange (ETDEWEB)

    Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)], E-mail: michelaria.giangregorio@ba.imip.cnr.it; Losurdo, Maria; Sacchetti, Alberto; Capezzuto, Pio; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM sez. Bari, Via Orabona 4, 70125 Bari (Italy)

    2009-02-27

    Er{sub 2}O{sub 3} thin films have been grown by metalorganic chemical vapor deposition (MOCVD) at 600 deg. C on different substrates, including glass, Si (100) and sapphire (0001) using tris(isopropylcyclopentadienyl)erbium and O{sub 2}. The effects of growth parameters such as the substrate, the O{sub 2} plasma activation and the temperature of organometallic precursor injection, on the nucleation/growth kinetics and, consequently, on film properties have been investigated. Specifically, very smooth (111)-oriented Er{sub 2}O{sub 3} thin films (the root mean square roughness is 0.3 nm) are achieved on Si (100), {alpha}-Al{sub 2}O{sub 3} (0001) and amorphous glass by MOCVD. Growth under O{sub 2} remote plasma activation results in an increase in growth rate and in (100)-oriented Er{sub 2}O{sub 3} films with high refractive index and transparency in the visible photon energy range.

  8. Critical issues in the formation of quantum computer test structures by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Lo, C. C.; Weis, C. D.; Schuh, A.; Persaud, A.; Bokor, J.

    2009-04-06

    The formation of quantum computer test structures in silicon by ion implantation enables the characterization of spin readout mechanisms with ensembles of dopant atoms and the development of single atom devices. We briefly review recent results in the characterization of spin dependent transport and single ion doping and then discuss the diffusion and segregation behaviour of phosphorus, antimony and bismuth ions from low fluence, low energy implantations as characterized through depth profiling by secondary ion mass spectrometry (SIMS). Both phosphorus and bismuth are found to segregate to the SiO2/Si interface during activation anneals, while antimony diffusion is found to be minimal. An effect of the ion charge state on the range of antimony ions, 121Sb25+, in SiO2/Si is also discussed.

  9. Armet, a UPR-upregulated protein, inhibits cell proliferation and ER stress-induced cell death

    International Nuclear Information System (INIS)

    Apostolou, Andria; Shen Yuxian; Liang Yan; Luo Jun; Fang Shengyun

    2008-01-01

    The accumulation of misfolded proteins in the endoplasmic reticulum (ER) causes ER stress that initiates the unfolded protein response (UPR). UPR activates both adaptive and apoptotic pathways, which contribute differently to disease pathogenesis. To further understand the functional mechanisms of UPR, we identified 12 commonly UPR-upregulated genes by expression microarray analysis. Here, we describe characterization of Armet/MANF, one of the 12 genes whose function was not clear. We demonstrated that the Armet/MANF protein was upregulated by various forms of ER stress in several cell lines as well as by cerebral ischemia of rat. Armet/MANF was localized in the ER and Golgi and was also a secreted protein. Silencing Armet/MANF by siRNA oligos in HeLa cells rendered cells more susceptible to ER stress-induced death, but surprisingly increased cell proliferation and reduced cell size. Overexpression of Armet/MANF inhibited cell proliferation and improved cell viability under glucose-free conditions and tunicamycin treatment. Based on its inhibitory properties for both proliferation and cell death we have demonstrated, Armet is, thus, a novel secreted mediator of the adaptive pathway of UPR

  10. Mechanical Properties and Real-Time Damage Evaluations of Environmental Barrier Coated SiC/SiC CMCs Subjected to Tensile Loading Under Thermal Gradients

    Science.gov (United States)

    Appleby, Matthew; Zhu, Dongming; Morscher, Gregory

    2015-01-01

    SiC/SiC ceramic matrix composites (CMCs) require new state-of-the art environmental barrier coatings (EBCs) to withstand increased temperature requirements and high velocity combustion corrosive combustion gasses. The present work compares the response of coated and uncoated SiC/SiC CMC substrates subjected to simulated engine environments followed by high temperature mechanical testing to asses retained properties and damage mechanisms. Our focus is to explore the capabilities of electrical resistance (ER) measurements as an NDE technique for testing of retained properties under combined high heat-flux and mechanical loading conditions. Furthermore, Acoustic Emission (AE) measurements and Digital Image Correlation (DIC) were performed to determine material damage onset and accumulation.

  11. Optimization of the GaAs et GaAs/Si annealing using halogen lamp flashes

    International Nuclear Information System (INIS)

    Blanck, H.

    1989-01-01

    The aim of the work is to check whether the flash annealing of GaAs and GaAs/Si, using halogen lamps, allows an improvement in the results obtained by usual methods. The electrical activation, defects behavior and results uniformity are studied. The results on the activation and diffusion of implanted impurities are shown to be equivalent to those obtained with classical annealing methods. However, residual impurities (or defects) diffusion phenomena are restrained by the flash annealing technique. The Hall effect cartographic measurements showed an improvement of the uniformity of the implanted coating surface resistance. Flash annealing is a suitable method for the Si activation in GaAs. It allows an improvement of the GaAs results obtained with standard techniques, as well as the formation, by means of ion implantation, of active zones in the GaAs/Si layers [fr

  12. Hvis en kartoffel er forkert, hvad er en Mars-bar så?

    DEFF Research Database (Denmark)

    Lichtenstein, Mia Beck; Thomsen, Freja; Hinze, Cecilie

    2016-01-01

    Danske unge er de slankeste af 41 europæiske unge, men de føler sig ofte tykke og går på slankekur. Hvad er årsagen, og hvorfor er det et problem?......Danske unge er de slankeste af 41 europæiske unge, men de føler sig ofte tykke og går på slankekur. Hvad er årsagen, og hvorfor er det et problem?...

  13. Microstructures of group III-nitrides after implantation with gallium

    International Nuclear Information System (INIS)

    Kench, P.J.

    2001-05-01

    High doses of gallium have been implanted into layers of aluminium nitride (AIN), indium nitride (InN) and amorphous silicon nitride (a-SiN x ) in an attempt to bond gallium with nitrogen and form binary or ternary alloys. The microstructure of the resultant layers have been characterised using, principally, transmission electron microscopy and X-ray photoelectron spectroscopy. The implantation of a high dose of Ga ions into AIN was successful in synthesising a GaN/GaAlN compound. The resultant layers were largely uniform but contained aluminium precipitates near the surface. These precipitates were pure Al and were most common in the region associated with the maximum Ga concentration. Deconvolution of X-ray photoelectron spectroscopy peaks indicated that Ga existed in a number of chemical states, including the nitride. Electron diffraction patterns from the implanted layers were closely indexed to both AIN and GaN. A further N implant was used to reduce the concentration of the aluminium precipitates and increase the concentration of GaN bonds. The yield of Ga-N bonds dramatically increased and a reduction in the concentration of Al precipitates was observed. Laser and thermal annealing was performed on the implanted AIN substrates. The near surface regions of the implanted specimens appeared to free of precipitates and bubbles. Laser annealing did have a noticeable effect on the electrical and optical properties of the layers. After laser annealing the conductivity of the Ga implanted layer was lower, indicating that the quality of the material had improved. PL measurements showed that a new PL peak at 2.6 eV appeared after laser annealing. It has been found that implanting InN with gallium can yield Ga-N bonds. However, Ga implants into InN were not as successful at synthesising GaN compounds as those by implanting Ga into AIN, due to the low thermal stability of InN. The implanted InN layers were very irregular and contained large indium precipitates and

  14. A novel approach to secondary defect reduction in separation by implantation of oxygen (SIMOX) material

    Energy Technology Data Exchange (ETDEWEB)

    Ellingboe, S.L.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The formation of a buried SiO{sub 2} layer in Si for increased radiation hardness, dielectric isolation, and/or higher operating speeds in Si devices has been studied extensively. In the present report, a novel method for improving the final defect structure of SIMOX material is demonstrated for the first time. The concept of ion-beam defect-engineering (IBDE) introduced by Wang et al has been utilised. If defects are introduced at a depth R{sub 1} by irradiation with energetic ions into samples which were previously damaged at a depth R{sub 2}, it is possible to alter the properties of the defects at R{sub 2}, reduce or eliminate damage at R{sub 2}, and/or create gettering sites for defects at R{sub 1} . To elucidate the mechanisms responsible for the secondary defect reduction in annealed SIMOX material, unannealed samples were implanted with Si ions at various energies, while keeping the nuclear energy deposition constant at two depths. It was observed that after annealing, even greater changes in the defect structure are evident. It has been demonstrated that pre-anneal Si irradiation in O-implanted Si can reduce secondary defect formation. Both the depth and amount of damage created are crucial to the success of the Si implantation. 5 refs., 1 tab., 2 figs.

  15. A novel approach to secondary defect reduction in separation by implantation of oxygen (SIMOX) material

    Energy Technology Data Exchange (ETDEWEB)

    Ellingboe, S L; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The formation of a buried SiO{sub 2} layer in Si for increased radiation hardness, dielectric isolation, and/or higher operating speeds in Si devices has been studied extensively. In the present report, a novel method for improving the final defect structure of SIMOX material is demonstrated for the first time. The concept of ion-beam defect-engineering (IBDE) introduced by Wang et al has been utilised. If defects are introduced at a depth R{sub 1} by irradiation with energetic ions into samples which were previously damaged at a depth R{sub 2}, it is possible to alter the properties of the defects at R{sub 2}, reduce or eliminate damage at R{sub 2}, and/or create gettering sites for defects at R{sub 1} . To elucidate the mechanisms responsible for the secondary defect reduction in annealed SIMOX material, unannealed samples were implanted with Si ions at various energies, while keeping the nuclear energy deposition constant at two depths. It was observed that after annealing, even greater changes in the defect structure are evident. It has been demonstrated that pre-anneal Si irradiation in O-implanted Si can reduce secondary defect formation. Both the depth and amount of damage created are crucial to the success of the Si implantation. 5 refs., 1 tab., 2 figs.

  16. Photonic band edge assisted spontaneous emission enhancement from all Er3+ 1-D photonic band gap structure

    Science.gov (United States)

    Chiasera, A.; Meroni, C.; Varas, S.; Valligatla, S.; Scotognella, F.; Boucher, Y. G.; Lukowiak, A.; Zur, L.; Righini, G. C.; Ferrari, M.

    2018-06-01

    All Er3+ doped dielectric 1-D Photonic Band Gap Structure was fabricated by rf-sputtering technique. The structure was constituted by of twenty pairs of SiO2/TiO2 alternated layers doped with Er3+ ions. The scanning electron microscopy was used to check the morphology of the structure. Transmission measurements put in evidence the stop band in the range 1500 nm-1950 nm. The photoluminescence measurements were obtained by optically exciting the sample and detecting the emitted light in the 1.5 μm region at different detection angles. Luminescence spectra and luminescence decay curves put in evidence that the presence of the stop band modify the emission features of the Er3+ ions.

  17. Study of crystal damage by ion implantation using micro RBS/channeling

    International Nuclear Information System (INIS)

    Grambole, D.; Herrmann, F.; Heera, V.; Meijer, J.

    2007-01-01

    The combination of microbeam implantation and in-situ micro RBS/channeling analysis in the Rossendorf nuclear microprobe facility enables crystal damage studies with high current densities not achievable in standard ion implantation experiments. Si(1 0 0) samples were implanted with 600 keV Si + ions and a fluence of 1 x 10 16 cm -2 . Using a beam spot of 200 μm x 200 μm current densities from 4 to 120 μA/cm 2 were obtained. The substrate temperature was varied between RT and 265 deg. C. The implanted regions were subsequently analysed by micro RBS/channeling with a 3 MeV He + beam having a spot size of 50 μm x 50 μm. Crystal damage up to amorphisation was observed in dependence on the substrate temperature. Above a critical temperature T C no amorphisation occurs. T C was determined for each series of samples implanted with the same ion current density j. It was found that the empirical Arrhenius relation j ∼ exp(-E a /kT C ), known from standard implantation experiments, is also valid at high current densities. The observed Arrhenius law can be derived from a model of epitaxial crystallisation stimulated by defect diffusion

  18. Correlation between room temperature luminescence and energy-transfer in Er–Au co-implanted silica

    Energy Technology Data Exchange (ETDEWEB)

    Cesca, T., E-mail: tiziana.cesca@unipd.it [Department of Physics and Astronomy and CNISM, via Marzolo 8, I-35131 Padova, University of Padova (Italy); Kalinic, B.; Maurizio, C.; Scian, C. [Department of Physics and Astronomy and CNISM, via Marzolo 8, I-35131 Padova, University of Padova (Italy); Trave, E.; Battaglin, G. [Department of Molecular Sciences and Nanosystems, Dorsoduro 2137, I-30123 Venice, Ca’ Foscari University of Venice (Italy); Mazzoldi, P.; Mattei, G. [Department of Physics and Astronomy and CNISM, via Marzolo 8, I-35131 Padova, University of Padova (Italy)

    2015-11-01

    We report on the room temperature photoluminescence characterization in the visible and near-infrared range of Er–Au co-implanted silica systems as a function of the annealing temperature. Besides the characteristic Er{sup 3+} emission at 1540 nm, the samples exhibit luminescence bands in the wavelength region 600–1400 nm related to the formation of ultra-small Au{sub N} aggregates with a number of atoms N less than 50 atoms. In particular, the correlation between such Au{sub N}-related luminescence and the enhancement of the Er{sup 3+} emission was investigated and an anti-correlation between the Er{sup 3+} luminescence at 1540 nm and an Au{sub N}-related band at 980 nm was revealed that represents a possible path for the energy-transfer from Au{sub N} nanoclusters to Er{sup 3+} ions, giving rise to the Er{sup 3+} sensitized emission.

  19. Positron annihilation in SiO 2-Si studied by a pulsed slow positron beam

    Science.gov (United States)

    Suzuki, R.; Ohdaira, T.; Uedono, A.; Kobayashi, Y.

    2002-06-01

    Positron and positronium (Ps) behavior in SiO 2-Si have been studied by means of positron annihilation lifetime spectroscopy (PALS) and age-momentum correlation (AMOC) spectroscopy with a pulsed slow positron beam. The PALS study of SiO 2-Si samples, which were prepared by a dry-oxygen thermal process, revealed that the positrons implanted in the Si substrate and diffused back to the interface do not contribute to the ortho-Ps long-lived component, and the lifetime spectrum of the interface has at least two components. From the AMOC study, the momentum distribution of the ortho-Ps pick-off annihilation in SiO 2, which shows broader momentum distribution than that of crystalline Si, was found to be almost the same as that of free positron annihilation in SiO 2. A varied interface model was proposed to interpret the results of the metal-oxide-semiconductor (MOS) experiments. The narrow momentum distribution found in the n-type MOS with a negative gate bias voltage could be attributed to Ps formation and rapid spin exchange in the SiO 2-Si interface. We have developed a two-dimensional positron lifetime technique, which measures annihilation time and pulse height of the scintillation gamma-ray detector for each event. Using this technique, the positronium behavior in a porous SiO 2 film, grown by a sputtering method, has been studied.

  20. Targeted siRNA Screens Identify ER-to-Mitochondrial Calcium Exchange in Autophagy and Mitophagy Responses in RPE1 Cells

    Directory of Open Access Journals (Sweden)

    Thomas D. B. MacVicar

    2015-06-01

    Full Text Available Autophagy is an important stress response pathway responsible for the removal and recycling of damaged or redundant cytosolic constituents. Mitochondrial damage triggers selective mitochondrial autophagy (mitophagy, mediated by a variety of response factors including the Pink1/Parkin system. Using human retinal pigment epithelial cells stably expressing autophagy and mitophagy reporters, we have conducted parallel screens of regulators of endoplasmic reticulum (ER and mitochondrial morphology and function contributing to starvation-induced autophagy and damage-induced mitophagy. These screens identified the ER chaperone and Ca2+ flux modulator, sigma non-opioid intracellular receptor 1 (SIGMAR1, as a regulator of autophagosome expansion during starvation. Screens also identified phosphatidyl ethanolamine methyl transferase (PEMT and the IP3-receptors (IP3Rs as mediators of Parkin-induced mitophagy. Further experiments suggested that IP3R-mediated transfer of Ca2+ from the ER lumen to the mitochondrial matrix via the mitochondrial Ca2+ uniporter (MCU primes mitochondria for mitophagy. Importantly, recruitment of Parkin to damaged mitochondria did not require IP3R-mediated ER-to-mitochondrial Ca2+ transfer, but mitochondrial clustering downstream of Parkin recruitment was impaired, suggesting involvement of regulators of mitochondrial dynamics and/or transport. Our data suggest that Ca2+ flux between ER and mitochondria at presumed ER/mitochondrial contact sites is needed both for starvation-induced autophagy and for Parkin-mediated mitophagy, further highlighting the importance of inter-organellar communication for effective cellular homeostasis.