WorldWideScience

Sample records for epitaxy mbe grown

  1. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  2. Accelerated carrier recombination by grain boundary/edge defects in MBE grown transition metal dichalcogenides

    Science.gov (United States)

    Chen, Ke; Roy, Anupam; Rai, Amritesh; Movva, Hema C. P.; Meng, Xianghai; He, Feng; Banerjee, Sanjay K.; Wang, Yaguo

    2018-05-01

    Defect-carrier interaction in transition metal dichalcogenides (TMDs) plays important roles in carrier relaxation dynamics and carrier transport, which determines the performance of electronic devices. With femtosecond laser time-resolved spectroscopy, we investigated the effect of grain boundary/edge defects on the ultrafast dynamics of photoexcited carrier in molecular beam epitaxy (MBE)-grown MoTe2 and MoSe2. We found that, comparing with exfoliated samples, the carrier recombination rate in MBE-grown samples accelerates by about 50 times. We attribute this striking difference to the existence of abundant grain boundary/edge defects in MBE-grown samples, which can serve as effective recombination centers for the photoexcited carriers. We also observed coherent acoustic phonons in both exfoliated and MBE-grown MoTe2, indicating strong electron-phonon coupling in this materials. Our measured sound velocity agrees well with the previously reported result of theoretical calculation. Our findings provide a useful reference for the fundamental parameters: carrier lifetime and sound velocity and reveal the undiscovered carrier recombination effect of grain boundary/edge defects, both of which will facilitate the defect engineering in TMD materials for high speed opto-electronics.

  3. Accelerated carrier recombination by grain boundary/edge defects in MBE grown transition metal dichalcogenides

    Directory of Open Access Journals (Sweden)

    Ke Chen

    2018-05-01

    Full Text Available Defect-carrier interaction in transition metal dichalcogenides (TMDs plays important roles in carrier relaxation dynamics and carrier transport, which determines the performance of electronic devices. With femtosecond laser time-resolved spectroscopy, we investigated the effect of grain boundary/edge defects on the ultrafast dynamics of photoexcited carrier in molecular beam epitaxy (MBE-grown MoTe2 and MoSe2. We found that, comparing with exfoliated samples, the carrier recombination rate in MBE-grown samples accelerates by about 50 times. We attribute this striking difference to the existence of abundant grain boundary/edge defects in MBE-grown samples, which can serve as effective recombination centers for the photoexcited carriers. We also observed coherent acoustic phonons in both exfoliated and MBE-grown MoTe2, indicating strong electron-phonon coupling in this materials. Our measured sound velocity agrees well with the previously reported result of theoretical calculation. Our findings provide a useful reference for the fundamental parameters: carrier lifetime and sound velocity and reveal the undiscovered carrier recombination effect of grain boundary/edge defects, both of which will facilitate the defect engineering in TMD materials for high speed opto-electronics.

  4. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  5. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  6. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  7. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  8. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  9. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  10. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  11. Dynamical x-ray diffraction studies of interfacial strain in superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vandenberg, J.M.; Chu, S.N.G.; Hamm, R.A.; Panish, M.B.; Ritter, D.; Mancrander, A.T.

    1992-01-01

    This paper reports on dynamical X-ray diffraction studies that have been carried out for lattice-matched InGaAs/InP superlattices grown by modified molecular beam epitaxy (MBE) techniques. The (400) X-ray satellite pattern, which is predominantly affected by the strain modulation, was analyzed. The strain and thickness of the actual layers including the presence of strained interfacial regions were determined

  12. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  13. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  14. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  15. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  16. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  18. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  19. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  20. Effect of BST film thickness on the performance of tunable interdigital capacitors grown by MBE

    Science.gov (United States)

    Meyers, Cedric J. G.; Freeze, Christopher R.; Stemmer, Susanne; York, Robert A.

    2017-12-01

    Voltage-tunable, interdigital capacitors (IDCs) were fabricated on Ba0.29Sr0.71TiO3 grown by hybrid molecular beam epitaxy (MBE). In this growth technique, we utilize the metal-organic precursor titanium tetraisopropoxide rather than solid-source Ti as with conventional MBE. Two samples of varying BaxSr(1-x)TiO3 (BST) thicknesses were fabricated and analyzed. High-quality, epitaxial Pt electrodes were deposited by sputtering from a high-purity Pt target at 825 °C. The Pt electrodes were patterned and etched by argon ion milling, passivated with reactively sputtered SiO2, and then metallized with lift-off Ti/Au. The fabricated devices consisted of two-port IDCs embedded in ground-signal-ground, coplanar waveguide (CPW) transmission lines to enable radio-frequency (RF) probing. The sample included open and thru de-embedding structures to remove pad and CPW parasitic impedances. Two-port RF scattering (S) parameters were measured from 100 MHz to 40 GHz while DC bias was stepped from 0 V to 100 V. The IDCs exhibit a high zero-bias radio-frequency (RF) quality factor (Q) approaching 200 at 1 GHz and better than 2.3:1 capacitance tuning for the 300-nm-thick sample. Differences in the Q(V) and C(V) response with varying thicknesses indicate that unknown higher order material phenomena are contributing to the loss and tuning characteristics of the material.

  1. Deep traps at GaAs/GaAs interface grown by MBE-interruption growth technique

    International Nuclear Information System (INIS)

    Kaniewska, M.; Engstroem, O.

    2007-01-01

    Electron trapping centers at the GaAs/GaAs interface grown by molecular beam epitaxy (MBE)-interruption growth technique have been studied by capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS). Two main electron traps have been revealed with activation energies at 0.16 eV and 0.52 eV from the conduction band. Inhomogeneous spatial distributions of the traps, obtained by DLTS profiling, indicate that they are agglomerated at the interrupted interface on a concentration level of (2-3) x 10 15 cm -3 at their maximum. Their behaviour is typical of acceptor-like traps when investigating by C-V profiling as a function of temperature. Based on a comparison made with electron traps in MBE-GaAs as well as with the traps in InAs/GaAs quantum dot/quantum well (QD/QW) structures, we conclude they are the well-known EL10 and EL4 defects, respectively, and their concentrations are growth condition dependent. They may be point defect-impurity complexes. Their presence may cause interpretation and application problems of the low-dimensional InAs/GaAs structures

  2. Deep traps at GaAs/GaAs interface grown by MBE-interruption growth technique

    Energy Technology Data Exchange (ETDEWEB)

    Kaniewska, M. [Department of Analysis of Semicoductor Nanostructures, Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)], E-mail: kaniew@ite.waw.pl; Engstroem, O. [Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Goeteborg (Sweden)

    2007-09-15

    Electron trapping centers at the GaAs/GaAs interface grown by molecular beam epitaxy (MBE)-interruption growth technique have been studied by capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS). Two main electron traps have been revealed with activation energies at 0.16 eV and 0.52 eV from the conduction band. Inhomogeneous spatial distributions of the traps, obtained by DLTS profiling, indicate that they are agglomerated at the interrupted interface on a concentration level of (2-3) x 10{sup 15} cm{sup -3} at their maximum. Their behaviour is typical of acceptor-like traps when investigating by C-V profiling as a function of temperature. Based on a comparison made with electron traps in MBE-GaAs as well as with the traps in InAs/GaAs quantum dot/quantum well (QD/QW) structures, we conclude they are the well-known EL10 and EL4 defects, respectively, and their concentrations are growth condition dependent. They may be point defect-impurity complexes. Their presence may cause interpretation and application problems of the low-dimensional InAs/GaAs structures.

  3. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  5. A new MBE CdTe photoconductor array detector for X-ray applications

    International Nuclear Information System (INIS)

    Yoo, S.S.; Sivananthan, S.; Faurie, J.P.; Rodricks, B.; Bai, J.; Montano, P.A.; Argonne National Lab., IL

    1994-10-01

    A CdTe photoconductor array x-ray detector was grown using Molecular Beam Epitaxially (MBE) on a Si (100) substrate. The temporal response of the photoconductor arrays is as fast as 21 psec risetime and 38 psec Full Width Half Maximum (FWHM). Spatial and energy responses were obtained using x-rays from a rotating anode and synchrotron radiation source. The spatial resolution of the photoconductor was good enough to provide 75 microm FWHM using a 50 microm synchrotron x-ray beam. A substantial number of x-ray photons are absorbed effectively within the MBE CdTe layer as observed from the linear response up to 15 keV. These results demonstrate that MBE grown CdTe is a suitable choice of the detector materials to meet the requirements for x-ray detectors in particular for the new high brightness synchrotron sources

  6. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  7. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  8. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  9. Kinetics of self-assembled InN quantum dots grown on Si (111) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Roul, Basanta; Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Sinha, Neeraj; Kalghatgi, A. T.; Krupanidhi, S. B.

    2011-01-01

    One of the scientific challenges of growing InN quantum dots (QDs), using Molecular beam epitaxy (MBE), is to understand the fundamental processes that control the morphology and distribution of QDs. A systematic manipulation of the morphology, optical emission, and structural properties of InN/Si (111) QDs is demonstrated by changing the growth kinetics parameters such as flux rate and growth time. Due to the large lattice mismatch, between InN and Si (∼8%), the dots formed from the Strannski–Krastanow (S–K) growth mode are dislocated. Despite the variations in strain (residual) and the shape, both the dot size and pair separation distribution show the scaling behavior. We observed that the distribution of dot sizes, for samples grown under varying conditions, follow the scaling function.

  10. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  11. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  12. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  13. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  14. Superconducting proximity effect in MBE grown Nb-InAs junctions

    Science.gov (United States)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  15. MBE-grown semiconductor nanostructures with electronic and photonic confinement

    DEFF Research Database (Denmark)

    Jensen, Jacob Riis

    In this thesis the realization of semiconductor nanostructures in the InAlGaAs material system with molecular beam epitaxy (MBE) is described, as well as the characterization of their optical properties. First, the growth conditions used for different materials and surfaces are given, and the gen...... a microcavity is measured and analysed, where a good qualitative agreement with theories for Rayleigh scattering is found, ant he so-called polariton bottleneck is observed....... well is also taken into account, which is demonstrated in a microcavity with a reduced light-matter interaction. For the polariton with the lowest eigenenergy, it is shown that the probability for scattering on lattice vibrations or free carriers is reduced. Finally, the secondary emission from...

  16. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  17. Characterization of MBE-grown InAlN/GaN heterostructure valence band offsets with varying In composition

    Energy Technology Data Exchange (ETDEWEB)

    Jiao, Wenyuan, E-mail: wyjiaonju@gmail.com; Kong, Wei; Li, Jincheng; Kim, Tong-Ho; Brown, April S. [Department of Electrical and Computer Engineering, Duke University, Durham, NC, 27708 (United States); Collar, Kristen [Department of Physics, Duke University, Durham, NC, 27708 (United States); Losurdo, Maria [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy)

    2016-03-15

    Angle-resolved X-ray photoelectron spectroscopy (XPS) is used in this work to experimentally determine the valence band offsets of molecular beam epitaxy (MBE)-grown InAlN/GaN heterostructures with varying indium composition. We find that the internal electric field resulting from polarization must be taken into account when analyzing the XPS data. Valence band offsets of 0.12 eV for In{sub 0.18}Al{sub 0.82}N, 0.15 eV for In{sub 0.17}Al{sub 0.83}N, and 0.23 eV for In{sub 0.098}Al{sub 0.902}N with GaN are obtained. The results show that a compositional-depended bowing parameter is needed in order to estimate the valence band energies of InAlN as a function of composition in relation to those of the binary endpoints, AlN and InN.

  18. Characterization of MBE-grown InAlN/GaN heterostructure valence band offsets with varying In composition

    Directory of Open Access Journals (Sweden)

    Wenyuan Jiao

    2016-03-01

    Full Text Available Angle-resolved X-ray photoelectron spectroscopy (XPS is used in this work to experimentally determine the valence band offsets of molecular beam epitaxy (MBE-grown InAlN/GaN heterostructures with varying indium composition. We find that the internal electric field resulting from polarization must be taken into account when analyzing the XPS data. Valence band offsets of 0.12 eV for In0.18Al0.82N, 0.15 eV for In0.17Al0.83N, and 0.23 eV for In0.098Al0.902N with GaN are obtained. The results show that a compositional-depended bowing parameter is needed in order to estimate the valence band energies of InAlN as a function of composition in relation to those of the binary endpoints, AlN and InN.

  19. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  20. Composition of MBE-grown iron oxide films

    NARCIS (Netherlands)

    Voogt, F.C; Hibma, T; Smulders, P.J M; Niesen, L

    A wide range of iron oxides have been grown epitaxially on MgO(100) substrates using a dual beam technique in which the deposited iron is oxidised by a beam of NO2 particles. At high fluxes magnetite (Fe3-deltaO4) phases with compositions between near-stoichiometric magnetite (Fe3O4, delta = 0) and

  1. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  2. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  3. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  4. Recent Advances on p-Type III-Nitride Nanowires by Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Songrui Zhao

    2017-09-01

    Full Text Available p-Type doping represents a key step towards III-nitride (InN, GaN, AlN optoelectronic devices. In the past, tremendous efforts have been devoted to obtaining high quality p-type III-nitrides, and extraordinary progress has been made in both materials and device aspects. In this article, we intend to discuss a small portion of these processes, focusing on the molecular beam epitaxy (MBE-grown p-type InN and AlN—two bottleneck material systems that limit the development of III-nitride near-infrared and deep ultraviolet (UV optoelectronic devices. We will show that by using MBE-grown nanowire structures, the long-lasting p-type doping challenges of InN and AlN can be largely addressed. New aspects of MBE growth of III-nitride nanostructures are also discussed.

  5. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  6. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  7. n-VO{sub 2}/p-GaN based nitride–oxide heterostructure with various thickness of VO{sub 2} layer grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Minhuan [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Bian, Jiming, E-mail: jmbian@dlut.edu.cn [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Sun, Hongjun; Liu, Weifeng [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Zhang, Yuzhi [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Luo, Yingmin [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China)

    2016-12-15

    Graphical abstract: The significant influences of VO{sub 2} layer thickness on the structural, electrical and contact properties of the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure were investigated systemically. - Highlights: • High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). • A distinct reversible SMT phase transition was observed for the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure. • The clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer. • The XPS analyses confirmed the valence state of V in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. • The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure devices will benefit significantly from these achievements. - Abstract: High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO{sub 2} layer thickness on the SMT properties of the as-grown n-VO{sub 2}/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure

  8. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  9. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  10. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  11. A low-energy ion source for p-type doping in MBE

    International Nuclear Information System (INIS)

    Park, R.M.; Stanley, C.R.; Clampitt, R.

    1980-01-01

    A compact low-energy ion cell has been developed for use as a source of acceptor impurities for the growth of p-type semiconductor material in ultra-high vacuum by molecular beam epitaxy. A flux of either zinc or cadmium atoms is emitted under molecular effusion conditions and partially ionised in the orifice of the cell by electron bombardment. The design provides for control of both the ion energy and current at constant cell temperature. (100)InP has been grown by MBE in a flux of 1 keV Zn ions. The surface morphology and crystal structure show no degradation when compared with (100)InP grown without the Zn ions present. (author)

  12. Thermoelectric power of Bi and Bi{sub 1{minus}x}Sb{sub x} alloy thin films and superlattices grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Cho, S; DiVenere, A; Wong, G K; Ketterson, J B; Meyer, J R; Hoffman, C A

    1997-07-01

    The authors have measured the thermoelectric power (TEP) of MBE-grown epitaxial Bi and Bi{sub 1{minus}x} alloy thin films and superlattices as a function of temperature in the range 20--300 K. They have observed that the TEP of a Bi thin film of 1 {micro}m thickness is in good agreement with the bulk single crystal value and that the TEPs for superlattices with 400 {angstrom} and 800 {angstrom} Bi well thicknesses are enhanced over the bulk values. For x = 0.072 and 0.088 in Bi{sub 1{minus}x}Sb{sub x} thin films showing semiconducting behavior, TEP enhancement was observed by a factor of two. However as Bi or Bi{sub 1{minus}x}Sb{sub x} well thickness decreases in superlattice geometry, the TEP decreases, which may be due to unintentional p-type doping.

  13. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  14. Specific features of NH3 and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    International Nuclear Information System (INIS)

    Alexeev, A. N.; Krasovitsky, D. M.; Petrov, S. I.; Chaly, V. P.; Mamaev, V. V.; Sidorov, V. G.

    2015-01-01

    The specific features of how nitride HEMT heterostructures are produced by NH 3 and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10 8 −1 × 10 9 cm −2 . The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH 3 -MBE with an extremely high ammonia flux are demonstrated

  15. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  16. Recent progress in MBE grown HgCdTe materials and devices at UWA

    Science.gov (United States)

    Gu, R.; Lei, W.; Antoszewski, J.; Madni, I.; Umana-Menbreno, G.; Faraone, L.

    2016-05-01

    HgCdTe has dominated the high performance end of the IR detector market for decades. At present, the fabrication costs of HgCdTe based advanced infrared devices is relatively high, due to the low yield associated with lattice matched CdZnTe substrates and a complicated cooling system. One approach to ease this problem is to use a cost effective alternative substrate, such as Si or GaAs. Recently, GaSb has emerged as a new alternative with better lattice matching. In addition, implementation of MBE-grown unipolar n-type/barrier/n-type detector structures in the HgCdTe material system has been recently proposed and studied intensively to enhance the detector operating temperature. The unipolar nBn photodetector structure can be used to substantially reduce dark current and noise without impeding photocurrent flow. In this paper, recent progress in MBE growth of HgCdTe infrared material at the University of Western Australia (UWA) is reported, including MBE growth of HgCdTe on GaSb alternative substrates and growth of HgCdTe nBn structures.

  17. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  18. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  19. MBE growth of VCSELs for high volume applications

    Science.gov (United States)

    Jäger, Roland; Riedl, Michael C.

    2011-05-01

    Mass market applications like laser computer mouse or optical data transmission based on vertical-cavity surface-emitting laser (VCSEL) chips need a high over all yield including epitaxy, processing, dicing, mounting and testing. One yield limitation for VCSEL structures is the emission wavelength variation of the substrate surface area leading to the fraction on laser chips which are below or above the specification limits. For most 850 nm VCSEL products a resonator wavelength variation of ±2 nm is common. This represents an average resonator thickness variation of much less than 1% which is quite challenging to be fulfilled on the entire processed wafer surface area. A high over all yield is demonstrated on MBE grown VCSEL structures.

  20. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  1. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  2. High Al-content AlxGa1-xN epilayers grown on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A.SH.; Thahab, S.M.; Hassan, Z.; Chin, C.W.; Abu Hassan, H.; Ng, S.S.

    2009-01-01

    The microstructure and optical properties of Al x Ga 1-x N/GaN/AlN films on Si (1 1 1) substrate grown by plasma-assisted molecular beam epitaxy (MBE) have been studied and investigated. Reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), energy dispersive X-ray spectroscopy (EDS) line analysis and photoluminescence (PL) were used to investigate a reconstruction pattern, cross-section, mole fraction and crystalline quality of the heterostructure. By applying the Vegard's law, a high Al-mole fraction of Al x Ga 1-x N sample with value of 0.43 has been obtained and compared with EDS line analysis measurement value. PL spectrum has exhibited a sharp and intense band edge emission of GaN with the absence of yellow emission band, indicating good crystal quality of the Al x Ga 1-x N has been successfully grown on Si substrate.

  3. Self-assembled InAs quantum dots formed by molecular beam epitaxy at low temperature and postgrowth annealing

    NARCIS (Netherlands)

    Zhan, H.H.; Nötzel, R.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2003-01-01

    Self-assembled InAs quantum dots are grown at low temperature (LT) by molecular beam epitaxy (MBE) on GaAs substrates. The growth is in situ monitored by reflection high-energy electron diffraction, and ex situ evaluated by atomic force microscopy for the morphological properties, and by

  4. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  5. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  6. Effect of Al mole fraction on structural and electrical properties of AlxGa1-xN/GaN heterostructures grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A. SH.; Hassan, Z.; Thahab, S.M.; Ng, S.S.; Hassan, H. Abu; Chin, C.W.

    2011-01-01

    The effect of Al mole fractions on the structural and electrical properties of Al x Ga 1-x N/GaN thin films grown by plasma-assisted molecular beam epitaxy (PA-MBE) on Si (1 1 1) substrates has been investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage (I-V) measurements. X-ray results revealed that the AlGaN/GaN/AlN was epitaxially grown on Si substrate. By applying Vegard's law, the Al mole fractions of Al x Ga 1-x N samples were found to be 0.11, 0.24, 0.30 and 0.43, respectively. The structural and morphology results indicated that there is a relatively larger tensile strain for the sample with the smallest Al mole fraction; while a smaller compressive strain and larger grain size appear with Al mole fraction equal to 0.30. The strain gets relaxed with the highest Al mole fraction sample. Finally, the linear relationship between the barrier height and Al mole fraction was obtained.

  7. Fabrication and in-situ STM investigation of growth dynamics of semiconductor nanostructures grown by MBE

    International Nuclear Information System (INIS)

    Borisova, Svetlana

    2012-01-01

    Modern development of information technologies requires an introduction of new fundamental concepts, in order to create more efficient devices and to decrease their size. One of the most promising ways is to increase the functionality of silicon by integrating novel materials into Si-based production. This PhD thesis reports on the fabrication and investigation of the growth of semiconductor nanostructures on Si substrates by molecular beam epitaxy (MBE). In-situ scanning tunneling microscopy (STM) is a powerful technique in order to study morphological and electronic properties of the grown structures directly under ultra high vacuum (UHV) conditions. It is shown that the combination of MBE and in-situ STM enables the study of nucleation and growth dynamics at the atomic scale. It provides us with numerous information concerning the nucleation mechanism, the growth mode of the structures, adatom kinetics, influence of the lattice mismatch between the substrate and the grown structure as well as formation and morphology of crystal defects. The first part of the thesis focuses on the experimental realization based upon an existing setup. The construction of an in-situ UHV STM compatible with the MBE cluster and the technical improvement of the STM setup are described. Subsequently, test measurements are performed on the technologically most important surfaces, Ge (100) and Si (111). The second part of the thesis is dedicated to ordered small-period arrays of self-assembled Ge quantum dots (QDs) grown on pre-patterned Si (100) substrates. Small-period Ge QD crystals are highly interesting since band structure calculations indicate coupled electronic states of the QDs in the case of the small lateral period of approximately 30 nm. Small-period hole patterns with a period of 56 nm are fabricated by e-beam lithography on Si substrates. The evolution of the hole morphology during the in-situ pre-growth annealing and the Si buffer layer growth are studied. Deposition of 5

  8. Fabrication and in-situ STM investigation of growth dynamics of semiconductor nanostructures grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Borisova, Svetlana

    2012-05-23

    Modern development of information technologies requires an introduction of new fundamental concepts, in order to create more efficient devices and to decrease their size. One of the most promising ways is to increase the functionality of silicon by integrating novel materials into Si-based production. This PhD thesis reports on the fabrication and investigation of the growth of semiconductor nanostructures on Si substrates by molecular beam epitaxy (MBE). In-situ scanning tunneling microscopy (STM) is a powerful technique in order to study morphological and electronic properties of the grown structures directly under ultra high vacuum (UHV) conditions. It is shown that the combination of MBE and in-situ STM enables the study of nucleation and growth dynamics at the atomic scale. It provides us with numerous information concerning the nucleation mechanism, the growth mode of the structures, adatom kinetics, influence of the lattice mismatch between the substrate and the grown structure as well as formation and morphology of crystal defects. The first part of the thesis focuses on the experimental realization based upon an existing setup. The construction of an in-situ UHV STM compatible with the MBE cluster and the technical improvement of the STM setup are described. Subsequently, test measurements are performed on the technologically most important surfaces, Ge (100) and Si (111). The second part of the thesis is dedicated to ordered small-period arrays of self-assembled Ge quantum dots (QDs) grown on pre-patterned Si (100) substrates. Small-period Ge QD crystals are highly interesting since band structure calculations indicate coupled electronic states of the QDs in the case of the small lateral period of approximately 30 nm. Small-period hole patterns with a period of 56 nm are fabricated by e-beam lithography on Si substrates. The evolution of the hole morphology during the in-situ pre-growth annealing and the Si buffer layer growth are studied. Deposition of 5

  9. Superconductivity in MBE grown InN

    Energy Technology Data Exchange (ETDEWEB)

    Gunes, M.; Balkan, N. [School of Computer Science and Electronic Engineering, University of Essex, Wivenhoe Park, CO4 3SQ, Colchester (United Kingdom); Tiras, E.; Ardali, S. [Department of Physics, Faculty of Science, Anadolu University, Yunus Emre Campus, 26470, Eskisehir (Turkey); Ajagunna, A.O.; Iliopoulos, E.; Georgakilas, A. [Microelectronics Research Group, IESL, FORTH and Physics Department, University of Crete, P.O. Box 1385, 71110 Heraklion, Crete (Greece)

    2011-05-15

    We present the experimental investigation of superconductivity in unintentionally doped MBE grown InN samples with various InN film thicknesses. A significant change in resistivity was observed at 3.82 K, for an 1080 nm InN layer with carrier concentration n{sub 3D}=1.185x10{sup 19} cm{sup -3}. However, no significant resistance change was observed in the case of InN samples with carrier density of 1.024x10{sup 19} cm{sup -3}, 1.38x10{sup 19} cm{sup -3}, and thicknesses of 2070 and 4700 nm, respectively. The carrier density of all investigated samples was within the range of values between the Mott transition (2x10{sup 17} cm{sup -3}) and the superconductivity to metal transition (7x10{sup 20} cm{sup -3}). We believe that at lower temperatures ({sup 3}He) which we cannot achieve with our set-up, the phase transition in other samples is likely to be observed. The origin of the observed anisotropic type-II superconductivity is discussed (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  11. Specific features of NH{sub 3} and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Alexeev, A. N. [NTO ZAO (Russian Federation); Krasovitsky, D. M. [Svetlana-Rost ZAO (Russian Federation); Petrov, S. I., E-mail: petrov@semiteq.ru [NTO ZAO (Russian Federation); Chaly, V. P.; Mamaev, V. V. [Svetlana-Rost ZAO (Russian Federation); Sidorov, V. G. [St. Petersburg State Polytechnic University (Russian Federation)

    2015-01-15

    The specific features of how nitride HEMT heterostructures are produced by NH{sub 3} and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10{sup 8}−1 × 10{sup 9} cm{sup −2}. The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH{sub 3}-MBE with an extremely high ammonia flux are demonstrated.

  12. Phase transition of bismuth telluride thin films grown by MBE

    DEFF Research Database (Denmark)

    Fülöp, Attila; Song, Yuxin; Charpentier, Sophie

    2014-01-01

    A previously unreported phase transition between Bi2Te3 and Bi4Te3 in bismuth telluride grown by molecular beam epitaxy is recorded via XRD, AFM, and SIMS observations. This transition is found to be related to the Te/Bi beam equivalent pressure (BEP) ratio. BEP ratios below 17 favor the formation...

  13. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  14. Photoluminescence characteristics of InAs quantum dots grown by STM/MBE site-control technique

    Energy Technology Data Exchange (ETDEWEB)

    Nishikawa, S.; Kohmoto, S.; Nakamura, H.; Ishikawa, T.; Asakawa, K.; Wada, O. [Femtosecond Technology Research Association, Tsukuba, Ibaraki (Japan). FESTA Lab.

    2001-03-08

    This paper describes micro-photoluminescence (PL) analysis of site-controlled QDs (SCQDs) grown using a novel in-situ MBE growth technique in which sites of self-assembled InAs QDs are controlled by forming nanometer deposits using a scanning tunneling microscope (STM) probe. We found from the temperature dependence of PL that the carrier collection at QDs at low temperature is limited by carrier diffusion in the wetting layer. The analysis of PL data considering this effect has indicated that individual QDs grown have high crystalline quality in spite of the addition of an artificial STM process during growth. (orig.)

  15. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  16. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  17. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  18. Carrier concentration dependence of donor activation energy in n-type GaN epilayers grown on Si (1 1 1) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Bhat, Thirumaleshwara N.; Roul, Basanta; Rajpalke, Mohana K.; Kalghatgi, A.T.; Krupanidhi, S.B.

    2012-01-01

    Highlights: ► The n-type GaN layers were grown by plasma-assisted molecular beam epitaxy. ► The optical characteristics of a donor level in Si-doped GaN were studied. ► Activation energy of a Si-related donor was estimated from temperature dependent PL measurements. ► PL peak positions, FWHM of PL and activation energies are found to be proportional to the cube root of carrier density. ► The involvement of donor levels is supported by the temperature-dependent electron concentration measurements. -- Abstract: The n-type GaN layers were grown by plasma-assisted MBE and either intentionally doped with Si or unintentionally doped. The optical characteristics of a donor level in Si-doped, GaN were studied in terms of photoluminescence (PL) spectroscopy as a function of electron concentration. Temperature dependent PL measurements allowed us to estimate the activation energy of a Si-related donor from temperature-induced decay of PL intensity. PL peak positions, full width at half maximum of PL and activation energies are found to be proportional to the cube root of carrier density. The involvement of donor levels is supported by the temperature-dependent electron concentration measurements.

  19. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  20. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    KAUST Repository

    Young, E. C.; Grandjean, N.; Mates, T. E.; Speck, J. S.

    2016-01-01

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼1012 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 1018 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.

  1. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    KAUST Repository

    Young, E. C.

    2016-11-23

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼1012 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 1018 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.

  2. Analysis of MBE-grown II-VI hetero-interfaces and quantum-dots by Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bass, Utz

    2012-10-16

    The material system of interest in this thesis are II-VI-semiconductors. The first part of this thesis focuses on the formation of self-assembled CdSe-based quantum dots (QD) on ZnSe. The lattice constants of ZnSe and CdSe differ as much as about 7% and therefore a CdSe layer grown on top of ZnSe experiences a huge strain. The aspired strain relief constitutes in the self-assembly of QDs (i.e. a roughened layer structure). Additionally, this QD layer is intermixed with Zn as this is also a possibility to decrease the strain in the layer. For CdSe on ZnSe, in Molecular Beam Epitaxy (MBE), various QD growth procedures were analysed with respect to the resulting Cd-content of the non-stoichiometric ternary (Zn,Cd)Se. The evaluation was performed by Raman Spectroscopy as the phonon frequency depends on the Cd-content. The second part of the thesis emphasis on the interface properties of n-ZnSe on n-GaAs. Different growth start procedures of the ZnSe epilayer may lead to different interface configurations with characteristic band-offsets and carrier depletion layer widths. The analysis is mainly focused on the individual depletion layer widths in the GaAs and ZnSe. This non-destructive analysis is performed by evaluating the Raman signal which comprises of phonon scattering from the depleted regions and coupled plasmon-phonon scattering from regions with free carriers.

  3. Analysis of MBE-grown II-VI hetero-interfaces and quantum-dots by Raman spectroscopy

    International Nuclear Information System (INIS)

    Bass, Utz

    2012-01-01

    The material system of interest in this thesis are II-VI-semiconductors. The first part of this thesis focuses on the formation of self-assembled CdSe-based quantum dots (QD) on ZnSe. The lattice constants of ZnSe and CdSe differ as much as about 7% and therefore a CdSe layer grown on top of ZnSe experiences a huge strain. The aspired strain relief constitutes in the self-assembly of QDs (i.e. a roughened layer structure). Additionally, this QD layer is intermixed with Zn as this is also a possibility to decrease the strain in the layer. For CdSe on ZnSe, in Molecular Beam Epitaxy (MBE), various QD growth procedures were analysed with respect to the resulting Cd-content of the non-stoichiometric ternary (Zn,Cd)Se. The evaluation was performed by Raman Spectroscopy as the phonon frequency depends on the Cd-content. The second part of the thesis emphasis on the interface properties of n-ZnSe on n-GaAs. Different growth start procedures of the ZnSe epilayer may lead to different interface configurations with characteristic band-offsets and carrier depletion layer widths. The analysis is mainly focused on the individual depletion layer widths in the GaAs and ZnSe. This non-destructive analysis is performed by evaluating the Raman signal which comprises of phonon scattering from the depleted regions and coupled plasmon-phonon scattering from regions with free carriers.

  4. Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2015-11-15

    Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.

  5. Passivation of MBE grown InGaSb/InAs superlattice photodiodes

    Science.gov (United States)

    Hill, Cory J.; Keo, Sam S.; Mumolo, Jason M.; Gunapala, Sarath D.

    2005-01-01

    We have performed wet chemical passivation tests on InGaSb/InAs superlattice photodiode structures grown molecular beam epitaxy. The details of the devices growth and characterization as well as the results of chemical passivation involving RuCl3 and H2SO4 with SiO2 dielectric depositions are presented.

  6. Structural, optical, and hydrogenation properties of ZnO nanowall networks grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Su, S.C.; Lu, Y.M.; Zhang, Z.Z.; Li, B.H.; Shen, D.Z.; Yao, B.; Zhang, J.Y.; Zhao, D.X.; Fan, X.W.

    2008-01-01

    ZnO nanowall networks were grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy (P-MBE) without using catalysts. Scanning electronic microscopy (FE-SEM) confirmed the formation of nanowalls with a thickness of about 10-20 nm. X-ray diffraction (XRD) showed that the ZnO nanowall networks were crystallized in a wurtzite structure with their height parallel to the direction. Photoluminescence (PL) of the ZnO nanowall networks exhibited free excitons (FEs), donor-bound exciton (D 0 X), donor-acceptor pair (DAP), and free exciton to acceptor (FA) emissions. The growth mechanism of the ZnO nanowall networks was discussed, and their hydrogenation was also studied

  7. Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-12-01

    Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.

  8. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  9. Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.

    2007-01-01

    In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model

  10. Influence of V/III growth flux ratio on trap states in m-plane GaN grown by ammonia-based molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Z.; Arehart, A. R.; Hurni, C. A.; Speck, J. S.; Ringel, S. A.

    2012-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) were utilized to investigate the behavior of deep states in m-plane, n-type GaN grown by ammonia-based molecular beam epitaxy (NH 3 -MBE) as a function of systematically varied V/III growth flux ratios. Levels were detected at E C - 0.14 eV, E C - 0.21 eV, E C - 0.26 eV, E C - 0.62 eV, E C - 0.67 eV, E C - 2.65 eV, and E C - 3.31 eV, with the concentrations of several traps exhibiting systematic dependencies on V/III ratio. The DLTS spectra are dominated by traps at E C - 0.14 eV and E C - 0.67 eV, whose concentrations decreased monotonically with increasing V/III ratio and decreasing oxygen impurity concentration, and by a trap at E C - 0.21 eV that revealed no dependence of its concentration on growth conditions, suggestive of different physical origins. Higher concentrations of deeper trap states detected by DLOS with activation energies of E C - 2.65 eV and E C - 3.31 eV in each sample did not display measureable sensitivity to the intentionally varied V/III ratio, necessitating further study on reducing these deep traps through growth optimization for maximizing material quality of NH 3 -MBE grown m-plane GaN.

  11. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Sheng-Yao, E-mail: shenghu2729@yahoo.com [Department of Digital Technology Design, Tungfang Design Institute, Hunei, Kaohsiung 82941, Taiwan (China); Chou, Wu-Ching [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Weng, Yu-Hsiang [Department of Electrical Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan (China)

    2015-07-05

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents.

  12. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hu, Sheng-Yao; Chou, Wu-Ching; Weng, Yu-Hsiang

    2015-01-01

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents

  13. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  14. X-ray magnetic spectroscopy of MBE-grown Mn-doped Bi2Se3 thin films

    Directory of Open Access Journals (Sweden)

    L. J. Collins-McIntyre

    2014-12-01

    Full Text Available We report the growth of Mn-doped Bi2Se3 thin films by molecular beam epitaxy (MBE, investigated by x-ray diffraction (XRD, atomic force microscopy (AFM, SQUID magnetometry and x-ray magnetic circular dichroism (XMCD. Epitaxial films were deposited on c-plane sapphire substrates by co-evaporation. The films exhibit a spiral growth mechanism typical of this material class, as revealed by AFM. The XRD measurements demonstrate a good crystalline structure which is retained upon doping up to ∼7.5 atomic-% Mn, determined by Rutherford backscattering spectrometry (RBS, and show no evidence of the formation of parasitic phases. However an increasing interstitial incorporation of Mn is observed with increasing doping concentration. A magnetic moment of 5.1 μB/Mn is obtained from bulk-sensitive SQUID measurements, and a much lower moment of 1.6 μB/Mn from surface-sensitive XMCD. At ∼2.5 K, XMCD at the Mn L2,3 edge, reveals short-range magnetic order in the films and indicates ferromagnetic order below 1.5 K.

  15. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  16. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    Science.gov (United States)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  17. The investigation of Al0.29Ga0.71N/GaN/AlN and AlN/GaN/AlN thin films grown on Si (111) by RF plasma-assisted MBE

    Science.gov (United States)

    Yusoff, Mohd Zaki Mohd; Mahyuddin, Azzafeerah; Hassan, Zainuriah; Hassan, Haslan Abu; Abdullah, Mat Johar

    2012-06-01

    Recently, gallium nitride (GaN) and its related compounds involving Al and In have attracted much attention because of their potential to be used as high-efficiency UV light emitting devices, and as high frequency and high power electronic devices. Consequently, the growth and physics of GaN-based materials have attracted remarkable scientific attention. In this work, the growth and characterization of epitaxial Al0.29Ga0.71N and AlN layers grown on Si (111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. For AlN/GaN/AlN sample, the maximum Raman intensity at 521.53 cm-1 is attributed to crystalline silicon. It was found that the allowed Raman optical phonon mode of GaN, the E1 (high) is clearly visible, which is located at 570.74 cm-1. Photoluminscence (PL) spectrums of both samples have shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing good crystal quality of the samples have been successfully grown on Si substrate.

  18. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  19. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  20. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  1. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  2. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  3. MBE growth of few-layer 2H-MoTe2 on 3D substrates

    Science.gov (United States)

    Vishwanath, Suresh; Sundar, Aditya; Liu, Xinyu; Azcatl, Angelica; Lochocki, Edward; Woll, Arthur R.; Rouvimov, Sergei; Hwang, Wan Sik; Lu, Ning; Peng, Xin; Lien, Huai-Hsun; Weisenberger, John; McDonnell, Stephen; Kim, Moon J.; Dobrowolska, Margaret; Furdyna, Jacek K.; Shen, Kyle; Wallace, Robert M.; Jena, Debdeep; Xing, Huili Grace

    2018-01-01

    MoTe2 is the least explored material in the Molybdenum-chalcogen family. Molecular beam epitaxy (MBE) provides a unique opportunity to tackle the small electronegativity difference between Mo and Te while growing layer by layer away from thermodynamic equilibrium. We find that for a few-layer MoTe2 grown at a moderate rate of ∼6 min per monolayer, a narrow window in temperature (above Te cell temperature) and Te:Mo ratio exists, where we can obtain pure phase 2H-MoTe2. This is confirmed using reflection high-energy electron diffraction (RHEED), Raman spectroscopy and X-ray photoemission spectroscopy (XPS). For growth on CaF2, Grazing incidence X-ray diffraction (GI-XRD) reveals a grain size of ∼90 Å and presence of twinned grains. In this work, we hypothesis the presence of excess Te incorporation in MBE grown few layer 2H-MoTe2. For film on CaF2, it is based on >2 Te:Mo stoichiometry using XPS as well as 'a' and 'c' lattice spacing greater than bulk 2H-MoTe2. On GaAs, its based on observations of Te crystallite formation on film surface, 2 × 2 superstructure observed in RHEED and low energy electron diffraction, larger than bulk c-lattice spacing as well as the lack of electrical conductivity modulation by field effect. Finally, thermal stability and air sensitivity of MBE 2H-MoTe2 is investigated by temperature dependent XRD and XPS, respectively.

  4. Molecular Beam Epitaxy-Grown InGaN Nanomushrooms and Nanowires for White Light Source Applications

    KAUST Repository

    Gasim, Anwar A.; Bhattacharya, Pallab K.; Cha, Dong Kyu; Ng, Tien Khee; Ooi, Boon S.

    2012-01-01

    We report the observation of coexisting InGaN nanomushrooms and nanowires grown via MBE. Photoluminescence characterization shows that the nanostructures emit yellow and blue light, respectively. The combined emission is promising for white-LEDs.

  5. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  6. In situ photoelectron spectroscopy of LaMnO3 and La0.6Sr0.4MnO3 thin films grown by laser molecular beam expitaxy

    International Nuclear Information System (INIS)

    Oshima, M.; Kobayashi, D.; Horiba, K.; Ohguchi, H.; Kumigashira, H.; Ono, K.; Nakagawa, N.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    We have constructed a high-resolution photoelectron spectroscopy system combined with a laser molecular beam epitaxy (laser-MBE) chamber and have characterized composition-controlled La 1-x Sr x MnO 3 (LSMO) thin films. The importance of atomically flat surfaces by in situ photoelectron spectroscopy for revealing the intrinsic electronic structures has been demonstrated by comparing O1s, O2s and valence band spectra from the laser-MBE-grown LaMnO 3 and LSMO films with those from the scraped samples. Even for the laser-MBE-grown LSMO films, core levels and band structure exhibit strong dependence on surface morphology. For atomically flat LSMO films, we have also elucidated the hole-doping features into Mn3d e g band by substituting La with Sr by resonant photoelectron spectra

  7. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  8. Effects of film polarities on InN growth by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xu, K.; Yoshikawa, A.

    2003-01-01

    Effects of the film polarity on InN growth were investigated in molecular-beam epitaxy (MBE). It was found that N-polarity InN could be grown at higher temperatures than In-polarity one. For the In-polarity films, which were grown on Ga-polar GaN template, the highest growth temperature was limited below 500 deg. C, and the surface morphology and crystal quality tended to be poor mainly because of the tolerated low growth temperature. While for the N-polarity InN films, which were grown on MBE-grown N-polar GaN, the growth temperature could be as high as 600 deg. C. The step-flow-like growth morphology was achieved for the InN films grown with N polarity at 580 deg. C. The resulting full widths of half maximum of x-ray rocking curve around InN (002) and (102) reflections were about 200-250 and 950-1100 arc sec, respectively. The photoluminescence of the InN films peaked at 0.697 eV. The recording Hall mobility of InN film grown in N polarity is 1400 cm 2 /V s with a background carrier concentration of 1.56x10 18 cm -3 at room temperature. For both-polarity films, we found N-rich condition was necessary for the stable InN growth

  9. MBE-grown Si and Si1−xGex quantum dots embedded within epitaxial Gd2O3 on Si(111) substrate for floating gate memory device

    International Nuclear Information System (INIS)

    Manna, S; Aluguri, R; Katiyar, A; Ray, S K; Das, S; Laha, A; Osten, H J

    2013-01-01

    Si and Si 1−x Ge x quantum dots embedded within epitaxial Gd 2 O 3 grown by molecular beam epitaxy have been studied for application in floating gate memory devices. The effect of interface traps and the role of quantum dots on the memory properties have been studied using frequency-dependent capacitance–voltage and conductance–voltage measurements. Multilayer quantum dot memory comprising four and five layers of Si quantum dots exhibits a superior memory window to that of single-layer quantum dot memory devices. It has also been observed that single-layer Si 1−x Ge x quantum dots show better memory characteristics than single-layer Si quantum dots. (paper)

  10. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  11. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  12. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  13. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  14. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  15. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  16. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  17. Growth of ZnO heterostructures in an ultra compact MBE system

    Energy Technology Data Exchange (ETDEWEB)

    Ruth, Marcel [University of Duisburg-Essen, Institute of Experimental Physics, Duisburg (Germany); University of Paderborn (Germany). Group Nanophotonics and Nanomaterials; Meier, Cedrik [University of Paderborn (Germany). Group Nanophotonics and Nanomaterials

    2009-07-01

    Due to its unique properties such as the large direct bandgap of 3.37 eV and its high exciton binding energy, zinc oxide (ZnO) is a very promising semiconductor for optoelectronic and photonic applications even at room temperature. By adding cadmium (Cd) or magnesium (Mg) the bandgap can be tuned between 3.0 eV and 4.0 eV. It has already been shown that plasma assisted molecular beam epitaxy (PA-MBE) is a very suitable technique for growing high-quality epilayers of ZnO. Especially for research issues small samples are often sufficient. By using ultra compact MBE-systems the running costs can be kept down. However, the special system geometry and the very compact design lead to high requirements on the system. It is not trivial that in such a system stoichiometric and homogeneous growth conditions be achieved anyway. Furthermore, very high growth-rates can be obtained. By working in the zinc- (Zn) or oxygen-rich (O) regime completely different surface morphologies free of any metallic clusters are created. We present a systematic study on the growth conditions in such a compact system. Especially, the determination of the flux is discussed, and the grown heterostructures are characterised for their usability for nanophotonic devices.

  18. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  19. Structural and optical features of InGaAs quantum dots grown on Si(001) substrates

    CERN Document Server

    Vdovin, V I; Rzaev, M M; Burbaev, T M

    2002-01-01

    A multilayer GaAs/SiGe/Si heterostructure with InGaAs quantum dots (QDs) embedded in a GaAs layer was grown by molecular beam epitaxy (MBE) on a Si(001) substrate. A step-graded Si sub 1 sub - sub x Ge sub x (0 <= x <= 1) buffer layer and a GaAs layer with In sub y Ga sub 1 sub sub - sub y As (y approx 0.5) QDs were deposited consecutively in two different MBE systems. The heterostructure exhibits intense photoluminescence in the region of 1.3 mu m at room temperature. Perfect crystal InGaAs islands with height less than 10 nm are the sources of this radiation.

  20. TEM EDS analysis of epitaxially-grown self-assembled indium islands

    Directory of Open Access Journals (Sweden)

    Jasmine Sears

    2017-05-01

    Full Text Available Epitaxially-grown self-assembled indium nanostructures, or islands, show promise as nanoantennas. The elemental composition and internal structure of indium islands grown on gallium arsenide are explored using Transmission Electron Microscopy (TEM Energy Dispersive Spectroscopy (EDS. Several sizes of islands are examined, with larger islands exhibiting high (>94% average indium purity and smaller islands containing inhomogeneous gallium and arsenic contamination. These results enable more accurate predictions of indium nanoantenna behavior as a function of growth parameters.

  1. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  2. MBE growth and design of II-VI heterostructures for epitaxial lift-off

    Energy Technology Data Exchange (ETDEWEB)

    Davidson, Ian A.; Vallance, Erin C.; Prior, Kevin A. [School of Engineering and Physical Science, Heriot-Watt University, Edinburgh (United Kingdom); Moug, Richard T.; Tamargo, Maria C. [Department of Chemistry, City College of New York, New York, NY (United States)

    2012-08-15

    Epitaxial lift-off (ELO) is a post-growth process that allows the active part of a semiconductor structure to be transferred from its growth substrate to a new one. This is a well established technique for III-V semiconductors, and has previously been demonstrated for ZnSe-based alloys grown on GaAs using a metastable MgS sacrificial layer, taking advantage of the huge difference in etch rates of MgS and ZnSe. We report here the first successful extension of this process to II-VI layers grown on InP by using a MgSe sacrificial layer. By using the correct etching conditions, MgSe has been found to work effectively as a sacrificial layer. 5 x 5 mm{sup 2} square pieces of material can be lifted and deposited on glass substrates without any deterioration in the structural or optical properties; as confirmed by optical microscopy and photoluminescence (PL) measurements. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Polarization dependent femtosecond laser modification of MBE-grown III-V nanostructures on silicon

    OpenAIRE

    Zandbergen, Sander R.; Gibson, Ricky; Amirsolaimani, Babak; Mehravar, Soroush; Keiffer, Patrick; Azarm, Ali; Kieu, Khanh

    2017-01-01

    We report a novel, polarization dependent, femtosecond laser-induced modification of surface nanostructures of indium, gallium, and arsenic grown on silicon via molecular beam epitaxy, yielding shape control from linear and circular polarization of laser excitation. Linear polarization causes an elongation effect, beyond the dimensions of the unexposed nanostructures, ranging from 88 nm to over 1 um, and circular polarization causes the nanostructures to flatten out or form loops of material,...

  4. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  6. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  7. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    International Nuclear Information System (INIS)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul; Chakraborty, Apurba; Biswas, Dhrubes; Mukhopadhyay, Partha

    2014-01-01

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al 2 O 3 ) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10 −3 (1 × 10 −3 ), and −1.7 × 10 −3 (2 × 10 −3 ) in GaN layer and 5.1 × 10 −3 (−3.3 × 10 −3 ), and 8.8 × 10 −3 (−1.3 × 10 −3 ) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum

  8. Growth studies of CVD-MBE by in-situ diagnostics

    Science.gov (United States)

    Maracas, George N.; Steimle, Timothy C.

    1992-10-01

    This is the final technical report for the three year DARPA-URI program 'Growth Studies of CVD-MBE by in-situ Diagnostics'. The goals of the program were to develop non-invasive, real time epitaxial growth monitoring techniques and combine them to gain an understanding of processes that occur during MBE growth from gas sources. We have adapted these techniques to a commercially designed gas source MBE system (Vacuum Generators Inc.) to facilitate technology transfer out of the laboratory into industrial environments. The in-situ measurement techniques of spectroscopic ellipsometry (SE) and laser induced fluorescence (LIF) have been successfully implemented to monitor the optical and chemical properties of the growing epitaxial film and the gas phase reactants. The ellipsometer was jointly developed with the J. Woolam Co. and has become a commercial product. The temperature dependence of group 3 and 5 desorption from GaAs and InP has been measured as well as the incident effusion cell fluxes. The temporal evolution of the growth has also been measured both by SE and LIF to show the smoothing of heterojunction surfaces during growth interruption. Complicated microcavity optical device structures have been monitored by ellipsometry in real time to improve device quality. This data has been coupled with the structural information obtained from reflection high energy electron diffraction (RHEED) to understand the growth processes in binary and ternary bulk 3-5 semiconductors and heterojunctions.

  9. Molecular beam epitaxy applications to key materials

    CERN Document Server

    Farrow, Robin F C

    1995-01-01

    In this volume, the editor and contributors describe the use of molecular beam epitaxy (MBE) for a range of key materials systems that are of interest for both technological and fundamental reasons. Prior books on MBE have provided an introduction to the basic concepts and techniques of MBE and emphasize growth and characterization of GaAs-based structures. The aim in this book is somewhat different; it is to demonstrate the versatility of the technique by showing how it can be utilized to prepare and explore a range of distinct and diverse materials. For each of these materials systems MBE has played a key role both in their development and application to devices.

  10. Self-organised growth of InN-nanocolumns by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Malindretos, Joerg; Schuhmann, Henning; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut and Virtual Institute of Spin Electronics (VISel), Georg-August Universitaet Goettingen, 37077 Goettingen (Germany); Garro, Nuria; Cantarero, Andres [Material Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain)

    2007-07-01

    InN nanocolumns (NCs) are an attractive system for light harvesting applications. Our aim is to investigate the optical and electrical properties of nanorod ensembles and of single objects. An electron accumulation layer is known to be formed at the surface of epitaxial thin layers due to Fermi level pinning and should therefore facilitate the electrical contacting of the nanorods. InN-NCs were grown on p-Si(111) by plasma assisted MBE. In dependence on the growth parameters four different growth regimes were identified, according to the final shape of the NCs: broadened, tapered, uniform, tapered as well as long uniform rods. The early stages of nucleation have been analysed and correlated to the final shape distribution of the NCs. Nanorods with diameters of 20-250 nm and lengths up to 1.75 {mu}m have been grown. The aspect ratio (length-to-diameter) reaches values of 45. HR-TEM images show a perfect crystal quality. Additionally we will present I-U-characteristics of a single NC contacted by Focused Ion Beam and e-beam lithography. First results show a resistance of a single object in the order of k{omega}. Micro-Raman and micro-PL measurements will provide an insight into the optical properties of InN-NC-ensembles and single objects.

  11. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  12. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  13. Molecular beam epitaxy of single crystal colossal magnetoresistive material

    International Nuclear Information System (INIS)

    Eckstein, J.N.; Bozovic, I.; Rzchowski, M.; O'Donnell, J.; Hinaus, B.; Onellion, M.

    1996-01-01

    The authors have grown films of (LaSr)MnO 3 (LSMO) and (LaCa)MnO 3 (LCMO) using atomic layer-by-layer molecular beam epitaxy (ALL-MBE). Depending on growth conditions, substrate lattice constant and the exact cation stoichiometry, the films are either pseudomorphic or strain relaxed. The pseudomorphic films show atomically flat surfaces, with a unit cell terrace structure that is a replica of that observed on the slightly vicinal substrates, while the strain relaxed films show bumpy surfaces correlated with a dislocation network. All films show tetragonal structure and exhibit anisotropic magnetoresistance, with a low field response, (1/R)(dR/dH) as large as 5 T -1

  14. Halide based MBE of crystalline metals and oxides

    Energy Technology Data Exchange (ETDEWEB)

    Greenlee, Jordan D.; Calley, W. Laws; Henderson, Walter; Doolittle, W. Alan [Georgia Institute of Technology, School of Electrical and Computer Engineering, Atlanta, Georgia (United States)

    2012-02-15

    A halide based growth chemistry has been demonstrated which can deliver a range of transition metals using low to moderate effusion cell temperatures (30-700 C) even for high melting point metals. Previously, growth with transition metal species required difficult to control electron beam or impurity inducing metal organic sources. Both crystalline oxide and metal films exhibiting excellent crystal quality are grown using this halide-based growth chemistry. Films are grown using a plasma assisted Molecular Beam Epitaxy (MBE) system with metal-chloride precursors. Crystalline niobium, cobalt, iron, and nickel were grown using this chemistry but the technology can be generalized to almost any metal for which a chloride precursor is available. Additionally, the oxides LiNbO{sub 3} and LiNbO{sub 2} were grown with films exhibiting X-ray diffraction (XRD) rocking curve full-widths at half maximum of 150 and 190 arcseconds respectively. LiNbO{sub 2} films demonstrate a memristive response due to the rapid movement of lithium in the layered crystal structure. The rapid movement of lithium ions in LiNbO{sub 2} memristors is characterized using impedance spectroscopy measurements. The impedance spectroscopy measurements suggest an ionic current of.1 mA for a small drive voltage of 5 mV AC or equivalently an ionic current density of {proportional_to}87 A/cm{sup 2}. This high ionic current density coupled with low charge transfer resistance of {proportional_to}16.5 {omega} and a high relaxation frequency (6.6 MHz) makes this single crystal material appealing for battery applications in addition to memristors. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  16. InN layers grown by the HVPE

    International Nuclear Information System (INIS)

    Syrkin, A.L.; Ivantsov, V.; Usikov, A.; Dmitriev, V.A.; Chambard, G.; Ruterana, P.; Davydov, A.V.; Sundaresan, S.G.; Lutsenko, E.; Mudryi, A.V.; Readinger, E.D.; Chern-Metcalfe, G.D.; Wraback, M.

    2008-01-01

    We report on the properties of high quality HVPE InN and on successful subsequent MBE growth of InN layers with improved characteristics on HVPE InN template substrates. InN layers were grown by HVPE on GaN/sapphire HVPE templates. The (00.2) XRD rocking curve of the best InN layer (RC) had the FWHM of about 375 arc sec, being the narrowest XRD RCs ever reported for HVPE InN. Transmission Electron Microscopy (TEM) revealed that at the GaN/InN interface, the threading dislocations that come from GaN were transmitted into the InN layer. We estimated the dislocation density in HVPE grown InN to be in the low 10 9 cm -2 range. Reflection high energy electron diffraction (RHEED) confirmed monocrystalline structure of the InN layers surface. Layers photoluminescence (PL) showed edge emission around 0.8 eV. Hall measured free electron concentration was in the range of 10 19 -10 20 cm -3 and electron mobility was ∝200 cm 2 /V s. MBE growth of InN was performed on the HVPE grown InN template substrate demonstrating the improvement of material quality in the case of homo-epitaxial growth of InN. Demonstration of the high quality HVPE InN materials opens a new way for InN substrate development. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Epitaxially Grown Ultra-Flat Self-Assembling Monolayers with Dendrimers

    Directory of Open Access Journals (Sweden)

    Takane Imaoka

    2018-02-01

    Full Text Available Mono-molecular films formed by physical adsorption and dendrimer self-assembly were prepared on various substrate surfaces. It was demonstrated that a uniform dendrimer-based monolayer on the subnanometer scale can be easily constructed via simple dip coating. Furthermore, it was shown that an epitaxially grown monolayer film reflecting the crystal structure of the substrate (highly ordered pyrolytic graphite (HOPG can also be formed by aligning specific conditions.

  18. Molecular beam epitaxy of CdSe epilayers and quantum wells on ZnTe substrate

    International Nuclear Information System (INIS)

    Park, Y.M.; Andre, R.; Kasprzak, J.; Dang, Le Si; Bellet-Amalric, E.

    2007-01-01

    We have grown zinc-blende cadmium selenide (CdSe) epilayers on ZnTe-(0 0 1) substrate by molecular beam epitaxy (MBE). By controlling the substrate temperature and beam-equivalent pressure (BEP) ratio, of Se to Cd, we determined the most suitable growth condition based on reflection high-energy electron diffraction (RHEED) pattern. At a substrate temperature of 280 deg. C and a BEP ratio of 3.6, the RHEED pattern showed a V-like feature, indicating a rough surface with facets. As the substrate temperature was increased to 360 deg. C at the same BEP ratio, a V-like RHEED pattern moved to a clear streaky pattern. Moreover when the BEP ratio was increased to 4.8 at 360 deg. C of substrate temperature, a clear (2 x 1) reconstruction of the CdSe layer was observed. A CdSe/CdMgSe single quantum well structure was also grown on ZnTe-(0 0 1) substrate by MBE. The RHEED pattern showed a clear (2 x 1) surface reconstruction during the growth. By photoluminescence measurement, a good optical property of the structure was obtained

  19. Extended defects in MBE-grown CdTe-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wichrowska, Karolina; Wosinski, Tadeusz; Kret, Slawomir; Chusnutdinow, Sergij; Karczewski, Grzegorz [Institute of Physics, Polish Academy of Sciences, Warsaw (Poland); Rawski, Michal [Analytical Laboratory, Maria Curie-Sklodowska University, Lublin (Poland); Yastrubchak, Oksana [Institute of Physics, Maria Curie-Sklodowska University, Lublin (Poland)

    2015-08-15

    Extended defects in the p -ZnTe/n -CdTe heterojunctions grown by the molecular-beam epitaxy technique on two different substrates, GaAs and CdTe, have been investigated by deep-level transient spectroscopy (DLTS) and transmission electron microscopy (TEM). Four hole traps, called H1 to H4, and one electron trap, called E3, have been revealed in the DLTS spectra measured for the heterojunctions grown on the GaAs substrates. The H1, H3, H4 and E3 traps have been attributed to the electronic states of dislocations on the ground of their logarithmic capture kinetics. The DLTS peaks associated with the H1 and E3 traps were not observed in the DLTS spectra measured for the heterojunction grown on the CdTe substrate. They are most likely associated with threading dislocations generated at the mismatched interface with the GaAs substrate. Cross-sectional TEM images point out that they are dislocations of the 60 -type. In both the types of heterojunctions the H4 trap was observed only under forward-bias filling pulse, suggesting that this trap is associated with the CdTe/ZnTe interface. In addition, TEM images revealed also the presence of intrinsic and extrinsic stacking faults in the CdTe layers, which may considerably affect their electronic properties. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  1. Molecular Beam Epitaxial Growth and Characterization of Graphene and Hexagonal Boron Nitride Two-Dimensional Layers

    Science.gov (United States)

    Zheng, Renjing

    Van der Waals (vdW) materials (also called as two-dimensional (2D) material in some literature) systems have received extensive attention recently due to their potential applications in next-generation electronics platform. Exciting properties have been discovered in this field, however, the performance and properties of the systems rely on the materials' quality and interface significantly, leading to the urgent need for scalable synthesis of high-quality vdW crystals and heterostructures. Toward this direction, this dissertation is devoted on the study of Molecular Beam Epitaxy (MBE) growth and various characterization of vdW materials and heterostructures, especially graphene and hexagonal boron nitride (h-BN). The goal is to achieve high-quality vdW materials and related heterostructures. There are mainly four projects discussed in this dissertation. The first project (Chapter 2) is about MBE growth of large-area h-BN on copper foil. After the growth, the film was transferred onto SiO2 substrate for characterization. It is observed that as-grown film gives evident h-BN Raman spectrum; what's more, h-BN peak intensity and position is dependent on film thickness. N-1s and B-1s XPS peaks further suggest the formation of h-BN. AFM and SEM images show the film is flat and continuous over large area. Our synthesis method shows it's possible to use MBE to achieve h-BN growth and could also pave a way for some unique structure, such as h-BN/graphene heterostructures and doped h-BN films by MBE. The second project (Chapter 3) is focused on establishment of grapehene/h-BN heterostructure on cobalt (Co) film. In-situ epitaxial growth of graphene/h-BN heterostructures on Co film substrate was achieved by using plasma-assisted MBE. The direct graphene/h-BN vertical stacking structures were demonstrated and further confirmed by various characterizations, such as Raman spectroscopy, SEM, XPS and TEM. Large area heterostructures consisting of single- /bilayer graphene and

  2. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  3. Photoluminescence and Raman spectroscopy of MBE-grown InN nanocolumns

    International Nuclear Information System (INIS)

    Segura-Ruiz, J.; Cantarero, A.; Garro, N.; Denker, C.; Werner, F.; Malindretos, J.; Rizzi, A.

    2008-01-01

    InN nanocolumns grown under different conditions by plasma-assisted molecular beam epitaxy on p-Si (111) substrates are studied by micro-Raman and photoluminescence (PL) spectroscopies. The nanocolumns are free of strain and have an improved crystal quality as shown by the frequency and linewidth of the nonpolar E 2 h mode. Uncoupled polar modes coexist with a couple LO phonon-plasmon mode and are sensitive to the nanocolumn morphology. Variations in the growth conditions also modify the PL spectra significantly. An increase in the PL energy also involves a reduction of the integrated intensity and an increase of the PL linewidth. This overall phenomenology highlights the role of the surface accumulation layer in these nanostructures. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Photoluminescence and Raman spectroscopy of MBE-grown InN nanocolumns

    Energy Technology Data Exchange (ETDEWEB)

    Segura-Ruiz, J.; Cantarero, A. [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Garro, N. [Materials Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Fundacio General de la Universitat de Valencia, University of Valencia, PO Box 22085, 46071 Valencia (Spain); Denker, C.; Werner, F.; Malindretos, J.; Rizzi, A. [IV. Physikalisches Institut, Georg-August Universitaet Goettingen (Germany)

    2008-07-01

    InN nanocolumns grown under different conditions by plasma-assisted molecular beam epitaxy on p-Si (111) substrates are studied by micro-Raman and photoluminescence (PL) spectroscopies. The nanocolumns are free of strain and have an improved crystal quality as shown by the frequency and linewidth of the nonpolar E{sub 2}{sup h} mode. Uncoupled polar modes coexist with a couple LO phonon-plasmon mode and are sensitive to the nanocolumn morphology. Variations in the growth conditions also modify the PL spectra significantly. An increase in the PL energy also involves a reduction of the integrated intensity and an increase of the PL linewidth. This overall phenomenology highlights the role of the surface accumulation layer in these nanostructures. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  6. Comprehensive strain and band gap analysis of PA-MBE grown AlGaN/GaN heterostructures on sapphire with ultra thin buffer

    Energy Technology Data Exchange (ETDEWEB)

    Mahata, Mihir Kumar; Ghosh, Saptarsi; Jana, Sanjay Kumar; Bag, Ankush; Kumar, Rahul [Advanced Technology Development Center, Indian Institute of Technology, Kharagpur, 721302 (India); Chakraborty, Apurba; Biswas, Dhrubes [Department of Electronics and Electrical Communication Engineering, Indian Institute of Technology, Kharagpur, 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur, 721302 (India)

    2014-11-15

    In this work, cluster tool (CT) Plasma Assisted Molecular Beam Epitaxy (PA-MBE) grown AlGaN/GaN heterostructure on c-plane (0 0 0 1) sapphire (Al{sub 2}O{sub 3}) were investigated by High Resolution X-ray Diffraction (HRXRD), Room Temperature Raman Spectroscopy (RTRS), and Room Temperature Photoluminescence (RTPL). The effects of strain and doping on GaN and AlGaN layers were investigated thoroughly. The out-of-plane (‘c’) and in-plane (‘a’) lattice parameters were measured from RTRS analysis and as well as reciprocal space mapping (RSM) from HRXRD scan of (002) and (105) plane. The in-plane (out-of plane) strain of the samples were found to be −2.5 × 10{sup −3}(1 × 10{sup −3}), and −1.7 × 10{sup −3}(2 × 10{sup −3}) in GaN layer and 5.1 × 10{sup −3} (−3.3 × 10{sup −3}), and 8.8 × 10{sup −3}(−1.3 × 10{sup −3}) in AlGaN layer, respectively. In addition, the band structures of AlGaN/GaN interface were estimated by both theoretical (based on elastic theory) and experimental observations of the RTPL spectrum.

  7. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  8. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. IMPORTANCE OF IN SITU MONITORS IN THE PREPARATION OF LAYERED OXIDE HETEROSTRUCTURES BY REACTIVE MBE.

    Energy Technology Data Exchange (ETDEWEB)

    Schlom, Darrell G.; Haeni, J. H.; Theis, C. D. (Christopher); Tian, W.; Pan, X. Q.; Brown, G. W. (Geoffrey W.); Hawley, M. E. (Marilyn E.)

    2001-01-01

    Using a variety of in situ monitors and when possible adsorption-controlled growth conditions, layered oxide heterostructures including new compounds and metastable superlattices have been grown by reactive molecular beam epitaxy (MBE). The heteroepitaxial layers grown include Bi{sub 4}Ti{sub 3}O{sub 12}-SrTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12}-PbTiO{sub 3} Aurivillius phases, Sr{sub n+1}Ti{sub n}O{sub 3n+1} Ruddlesden-Popper phases, and metastable PbTiO{sub 3}/SrTiO{sub 3} and BaTiO{sub 3}/SrTiO{sub 3} superlattices. Accurate composition control is key to the controlled growth of such structures, and to this end combinations of reflection high-energy electron diffraction (RHEED), atomic absorption spectroscopy (AA), a quartz crystal microbalance (QCM), and adsorption-controlled growth conditions were employed during growth. The structural perfection of the films has been investigated using in situ RHEED, four-circle x-ray diffraction, atomic force microscopy (AFM), and high-resolution transmission electron microscopy (TEM).

  10. Comparative study of LaNiO$_3$/LaAlO$_3$ heterostructures grown by pulsed laser deposition and oxide molecular beam epitaxy

    OpenAIRE

    Wrobel, F.; Mark, A. F.; Christiani, G.; Sigle, W.; Habermeier, H. -U.; van Aken, P. A.; Logvenov, G.; Keimer, B.; Benckiser, E.

    2017-01-01

    Variations in growth conditions associated with different deposition techniques can greatly affect the phase stability and defect structure of complex oxide heterostructures. We synthesized superlattices of the paramagnetic metal LaNiO3 and the large band gap insulator LaAlO3 by atomic layer-by-layer molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) and compared their crystallinity, microstructure as revealed by high-resolution transmission electron microscopy images and resistiv...

  11. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.; Yonkee, Benjamin P.; Wu, Feng; Saifaddin, Burhan K.; Cohen, Daniel A.; DenBaars, Steve P.; Nakamura, Shuji; Speck, James S.

    2015-01-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  12. Ultraviolet light emitting diodes by ammonia molecular beam epitaxy on metamorphic (KAUST Repository

    Young, Erin C.

    2015-09-01

    © 2015. In this paper we demonstrate ultraviolet (UV) light emitting diodes (LEDs) grown on metamorphic AlGaN buffers on freestanding GaN (202-1) substrates by ammonia assisted molecular beam epitaxy (MBE). Misfit and related threading dislocations were confined to the stress relaxed, compositionally graded buffer layers, and single quantum well devices emitting at 355, 310 and 274. nm were grown on top of the graded buffers. The devices showed excellent structural and electrical (I-. V) characteristics.

  13. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  14. YCo5±x thin films with perpendicular anisotropy grown by molecular beam epitaxy

    Science.gov (United States)

    Sharma, S.; Hildebrandt, E.; Sharath, S. U.; Radulov, I.; Alff, L.

    2017-06-01

    The synthesis conditions of buffer-free (00l) oriented YCo5 and Y2Co17 thin films onto Al2O3 (0001) substrates have been explored by molecular beam epitaxy (MBE). The manipulation of the ratio of individual atomic beams of Yttrium, Y and Cobalt, Co, as well as growth rate variations allows establishing a thin film phase diagram. Highly textured YCo5±x thin films were stabilized with saturation magnetization of 517 emu/cm3 (0.517 MA/m), coercivity of 4 kOe (0.4 T), and anisotropy constant, K1, equal to 5.34 ×106 erg/cm3 (0.53 MJ/m3). These magnetic parameters and the perpendicular anisotropy obtained without additional underlayers make the material system interesting for application in magnetic recording devices.

  15. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  16. Evolution of the optical transitions in AlxGa1-xAs/GaAs quantum well structures grown on GaAs buffers with different surface treatments by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Mejia-Garcia, C.; Caballero-Rosas, A.; Lopez-Lopez, M.; Winter, A.; Pascher, H.; Lopez-Lopez, J.L.

    2010-01-01

    Al 0.3 Ga 0.7 As/GaAs Quantum Well structures were grown by molecular beam epitaxy (MBE) on a 500 nm thick GaAs buffer layer subjected to the following surface processes: a) in-situ Cl 2 etching at 70 o C and 200 o C, b) air-exposure for 30 min. The characteristics of these samples were compared to those of a continuously grown sample with no processing (control sample). We obtained the quantum wells energy transitions using photoreflectance spectroscopy as a function of the temperature (8-300 K), in the range of 1.2 to 2.1 eV. The sample etched at 200 o C shows a larger intensity of the quantum well peaks in comparison to the others samples. We studied the temperature dependence of the excitonic energies in the quantum wells (QWs) as well as in GaAs using three different models; the first one proposed by Varshni [4], the second one by Vina et al. [5], and the third one by Paessler and Oelgart [6]. The Paessler model presents the best fitting to the experimental data.

  17. Multilayer epitaxial graphene grown on the (SiC 000 1-bar ) surface; structure and electronic properties

    International Nuclear Information System (INIS)

    Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D

    2010-01-01

    We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.

  18. In situ monitoring of the surface reconstructions on InP(001) prepared by molecular beam epitaxy

    Science.gov (United States)

    Ozanyan, K. B.; Parbrook, P. J.; Hopkinson, M.; Whitehouse, C. R.; Sobiesierski, Z.; Westwood, D. I.

    1997-07-01

    Reflection anisotropy spectroscopy (RAS) and reflection high-energy electron diffraction (RHEED) were applied to study clean InP(001) surfaces prepared by molecular beam epitaxy (MBE). At phosphorus beam equivalent pressures (BEPs) between 3.5×10-7 and 3.5×10-6 mbar and substrate temperature (Ts) falling from 590 to 150 °C, (2×4), (2×1), (2×2), and c(4×4) RHEED patterns are observed. The main RAS features, observed at 1.7-1.9 and 2.6-2.9 eV are assigned to In and P dimers, respectively. The above reconstruction sequence is associated closely with transformations identified in RAS signatures that are induced by progressively increasing the P surface coverage. The RAS results also imply the existence of (2×4)α and (2×4)β phases. A surface-phase diagram for MBE-grown (001) InP, in the whole range of Ts and phosphorus BEPs is proposed.

  19. Closed-loop MBE growth of droplet-free GaN with very metal rich conditions using Metal Modulated Epitaxy with Mg and In

    Energy Technology Data Exchange (ETDEWEB)

    Burnham, S.D.; Henderson, W.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2008-07-01

    Improvements to the Metal Modulated Epitaxy (MME) technique are achieved through computer controlled shutter transitions based on feedback from RHEED transients (S. D. Burnham and W. A. Doolittle, J. Vac. Sci. Technol. B 24, 2100 (2006)), thus creating a closed-loop control system for nitride MBE, the first of its kind. A high-sensitivity 22 bit camera is used to determine when RHEED transients have subsided, upon which a shutter transition is initiated allowing the efficient buildup and depletion of the metal bilayer, which improves surface morphology and growth rate compared to the standard MME technique. RMS surface roughness was reduced by 41% by using this 'Smart Shuttering' improvement to MME. A substantially higher peak concentration of Mg, approaching 2% atomic concentration, was achieved using the MME technique. As expected, a negligible amount of In was incorporated into the very Ga-rich films. Using the new closed loop control system developed for MBE, the surface morphology was improved for GaN films while highly repeatable holes concentrations as high as 4.7 x 10{sup 18} cm{sup -3} with 1.07 cm{sup 2}/V-sec mobility was obtained. This approach offers great promise for improved reproducibility and improved material quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  1. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  2. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  3. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  4. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  5. InGaN pn-junctions grown by PA-MBE: Material characterization and fabrication of nanocolumn electroluminescent devices

    Science.gov (United States)

    Gherasoiu, I.; Yu, K. M.; Reichertz, L.; Walukiewicz, W.

    2015-09-01

    PN junctions are basic building blocks of many electronic devices and their performance depends on the structural properties of the component layers and on the type and the amount of the doping impurities incorporated. Magnesium is the common p-type dopant for nitride semiconductors while silicon and more recently germanium are the n-dopants of choice. In this paper, therefore we analyze the quantitative limits for Mg and Ge incorporation on GaN and InGaN with high In content. We also discuss the challenges posed by the growth and characterization of InGaN pn-junctions and we discuss the properties of large area, long wavelength nanocolumn LEDs grown on silicon (1 1 1) by PA-MBE.

  6. Origin of Spontaneous Core-Shell AIGaAs Nanowires Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Dubrovskii, V. G.; Shtrom, I. V.; Reznik, R. R.

    2016-01-01

    Based on the high-angle annular dark-field scanning transmission electron microscopy and energy dispersive X-ray spectroscopy studies, we unravel the origin of spontaneous core shell AlGaAs nanowires grown by gold-assisted molecular beam epitaxy. Our AlGaAs nanowires have a cylindrical core...

  7. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  8. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  9. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  10. Dominant transverse-electric polarized emission from 298 nm MBE-grown AlN-delta-GaN quantum well ultraviolet light-emitting diodes

    Science.gov (United States)

    Liu, Cheng; Ooi, Yu Kee; Islam, S. M.; Xing, Huili Grace; Jena, Debdeep; Zhang, Jing

    2017-02-01

    III-nitride based ultraviolet (UV) light emitting diodes (LEDs) are of considerable interest in replacing gas lasers and mercury lamps for numerous applications. Specifically, AlGaN quantum well (QW) based LEDs have been developed extensively but the external quantum efficiencies of which remain less than 10% for wavelengths UV wavelengths is by the use of the AlGaN-delta-GaN QW where the insertion of the delta-GaN layer can ensure the dominant conduction band (C) - heavyhole (HH) transition, leading to large transverse-electric (TE) optical output. Here, we proposed and investigated the physics and polarization-dependent optical characterizations of AlN-delta- GaN QW UV LED at 300 nm. The LED structure is grown by Molecular Beam Epitaxy (MBE) where the delta-GaN layer is 3-4 monolayer (QW-like) sandwiched by 2.5-nm AlN sub-QW layers. The physics analysis shows that the use of AlN-delta-GaN QW ensures a larger separation between the top HH subband and lower-energy bands, and strongly localizes the electron and HH wave functions toward the QW center and hence resulting in 30-time enhancement in TEpolarized spontaneous emission rate, compared to that of a conventional Al0.35Ga0.65N QW. The polarization-dependent electroluminescence measurements confirm our theoretical analysis; a dominant TE-polarized emission was obtained at 298 nm with a minimum transverse-magnetic (TM) polarized emission, indicating the feasibility of high-efficiency TEpolarized UV emitters based on our proposed QW structure.

  11. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  12. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  13. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  14. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  15. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  16. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  17. Demonstration of zero bias responsivity in MBE grown β-Ga2O3 lateral deep-UV photodetector

    Science.gov (United States)

    Singh Pratiyush, Anamika; Krishnamoorthy, Sriram; Kumar, Sandeep; Xia, Zhanbo; Muralidharan, Rangarajan; Rajan, Siddharth; Nath, Digbijoy N.

    2018-06-01

    We demonstrate zero-bias spectral responsivity in MBE-grown β-Ga2O3 planar UV-C detector with good linearity up to optical power density of 4.6 mW cm‑2. Devices with asymmetrical metal contacts were realized on 150 nm thick β-Ga2O3 films on sapphire. The device exhibited a spectral responsivity of 1.4 mA W‑1 at 255 nm under zero-bias condition, dark current UV-to-visible rejection ratio ∼105 at 5 V. The demonstrated UV-C detector exhibited an estimated high detectivity of 2.0 × 1012 Jones at 1 V and were found to be very stable and repeatable, suggesting its potential use for focal plane arrays.

  18. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    Science.gov (United States)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  19. Performace of Dilute Nitride Triple Junction Space Solar Cell Grown by MBE

    Directory of Open Access Journals (Sweden)

    Aho Arto

    2017-01-01

    Full Text Available Dilute nitride arsenide antimonide compounds offer widely tailorable band-gaps, ranging from 0.8 eV to 1.4 eV, for the development of lattice-matched multijunction solar cells with three or more junctions. Here we report on the performance of GaInP/GaAs/GaInNAsSb solar cell grown by molecular beam epitaxy. An efficiency of 27% under AM0 conditions is demonstrated. In addition, the cell was measured at different temperatures. The short circuit current density exhibited a temperature coefficient of 0.006 mA/cm2/°C while the corresponding slope for the open circuit voltage was −6.8 mV/°C. Further efficiency improvement, up to 32%, is projected by better current balancing and structural optimization.

  20. Electrical performance of phase change memory cells with Ge3Sb2Te6 deposited by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella; Boniardi, Mattia; Redaelli, Andrea

    2015-01-01

    Here, we report on the electrical characterization of phase change memory cells containing a Ge 3 Sb 2 Te 6 (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles

  1. Self-consistent expansion for the molecular beam epitaxy equation.

    Science.gov (United States)

    Katzav, Eytan

    2002-03-01

    Motivated by a controversy over the correct results derived from the dynamic renormalization group (DRG) analysis of the nonlinear molecular beam epitaxy (MBE) equation, a self-consistent expansion for the nonlinear MBE theory is considered. The scaling exponents are obtained for spatially correlated noise of the general form D(r-r('),t-t('))=2D(0)[r-->-r(')](2rho-d)delta(t-t(')). I find a lower critical dimension d(c)(rho)=4+2rho, above which the linear MBE solution appears. Below the lower critical dimension a rho-dependent strong-coupling solution is found. These results help to resolve the controversy over the correct exponents that describe nonlinear MBE, using a reliable method that proved itself in the past by giving reasonable results for the strong-coupling regime of the Kardar-Parisi-Zhang system (for d>1), where DRG failed to do so.

  2. Molecular beam epitaxial growth of graphene and ridge-structure networks of graphene

    International Nuclear Information System (INIS)

    Maeda, Fumihiko; Hibino, Hiroki

    2011-01-01

    By gas-source molecular beam epitaxy (MBE) using cracked ethanol, we grew graphene at substrate temperatures between 600 and 915 °C on graphene formed on SiC(0 0 0 1) by thermal decomposition. To investigate the substrate temperature dependence of graphene growth we analysed the MBE-grown graphene by Raman spectroscopy and in situ x-ray photoelectron spectroscopy (XPS) and observed it by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (TEM). Analyses using the G-band peak and the peak intensity ratio between D- and G-band peaks in the Raman spectra revealed that growth at higher temperatures improved the crystallinity and increased the domain size. Although the growth rate decreased at higher temperatures, as revealed by XPS, these results indicated that growth at a higher temperature is effective in obtaining graphene of higher quality. Furthermore, the AFM and TEM observations revealed a network of fin-like ridge structures of graphene sticking out from the surface. The presence of these 'graphene nanofins' indicated that two-dimensional islands of graphene are surrounded by the nanofins, and the island size was estimated to be 67 nm using the average distance between the nanofins.

  3. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  4. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  5. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  6. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  7. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  8. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  9. High density of (pseudo) periodic twin-grain boundaries in molecular beam epitaxy-grown van der Waals heterostructure: MoTe{sub 2}/MoS{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, Horacio Coy; Ma, Yujing; Chaghi, Redhouane; Batzill, Matthias [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States)

    2016-05-09

    Growth of transition metal dichalcogenide heterostructures by molecular beam epitaxy (MBE) promises synthesis of artificial van der Waals materials with controllable layer compositions and separations. Here, we show that MBE growth of 2H-MoTe{sub 2} monolayers on MoS{sub 2} substrates results in a high density of mirror-twins within the films. The grain boundaries are tellurium deficient, suggesting that Te-deficiency during growth causes their formation. Scanning tunneling microscopy and spectroscopy reveal that the grain boundaries arrange in a pseudo periodic “wagon wheel” pattern with only ∼2.6 nm repetition length. Defect states from these domain boundaries fill the band gap and thus give the monolayer an almost metallic property. The band gap states pin the Fermi-level in MoTe{sub 2} and thus determine the band-alignment in the MoTe{sub 2}/MoS{sub 2} interface.

  10. Electrical performance of phase change memory cells with Ge{sub 3}Sb{sub 2}Te{sub 6} deposited by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Boniardi, Mattia; Redaelli, Andrea [Micron Semiconductor Italia S.r.l., Via C. Olivetti, 2, 20864, Agrate Brianza, MB (Italy)

    2015-01-12

    Here, we report on the electrical characterization of phase change memory cells containing a Ge{sub 3}Sb{sub 2}Te{sub 6} (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles.

  11. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  12. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  13. Mathematical model for predicting molecular-beam epitaxy growth rates for wafer production

    International Nuclear Information System (INIS)

    Shi, B.Q.

    2003-01-01

    An analytical mathematical model for predicting molecular-beam epitaxy (MBE) growth rates is reported. The mathematical model solves the mass-conservation equation for liquid sources in conical crucibles and predicts the growth rate by taking into account the effect of growth source depletion on the growth rate. Assumptions made for deducing the analytical model are discussed. The model derived contains only one unknown parameter, the value of which can be determined by using data readily available to MBE growers. Procedures are outlined for implementing the model in MBE production of III-V compound semiconductor device wafers. Results from use of the model to obtain targeted layer compositions and thickness of InP-based heterojunction bipolar transistor wafers are presented

  14. H{sub 2}O{sub 2}-molecular beam epitaxy of high quality ZnO

    Energy Technology Data Exchange (ETDEWEB)

    El Shaer, A.; Bakin, A.; Che Mofor, A.; Kreye, M.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Blaesing, J.; Krost, A. [Otto-von-Guericke-University, Institute of Experimental Physics, Magdeburg (Germany); Stoimenos, J. [Aristotele University, Physics Department, Thessaloniki (Greece); Pecz, B. [Hungarian Academy of Sciences, Research Institute for Technical Physics and Materials Science, P.O. Box 49, Budapest (Hungary)

    2007-07-15

    We have studied the growth and characterization of ZnO epilayers on (0001)-sapphire by H{sub 2}O{sub 2}-molecular beam epitaxy (MBE). A high temperature (HT) MgO buffer followed by a low-temperature ZnO buffer was introduced in order to accommodate the lattice mismatch between ZnO and sapphire. The surface morphology of the samples was studied using atomic force microscopy (AFM), and scanning electron microscopy (SEM). The crystalline quality of the layers was investigated by employing high resolution X-ray diffractometry (HRXRD) and high resolution transmission electron microscopy (HRTEM). The electrical properties of the grown ZnO layers were studied by Hall-effect measurements in a standard van der Pauw configuration. The measured surface roughness for the best layers is as low as 0.26 nm rms. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO heteroepitaxially grown on (0001)-sapphire with a HT MgO buffer layers. The influence of the growth conditions on the crystalline quality is discussed. The FWHM of the HRXRD (0002) rocking curves measured for the 2-inch ZnO-on-sapphire is as low as 27 arcsec with a very high lateral homogeneity across the whole 2-inch ZnO epilayers. The results indicate that H{sub 2}O{sub 2}-MBE is a suitable technique to fabricate ZnO epilayers of very high quality. (orig.)

  15. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  16. Microstructural characterisation of zinc-blende Ga1-xMnxN grown by MBE as a function of Mn flux

    International Nuclear Information System (INIS)

    Han, Y; Fay, M W; Novikov, S V; Edmonds, K W; Gallagher, B L; Campion, R P; Staddon, C R; Foxon, C T; Brown, P D

    2006-01-01

    Zinc-blende Ga 1-x Mn x N epilayers grown by plasma assisted molecular beam epitaxy as a function of Mn flux have been assessed using a variety of structural characterisation techniques. Increasing Mn flux is associated with the build up of a Mn surfactant layer during the early states of growth and a transition from zinc-blende single phase growth to zincblende/ wurtzite mixed phase growth

  17. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  18. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  19. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  20. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  1. Metamorphic distributed Bragg reflectors for the 1440–1600 nm spectral range: Epitaxy, formation, and regrowth of mesa structures

    International Nuclear Information System (INIS)

    Egorov, A. Yu.; Karachinsky, L. Ya.; Novikov, I. I.; Babichev, A. V.; Berezovskaya, T. N.; Nevedomskiy, V. N.

    2015-01-01

    It is shown that metamorphic In 0.3 Ga 0.7 As/In 0.3 Al 0.7 As distributed Bragg reflectors (DBRs) with a reflection band at 1440–1600 nm and a reflectance of no less than 0.999 can be fabricated by molecular beam epitaxy (MBE) on a GaAs substrate. It is demonstrated that mesa structures formed from metamorphic DBRs on a GaAs substrate can be regrown by MBE and microcavities can be locally formed in two separate epitaxial processes. The results obtained can find wide application in the fabrication of vertical-cavity surface-emitting lasers (VCSELs) with a buried tunnel junction

  2. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Influence of the bismuth deficit on the structural and electric properties of the Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub y} thin films synthesized by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Alami, H.El.; Rannou, I.; Deville Cavellin, C

    2004-07-15

    BiSrCaCuO thin films were grown on (1 0 0) SrTiO3 substrates by molecular beam epitaxy (MBE) with variation of the Bi deposition time. A new 2x212 family with x varied between 1 and 0 was grown. The X-ray study, the Rutherford back scattering (RBS), reflection high energy electron diffraction (RHEED) and atomic force microscopy (AFM) were used to characterize the films. It was shown that the growth method used leads to intergrowth nanostructures. The transport measurements of BiSrCaCuO thin films were performed. The results analysed using the theory of percolation show a 2D character of conductivity in the films studied.

  4. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  5. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  6. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  7. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  8. InAs/GaAs(001) molecular beam epitaxial growth in a scanning tunnelling microscope

    International Nuclear Information System (INIS)

    Bastiman, F; Cullis, A G; Hopkinson, M

    2010-01-01

    The growth on InAs on GaAs(001) has attracted great interest and investigation over the past few decades primarily due to the opto-electronic properties of the self-assembled quantum dot (QD) arrays formed. Scanning tunnelling microscopy (STM) has been extensively employed to investigate the complicated and spontaneous mechanism of QD growth via molecular beam epitaxy (MBE). Classically, combined MBE-STM requires quenching the sample after growth and transferring it to an arsenic-free high vacuum chamber which houses the STM system. However, without access to the phenomenon as a dynamic process a basic understanding remains elusive. In order to access surface dynamics, MBE and STM must be combined into a single element. The system herein discussed allows the operation of MBE sources in an STM system relating to InAs/GaAs(001) surfaces.

  9. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  10. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  11. Optical Properties of a Quantum Dot-Ring System Grown Using Droplet Epitaxy.

    Science.gov (United States)

    Linares-García, Gabriel; Meza-Montes, Lilia; Stinaff, Eric; Alsolamy, S M; Ware, M E; Mazur, Y I; Wang, Z M; Lee, Jihoon; Salamo, G J

    2016-12-01

    Electronic and optical properties of InAs/GaAs nanostructures grown by the droplet epitaxy method are studied. Carrier states were determined by k · p theory including effects of strain and In gradient concentration for a model geometry. Wavefunctions are highly localized in the dots. Coulomb and exchange interactions are studied and we found the system is in the strong confinement regime. Microphotoluminescence spectra and lifetimes were calculated and compared with measurements performed on a set of quantum rings in a single sample. Some features of spectra are in good agreement.

  12. Fabrication of photovoltaic laser energy converterby MBE

    Science.gov (United States)

    Lu, Hamilton; Wang, Scott; Chan, W. S.

    1993-01-01

    A laser-energy converter, fabricated by molecular beam epitaxy (MBE), was developed. This converter is a stack of vertical p-n junctions connected in series by low-resistivity, lattice matched CoSi2 layers to achieve a high conversion efficiency. Special high-temperature electron-beam (e-beam) sources were developed especially for the MBE growth of the junctions and CoSi2 layers. Making use of the small (greater than 1.2 percent) lattice mismatch between CoSi2 and Si layers, high-quality and pinhole-free epilayers were achieved, providing a capability of fabricating all the junctions and connecting layers as a single growth process with one pumpdown. Well-defined multiple p-n junctions connected by CoSi2 layers were accomplished by employing a low growth temperature (greater than 700 C) and a low growth rate (less than 0.5 microns/hour). Producing negligible interdiffusion, the low growth temperature and rate also produced negligible pinholes in the CoSi2 layers. For the first time, a stack of three p-n junctions connected by two 10(exp -5) Ohm-cm CoSi2 layers was achieved, meeting the high conversion efficiency requirement. This process can now be optimized for high growth rate to form a practical converter with 10 p-n junctions in the stack.

  13. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  14. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  15. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  16. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  17. Control of chemical bonding of the ZnO surface grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ogata, K.; Komuro, T.; Hama, K.; Koike, K.; Sasa, S.; Inoue, M.; Yano, M.

    2004-01-01

    Toward the fabrication of enzyme modified field effect transistors (EnFETs) as one of organic/inorganic hybridized structures, surface bonding of the ZnO grown by molecular beam epitaxy was controlled by ex situ treatments. Angle resolved X-ray photoelectron spectroscopy (XPS) measurement revealed that O-H bonds exist at the surface of ZnO. It was found that the number of O-H bond could be changed with reversibility using plasma and thermal treatments

  18. Determination of the Mg occupation site in MOCVD- and MBE-grown Mg-doped InN using X-ray absorption fine-structure measurements

    Energy Technology Data Exchange (ETDEWEB)

    Miyajima, Takao; Uemura, Shigeaki; Kudo, Yoshihiro [Materials Laboratories, Sony Corporation, Atsugi, Kanagawa (Japan); Kitajima, Yoshinori [Photon Factory, High Energy Accelerator Research Organization, Tsukuba, Ibaraki (Japan); Yamamoto, Akio [Graduate School of Engineering, University of Fukui, Fukui (Japan); Muto, Daisuke; Nanishi, Yasushi [Department of Photonics, Ritsumeikan University, 1-1-1 Noji-Higashi, Kusatsu, Shiga 525-8577 (Japan)

    2008-07-01

    We analyzed the atomic structure around Mg atoms in MOCVD- and MBE-grown Mg-doped InN using Mg K-edge X-ray absorption fine-structure (XAFS) measurements. Our experimental data closely fit to the simulated data in which Mg atoms occupy the substitutional sites of In atoms. From this result, we conclude that Mg atoms essentially occupy not N atoms sites but In atoms sites, meaning that Mg atoms can act as acceptors in InN. We believe that observations of p-type conductivity are prevented by problems such as carrier compensation and electron accumulation at the surface. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. InAs(Sb) quantum dots grown on GaAs by MBE

    International Nuclear Information System (INIS)

    Sallet, V.; Patriarche, G.; Mauguin, O.; Largeau, L.; Travers, L.

    2006-01-01

    The MBE growth of InAs(Sb)/GaAs quantum dots is investigated. Photoluminescence shows a slight red-shift of the emission wavelength from 1.15 to 1.24 μm (InAs 0.95 Sb 0.05 dots). Attempts to incorporate higher concentrations of antimony lead to a poor optical quality and, occasionally, to a blue-shift. Trans-mission electron microscopy observations are discussed considering the surfactant effect of antimony. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Interlayer exchange coupling, crystalline and magnetic structure in Fe/CsCl-FeSi multilayers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Dekoster, J.; Degroote, S.; Meersschaut, J.; Moons, R.; Vantomme, A. [K.U. Leuven, Instituut voor Kern- en Stralingsfysica (Belgium); Bottyan, L.; Deak, L.; Szilagyi, E.; Nagy, D.L. [KFKI Research Institute for Particle and Nuclear Physics (Hungary); Baron, A.Q.R. [European Synchrotron Radiation Facility (France); Langouche, G. [K.U. Leuven, Instituut voor Kern- en Stralingsfysica (Belgium)

    1999-09-15

    Crystalline and magnetic structure as well as the interlayer exchange coupling in MBE grown Fe/FeSi multilayers are investigated. From conversion electron Moessbauer spectroscopy and ion beam channeling measurements the spacer FeSi material is found to be stabilized in a crystalline metastable metallic FeSi phase with the CsCl structure. Strong non-oscillatory interlayer exchange coupling is identified with magnetometry and synchrotron Moessbauer reflectometry. From the fits of the time spectrum and the resonant {phi}-{phi} scans a model for the sublayer magnetization of the multilayer is deduced.

  1. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  2. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  3. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  4. Molecular beam epitaxy for high-performance Ga-face GaN electron devices

    International Nuclear Information System (INIS)

    Kaun, Stephen W; Speck, James S; Wong, Man Hoi; Mishra, Umesh K

    2013-01-01

    Molecular beam epitaxy (MBE) has emerged as a powerful technique for growing GaN-based high electron mobility transistor (HEMT) epistructures. Over the past decade, HEMT performance steadily improved, mainly through the optimization of device fabrication processes. Soon, HEMT performance will be limited by the crystalline quality of the epistructure. MBE offers heterostructure growth with highly abrupt interfaces, low point defect concentrations, and very low carbon and hydrogen impurity concentrations. Minimizing parasitic leakage pathways and resistances is essential in the growth of HEMTs for high-frequency and high-power applications. Through growth on native substrates with very low threading dislocation density, low-leakage HEMTs with very low on-resistance can be realized. Ga-rich plasma-assisted MBE (PAMBE) has been studied extensively, and it is clear that this technique has inherent limitations, including a high density of leakage pathways and a very small growth parameter space. Relatively new MBE growth techniques—high-temperature N-rich PAMBE and ammonia-based MBE—are being developed to circumvent the shortcomings of Ga-rich PAMBE. (invited review)

  5. High-quality InN grown on KOH wet etched N-polar InN template by RF-MBE

    International Nuclear Information System (INIS)

    Muto, D.; Araki, T.; Kitagawa, S.; Kurouchi, M.; Nanishi, Y.; Naoi, H.; Na, H.

    2006-01-01

    We have succeeded in dramatically decreasing the density of dislocations in InN by regrowing InN films on micro-facetted N-polar InN templates. The micro-facetted N-polar InN templates were formed by wet etching in a 10 mol/l KOH solution. InN films were regrown on the micro-facetted N-polar InN templates and on flat surface N-polar InN templates for comparison by radio-frequency plasma-assisted molecular beam epitaxy. InN regrown on micro-facetted InN had considerably smaller twist distribution than that grown on the flat InN templates. From transmission electron microscopy observation, it was confirmed that the InN grown on the micro-facetted InN template had much lower density of dislocations than that grown on the flat InN template, and moreover the propagation of edge dislocations was almost completely terminated at the interface between the regrown InN and the micro-facetted InN template. Based on the results, we propose that regrowth of InN on micro-facetted InN templates is an effective way to obtain high-quality InN films. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  7. High-resolution hydrogen profiling in AlGaN/GaN heterostructures grown by different epitaxial methods

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Posada Flores, F; Redondo-Cubero, A; Bengoechea, A; Brana, A F; Munoz, E [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM) and Dpto. IngenierIa Electronica (DIE), ETSI de Telecomunicacion, Universidad Politecnica de Madrid, E-28040 Madrid (Spain); Gago, R [Centro de Micro-Analisis de Materiales, Universidad Autonoma de Madrid, E-28049 Madrid (Spain); Jimenez, A [Dpto. Electronica, Escuela Politecnica Superior, Universidad de Alcala, E-28805 Alcala de Henares, Madrid (Spain); Grambole, D, E-mail: fposada@die.upm.e [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, PF 51019, D-01314 Dresden (Germany)

    2009-03-07

    Hydrogen (H) incorporation into AlGaN/GaN heterostructures used in high electron mobility transistors, grown by different methods, is studied by high-resolution depth profiling. Samples grown on sapphire and Si(1 1 1) substrates by molecular-beam epitaxy and metal-organic vapour phase epitaxy; involving H-free and H-containing precursors, were analysed to evaluate the eventual incorporation of H into the wafer. The amount of H was measured by means of nuclear reaction analysis (NRA) using the {sup 1}H({sup 15}N,{alpha}{gamma}){sup 12}C reaction up to a depth of {approx}110 nm into the heterostructures. Interestingly, the H profiles are similar in all the samples analysed, with an increasing H content towards the surface and a negligible H incorporation into the GaN layer (0.24 {+-} 0.08 at%) or at the AlGaN/GaN interface. Therefore, NRA shows that H uptake is not related to the growth process or technique employed and that H contamination may be due to external sources after growth. The eventual correlation between topographical defects on the AlGaN surface and the H concentration are also discussed.

  8. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  9. The effect of the In concentration on the surface morphology of InGaAs-GaAs heterostructures grown by MBE on GaAs substrate

    International Nuclear Information System (INIS)

    Gómez-Barojas, E; Serrano-Rojas, R M; Rodríguez-Moreno, M A; Santamaría-Juárez, G; Silva-González, R; a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" data-affiliation=" (Instituto de Investigación en Comunicación Óptica. Universidad Autónoma de San Luis Potosí, Av. Karakorum 1470, Col. Lomas Altas 4a. Sección, San Luis Potosí, S. L. P., 78100 (Mexico))" >Vidal-Borbolla, M A

    2014-01-01

    A set of 3 heterostructures were formed by 10 periods of InGaAs-GaAs epitaxially grown on GaAs substrate by means of a molecular beam epitaxial system. Scanning electron microscopy (SEM) cross section images at high magnification show that the heterostructures present good periodicity. SEM micrographs of the surface morphology chemically etched show the coalescence effect of In due to an unequal etching rate of In and GaAs. Auger electron spectroscopy (AES) depth profiles show that the first GaAs layers in the 3 samples are off-stoichiometric and that the alloy layers present In square and triangular depth profiles

  10. Epitaxially grown zinc-blende structured Mn doped ZnO nanoshell on ZnS nanoparticles

    International Nuclear Information System (INIS)

    Limaye, Mukta V.; Singh, Shashi B.; Date, Sadgopal K.; Gholap, R.S.; Kulkarni, Sulabha K.

    2009-01-01

    Zinc oxide in the bulk as well as in the nanocrystalline form is thermodynamically stable in the wurtzite structure. However, zinc oxide in the zinc-blende structure is more useful than that in the wurtzite structure due to its superior electronic properties as well as possibility of efficient doping. Therefore, zinc oxide shell is grown epitaxially on zinc sulphide core nanoparticles having zinc-blende structure. It is shown that doping of manganese could be achieved in zinc oxide nanoshell with zinc-blende structure

  11. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  12. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  13. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  14. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  15. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  16. ZnMgO-ZnO quantum wells embedded in ZnO nanopillars: Towards realisation of nano-LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Bakin, A.; El-Shaer, A.; Mofor, A.C.; Al-Suleiman, M.; Schlenker, E.; Waag, A. [Institute of Semiconductor Technology, Braunschweig Technical University, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany)

    2007-07-01

    ZnO thin films, ZnMgO/ZnO heterostructures and ZnO nanostructures were fabricated using molecular beam epitaxy (MBE), vapour phase transport (VPT) and an aqueous chemical growth approach (ACG). The possibility to employ several fabrication techniques is of special importance for the realization of unique device structures. MBE was implemented for ZnO-based layer and heterostructure growth. Pronounced RHEED oscillations were used for growth control and optimisation, resulting in high quality ZnO and Zn{sub 1-x}Mg{sub x}O epilayers and heterostructures, as well as ZnMgO/ZnO quantum wells on sapphire and SiC substrates. A novel advanced VPT approach is developed and sapphire, SiC, ZnO epitaxial layers, and even plastic and glass were implemented as substrates for ZnO growth. The VPT fabrication of ZnO nanopillars, leading to well aligned, c-axis oriented nanopillars with excellent quality and purity is demonstrated. Successful steps were made towards device fabrication on ZnO basis. The nanopillar fabrication technique is combined with MBE technology: MBE-grown ZnMgO/ZnO quantum well structures were grown on ZnO nanopillars presenting significant progress towards nano-LEDs realization. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  18. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  19. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  20. Epitaxial (100)-oriented Mo/V superlattice grown on MgO(100) by dcMS and HiPIMS

    International Nuclear Information System (INIS)

    Shayestehaminzadeh, S.; Magnusson, R.L.; Gislason, H.P.; Olafsson, S.

    2013-01-01

    Epitaxial (100)-oriented Mo/V superlattices have been grown by High Power Impulse Magnetron Sputtering (HiPIMS) and dc Magnetron Sputtering (dcMS) on single-crystalline MgO(100) substrates at growth temperatures ranging from 30 °C to 600 °C. Superlattice bilayer period of Mo/V around 12/12 monolayers and 15 repeat periods was studied. This study aims to investigate the effect of the HiPIMS process on reducing the growth temperature of Mo/V superlattices using the high energy ionized Mo, V species in the HiPIMS plasma. In one case, the Mo layer was only grown with the HiPIMS process and V layer grown using the dcMS process while in another both layers were grown with the HiPIMS process. The as-deposited films were characterized by X-ray reflection and diffraction techniques. The dcMS process was found to give superior superlattice growth at high growth temperatures while a mixed Mo HiPIMS and V dcMS process gives better result at lower growth temperatures (300 °C). Room temperature growth reveals that neither the mixed Mo HiPIMS and V dcMS process nor the pure HiPIMS for both materials can produce better result compared to the pure dcMS process, which gives a relatively better result. - Highlights: • Epitaxial (100)-oriented Mo/V superlattices have been grown by HiPIMS and dcMS on MgO(100) for various temperatures. • The study was aimed to investigate the effect of ionized HiPIMS process onlowering the growth temperature. • The dcMS process was found to give superior superlattice growth at high growth temperature. • The mixed Mo HiPIMS and V dcMS process gives best result at lower growth temperatures

  1. Hydrostatic pressure study of MBE CdMnTe doped bromine

    International Nuclear Information System (INIS)

    Szczytko, J.; Wasek, D.; Przybytek, J.; Baj, M.; Waag, A.

    1995-01-01

    We present Hall effect and resistivity measurements as a function of pressure performed on MBE-grown Cd 1-x Mn x Te (with x=0.14) layer doped with bromine. The experimental data were analysed using positive and negative U model of Br centers. We found that both models could reproduce the experimental points, but in the case of positive U modes - only under assumption that the sample was completely uncompensated. (author)

  2. Structure characterization of MHEMT heterostructure elements with In0.4Ga0.6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    Science.gov (United States)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-03-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In0.4Ga0.6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In x Ga1- x As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  3. Structure characterization of MHEMT heterostructure elements with In_0_._4Ga_0_._6As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    International Nuclear Information System (INIS)

    Aleshin, A. N.; Bugaev, A. S.; Ermakova, M. A.; Ruban, O. A.

    2016-01-01

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In_0_._4Ga_0_._6As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In_xGa_1_–_xAs ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  4. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  5. High quality long-wavelength lasers grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine

    International Nuclear Information System (INIS)

    Miller, B.I.; Young, M.G.; Oron, M.; Koren, U.; Kisker, D.

    1990-01-01

    High quality long-wavelength InGaAsP/InP lasers were grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine (TBA) as a substitute for AsH 3 . Electrical and photoluminescence measurements on InGaAs and InGaAsP showed that TBA-grown material was at least as good as AsH 3 material in terms of suitability for lasers. From two wafers grown by TBA, current thresholds I th as low as 11 mA were obtained for a 2-μm-wide semi-insulating blocking planar buried heterostructure laser lasing near 1.3 μm wavelength. The differential quantum efficiencies η D were as high as 21%/facet with a low internal loss α=21 cm -1 . In addition I th as low as 18 mA and η D as high as 18% have been obtained for multiplequantum well lasers at 1.54 μm wavelength. These results show that TBA might be used to replace AsH 3 without compromising on laser performance

  6. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  7. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  8. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  9. Origin of green luminescence in ZnO thin film grown by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Heo, Y.W.; Norton, D.P.; Pearton, S.J.

    2005-01-01

    The properties of ZnO films grown by molecular-beam epitaxy are reported. The primary focus was on understanding the origin of deep-level luminescence. A shift in deep-level emission from green to yellow is observed with reduced Zn pressure during the growth. Photoluminescence and Hall measurements were employed to study correlations between deep-level/near-band-edge emission and carrier density. With these results, we suggest that the green emission is related to donor-deep acceptor (Zn vacancy V Zn - ) and the yellow to donor-deep acceptor (oxygen vacancy, O i - )

  10. Adsorption-controlled growth of ferroelectric PbTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12} films for nonvolatile memory applications by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Theis, C.D.; Yeh, J.; Schlom, D.G. [Pennsylvania State Univ., University Park, PA (United States). Dept. of Materials Science and Engineering; Hawley, M.E.; Brown, G.W. [Los Alamos National Lab., NM (United States). Center for Materials Science

    1997-09-01

    Epitaxial PbTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12} thin films have been grown on (100) SrTiO{sub 3} and (100) LaAlO{sub 3} substrates by reactive molecular beam epitaxy (MBE). Titanium is supplied to the film in the form of shuttered bursts each containing a one monolayer dose of titanium atoms for the growth of PbTiO{sub 3} and three monolayers for the growth of Bi{sub 4}Ti{sub 3}O{sub 12}. Lead, bismuth, and ozone are continuously supplied to the surface of the depositing film. Growth of phase pure, c-axis oriented epitaxial films with bulk lattice constants is achieved using an overpressure of these volatile species. With the proper choice of substrate temperature (600--650 C) and ozone background pressure (P{sub O{sub 3}} = 2 {times} 10{sup {minus}5} Torr), the excess of the volatile metals and ozone desorb from the surface of the depositing film leaving a phase-pure stoichiometric crystal. The smooth PbTiO{sub 3} surface morphology revealed by atomic force microscopy (AFM) suggests that the PbTiO{sub 3} films grow in a layer-by-layer fashion. In contrast the Bi{sub 4}Ti{sub 3}O{sub 12} films contain islands which evolve either continuously or around screw dislocations via a spiral-type growth mechanism.

  11. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  12. Hydrostatic pressure study of MBE CdMnTe doped bromine

    Energy Technology Data Exchange (ETDEWEB)

    Szczytko, J.; Wasek, D.; Przybytek, J.; Baj, M. [Institute of Experimental Physics, Warsaw University, Warsaw (Poland); Waag, A. [Physikalisches Institut, Universitaet Wuerzburg, Wuerzburg (Germany)

    1995-12-31

    We present Hall effect and resistivity measurements as a function of pressure performed on MBE-grown Cd{sub 1-x}Mn{sub x}Te (with x=0.14) layer doped with bromine. The experimental data were analysed using positive and negative U model of Br centers. We found that both models could reproduce the experimental points, but in the case of positive U modes - only under assumption that the sample was completely uncompensated. (author). 6 refs, 3 figs.

  13. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  14. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  15. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  16. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  17. Recent Progress of B-Ga2O3 MOSFETs for Power Electronic Applications

    Science.gov (United States)

    2017-03-20

    Ge Si Sn M ob ilit y (c m 2 /V *s ) Measured Nd - Na (cm -3) MBE Figure 4. Mobility as a...100 LPCVD MOVPE MOVPE Sn Si Ge C on ta ct R es is ta nc e ( m m ) Measured Nd - Na(cm -3) MBE MOVPE Figure 5. Contact Resistance (Ω*mm) is... Deposition (LPCVD). Epitaxy was grown on compensation doped (Mg or Fe) single crystal substrate with (010) or (100) crystal orientations.

  18. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  19. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  20. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  1. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  2. X-ray characterization of Au-free grown GaAs nanowires on Si

    Energy Technology Data Exchange (ETDEWEB)

    Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In this contribution we present a x-ray diffraction study of the early stage of Au-free GaAs nanowire growth on Si(111)-substrates with native oxide using the nano-focus setup available at the ID1 beamline of ESRF. The GaAs NWs were grown by molecular beam epitaxy (MBE), and their formation was induced by Ga droplets. Using a nanometer-sized x-ray beam, size and lattice parameters of individual wires were measured separately. Using asymmetric x-ray diffraction on particular zinc-blende (ZB) and wurtzite (W) sensitive reflections, we show that under the used conditions the NW growth starts with predominantly WZ phases and continues mainly in ZB phase. In addition we can show that the WZ segments of the NWs exhibit a different vertical lattice parameter compared to the zinc-blende segments. A combination of x-ray diffraction from single wires and grazing incidence diffraction shows that the base of the NW is compressively strained along the inplane direction. This strain is released within 20 nm from the substrate-interface.

  3. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  4. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  5. Molecular beam epitaxy growth of niobium oxides by solid/liquid state oxygen source and lithium assisted metal-halide chemistry

    Science.gov (United States)

    Tellekamp, M. Brooks; Greenlee, Jordan D.; Shank, Joshua C.; Doolittle, W. Alan

    2015-09-01

    In order to consistently grow high quality niobium oxides and lithium niobium oxides, a novel solid/liquid state oxygen source, LiClO4, has been implemented in a molecular beam epitaxy (MBE) system. LiClO4 is shown to decompose into both molecular and atomic oxygen upon heating. This allows oxidation rates similar to that of molecular oxygen but at a reduced overall beam flux, quantified by in situ Auger analysis. LiClO4 operation is decomposition limited to less than 400 °C, and other material limitations are identified. The design of a custom near-ambient NbCl5 effusion cell is presented, which improves both short and long term stability. Films of Nb oxidation state +2, +3, and +5 are grown using these new tools, including the multi-functional sub-oxide LiNbO2.

  6. Structure characterization of MHEMT heterostructure elements with In{sub 0.4}Ga{sub 0.6}As quantum well grown by molecular beam epitaxy on GaAs substrate using reciprocal space mapping

    Energy Technology Data Exchange (ETDEWEB)

    Aleshin, A. N., E-mail: a.n.aleshin@mail.ru; Bugaev, A. S. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation); Ermakova, M. A. [Federal Agency on Technical Regulating and Metrology, Center for Study of Surface and Vacuum Properties (Russian Federation); Ruban, O. A. [Russian Academy of Sciences, Institute of Ultra High Frequency Semiconductor Electronics (Russian Federation)

    2016-03-15

    The crystallographic parameters of elements of a metamorphic high-electron-mobility transistor (MHEMT) heterostructure with In{sub 0.4}Ga{sub 0.6}As quantum well are determined using reciprocal space mapping. The heterostructure has been grown by molecular-beam epitaxy (MBE) on the vicinal surface of a GaAs substrate with a deviation angle of 2° from the (001) plane. The structure consists of a metamorphic step-graded buffer (composed of six layers, including an inverse step), a high-temperature buffer of constant composition, and active high-electron-mobility transistor (HEMT) layers. The InAs content in the metamorphic buffer layers varies from 0.1 to 0.48. Reciprocal space mapping has been performed for the 004 and 224 reflections (the latter in glancing exit geometry). Based on map processing, the lateral and vertical lattice parameters of In{sub x}Ga{sub 1–x}As ternary solid solutions of variable composition have been determined. The degree of layer lattice relaxation and the compressive stress are found within the linear elasticity theory. The high-temperature buffer layer of constant composition (on which active MHEMT layers are directly formed) is shown to have the highest (close to 100%) degree of relaxation in comparison with all other heterostructure layers and a minimum compressive stress.

  7. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong, E-mail: hhong@aps.anl.gov [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Tung, I. C. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Chang, S.-H.; Bhattacharya, A.; Fong, D. D. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2016-01-15

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques.

  8. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong; Tung, I. C.; Chang, S.-H.; Bhattacharya, A.; Fong, D. D.

    2016-01-01

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques

  9. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  10. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  11. Near-bandgap optical properties of pseudomorphic GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    D' Costa, Vijay Richard, E-mail: vdcosta@asu.edu; Wang, Wei; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore)

    2016-08-14

    We investigated the compositional dependence of the near-bandgap dielectric function and the E{sub 0} critical point in pseudomorphic Ge{sub 1-x}Sn{sub x} alloys grown on Ge (100) substrate by molecular beam epitaxy. The complex dielectric functions were obtained using spectroscopic ellipsometry from 0.5 to 4.5 eV at room temperature. Analogous to the E{sub 1} and E{sub 1}+Δ{sub 1} transitions, a model consisting of the compositional dependence of relaxed alloys along with the strain contribution predicted by the deformation potential theory fully accounts for the observed compositional dependence in pseudomorphic alloys.

  12. Direct submillimeter absorptivity measurements on epitaxial Ba1-xKxBiO3films at 2K

    International Nuclear Information System (INIS)

    Miller, D.; Richards, P.L.; Nicol, E.J.; Hellman, E.S.; Hartford, E.H. Jr.; Platt, C.E.; Schweinfurth, R.A.; VanHarlingen, D.J.; Amano, J.

    1993-04-01

    We have used a bolometric technique to obtain accurate low temperature loss data for epitaxial thin films of Ba 0.6 K 0.4 BiO 3 from 30 to 700 cm -1 . These films were grown on MgO and SrTiO 3 substrates by MBE, off-axis sputtering and laser deposition techniques. All films show a strong absorption onset near the BCS tunneling gap of 3.5k B T c . We have analyzed these data using a Kramers-Kronig transformation and have corrected for finite film thickness effects. Results indicate that the absorption onset is consistent with a superconducting energy gap. Comparison is made with predictions based on strong coupling Eliashberg theory using α 2 F(ω) spectra obtained from the literature. While we are able to fit the overall measured absorptivity, we are unable to fit the structure observed in our data

  13. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Comparison of radiative and structural properties of 1.3 µm InxGa(1-x)As quantum-dot laser structures grown by metalorganic chemical vapor deposition and molecular-beam epitaxy: Effect on the lasing properties

    NARCIS (Netherlands)

    Passaseo, A.; Vittorio, de M.; Todaro, M.T.; Tarantini, I.; Giorgi, de M.; Cingolani, R.; Taurino, A.; Catalano, M.; Fiore, A.; Markus, A.; Chen, J.X.; Paranthoën, C.; Oesterle, U.; Ilegems, M.

    2003-01-01

    The authors have studied the radiative and structural properties of identical InxGa(1-x)As quantum dot laser structures grown by metalorg. CVD (MOCVD) and MBE. Despite the comparable emission properties found in the two devices by photoluminescence, electroluminescence, and photocurrent

  15. InAlGaAs/AlGaAs quantum wells: line widths, transition energies and segregation

    DEFF Research Database (Denmark)

    Jensen, Jacob Riis; Hvam, Jørn Märcher; Langbein, Wolfgang

    2000-01-01

    We investigate the optical properties of InAlCaAs/AlGaAs quantum wells pseudomorphically grown on GaAs using molecular beam epitaxy (MBE). The transition energies, measured with photoluminescence (PL), are modelled solving the Schrodinger equation, and taking into account segregation in the group...

  16. Ab initio-based approach to structural change of compound semiconductor surfaces during MBE growth

    Science.gov (United States)

    Ito, Tomonori; Akiyama, Toru; Nakamura, Kohji

    2009-01-01

    Phase diagrams of GaAs and GaN surfaces are systematically investigated by using our ab initio-based approach in conjunction with molecular beam epitaxy (MBE). The phase diagrams are obtained as a function of growth parameters such as temperature and beam equivalent pressure (BEP). The versatility of our approach is exemplified by the phase diagram calculations for GaAs(0 0 1) surfaces, where the stable phases and those phase boundaries are successfully determined as functions of temperature and As 2 and As 4 BEPs. The initial growth processes are clarified by the phase diagram calculations for GaAs(1 1 1)B-(2×2). The calculated results demonstrate that the As-trimer desorption on the GaAs(1 1 1)B-(2×2) with Ga adatoms occurs beyond 500-700 K while the desorption without Ga adatoms does beyond 800-1000 K. This self-surfactant effect induced by Ga adsorption crucially affects the initial growth of GaAs on the GaAs(1 1 1)B-(2×2). Furthermore, the phase diagram calculations for GaN(0 0 0 1) suggests that Ga adsorption or desorption during GaN MBE growth can easily change the pseudo-(1×1) to the (2×2)-Ga via newly found (1×1) and vice versa. On the basis of this finding, the possibility of ghost island formation during MBE growth is discussed.

  17. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  18. The X-ray investigation of GaAs nanorods grown onto Si[111] substrate

    Energy Technology Data Exchange (ETDEWEB)

    Davydok, A.; Biermanns, Andreas; Pietsch, Ullrich [University of Siegen (Germany); Breuer, Steffen; Geelhaar, Lutz [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-01

    Nanorods (NR) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. It was found that nearly any AIIIBV semiconductor material can be grown as NRs onto another AIIIBV or group IV[111] substrate independent from lattice mismatch. We presented an X-ray characterization of GaAs NRs on Si[111] grown by gold-seed assist MBE method. We concentrated our research on 4 samples with different growth time: a) at 5s growth time several island but no NWs are found on the surface; b) at 60 s first NWs appeared; c) at 150 s the size of rods is increased; d) at 1800 s many NWs occupy the whole surface. Using synchrotron radiation we have performed experiments in symmetrical and asymmetrical out-of plane scattering geometry and depth resolved grazing-incidence diffraction. Combining the results we were able to determine the strain gradient between wurzite like NR and zincblende substrate. Using particularly asymmetric wurzite-like reflections under coherent beam illumination we could quantify the number of stacking faults In the talk we present details of the analysis and first simulation results.

  19. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  20. X-ray characterization Si-doped InAs nanowires grown on GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Pietsch, Ullrich [Universitaet Siegen, Festkoerperphysik (Germany); Grap, Thomas; Lepsa, Mihail [Forschungszentrum Juelich, Institut fuer Bio- und Nanosysteme (Germany)

    2011-07-01

    Semiconductor nanowires (NW) are of particular interest due to the ability to synthesize single-crystalline 1D epitaxial structures and heterostructures in the nanometer range. However, many details of the growth mechanism are not well understood. In particular, understanding and control of doping mechanisms during NW growth are important issues for technological applications. In this contribution we present a x-ray diffraction study of the influence of Si-doping in InAs NWs grown on GaAs(111) substrates using In-assisted MBE growth. With the help of coplanar and asymmetric x-ray diffraction, we monitor the evolution of the lattice constants and structure of the InAs NWs as function of doping concentration. We observe that increasing the nominal doping concentration leads to the appearance of additional diffraction maxima corresponding to material whose vertical lattice parameter is 1% smaller than that of the undoped nanowires. Those lattice parameters can be attributed with alloy formation in the form of island like crystallites.

  1. Investigation of iron film-substrate interfaces using Rutherford backscattering and channeling techniques

    International Nuclear Information System (INIS)

    Maheswaran, S.; Thevuthasan, S.

    1999-01-01

    Thin films of α-Fe 2 O 3 (0001) (hematite) were epitaxially grown on Al 2 O 3 (0001) substrates using the new molecular beam epitaxy (MBE) system at the Environmental Molecular Sciences Laboratory (EMSL). We have investigated the interface between the hematite films and sapphire substrates using Rutherford Backscattering (RBS) and channeling experiments. Theoretical simulations were performed using VEGAS code to investigate the surface and interface structural properties of the films

  2. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  3. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  4. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  5. Digitally grown AlInAsSb for high gain separate absorption, grading, charge, and multiplication avalanche photodiodes

    Science.gov (United States)

    Lyu, Yuexi; Han, Xi; Sun, Yaoyao; Jiang, Zhi; Guo, Chunyan; Xiang, Wei; Dong, Yinan; Cui, Jie; Yao, Yuan; Jiang, Dongwei; Wang, Guowei; Xu, Yingqiang; Niu, Zhichuan

    2018-01-01

    We report on the growth of high quality GaSb-based AlInAsSb quaternary alloy by molecular beam epitaxy (MBE) to fabricate avalanche photodiodes (APDs). By means of high resolution X-ray diffraction (HRXRD) and scanning transmission electron microscope (STEM), phase separation phenomenon of AlInAsSb random alloy with naturally occurring vertical superlattice configuration was demonstrated. To overcome the tendency for phase segregation while maintaining a highly crystalline film, a digital alloy technique with migration-enhanced epitaxy growth method was employed, using a shutter sequence of AlSb, AlAs, AlSb, Sb, In, InAs, In, Sb. AlInAsSb digital alloy has proved to be reproducible and consistent with single phase, showing sharp satellite peaks on HRXRD rocking curve and smooth surface morphology under atomic force microscopy (AFM). Using optimized digital alloy, AlInAsSb separate absorption, grading, charge, and multiplication (SAGCM) APD was grown and fabricated. At room temperature, the device showed high performance with low dark current density of ∼14.1 mA/cm2 at 95% breakdown and maximum stable gain before breakdown as high as ∼200, showing the potential for further applications in optoelectronic devices.

  6. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  7. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  8. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  9. Structural and optical properties of diluted magnetic Ga1−xMnxAs ...

    Indian Academy of Sciences (India)

    2017-10-31

    Oct 31, 2017 ... grown by molecular beam epitaxy (MBE) on semi-insulating GaAs substrates with orientations (100), (110), (311)B and ... Recently, the demonstration of large tunneling magnetore- sistance induced by resonant tunneling in GaMnAs-based heterostructures made such structures to be in spin-dependent.

  10. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  11. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  12. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  13. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  14. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  15. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  16. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  17. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  18. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  19. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  20. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  1. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  2. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  3. A soft lithographic approach to fabricate InAs nanowire field-effect transistors

    DEFF Research Database (Denmark)

    Madsen, Morten; Lee, S. H.; Shin, S.-H.

    2018-01-01

    -down approach and an epitaxial layer transfer process, using MBE-grown ultrathin InAs as a source wafer. The width of the InAs nanowires was controlled using solvent-assisted nanoscale embossing (SANE), descumming, and etching processes. By optimizing these processes, NWs with a width less than 50 nm were...

  4. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  5. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  6. Raman Scattering analysis of InGaAs and AlGaAs superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Oeztuerk, N.; Bahceli, S.

    2010-01-01

    InGaAs/GaAs and AlGaAs/GaAs multiple quantum well structures were grown by molecular beam epitaxy and investigated by X-ray diffraction and micro Raman spectroscopy. Phonon modes are investigated in backscattering from (001) surface. In the measured micro Raman spectrum for both structure, phonon peaks can be resolved for GaAs. These are longitudinal optical (LO) mode at 293 cm - 1 and 294 cm - 1 for InGaAs and AlGaAs, respectively.

  7. Analysis and evaluation for practical application of photovoltaic power generation system. Analysis and evaluation for thin substrate polycrystalline solar cells (compound semiconductors and their fabrication technologies); Taiyoko hatsuden system jitsuyoka no tame no kaiseki hyoka. Usumaku taiyo denchi jitsuyoka no tame no kaiseki hyoka (kagobutsu taiyo denchi zairyo oyobi seisaku gijutsu no kaiseki hyoka)

    Energy Technology Data Exchange (ETDEWEB)

    Oyagi, H; Okada, Y; Yamaguchi, H; Shiota, T; Kuroda, S; Igarashi, O; Tanino, H; Makita, Y; Yamada, A; Kimura, S; Ohara, A; Niki, S; Shibata, H; Fons, P [Electrotechnical Laboratory, Tsukuba (Japan)

    1994-12-01

    Described herein are the results of the FY1994 research program for analysis and evaluation for thin-film compound semiconductor solar cells. The study on epitaxial growth and optical properties of the thin films of CuInSe2 and CuGaSe2 evaluates the thin epitaxial films grown under various conditions, showing morphology of the defects at the interface of heteroepitaxial growth. These results are used to set the growth conditions under which a thin film of high luminescence by exciter recombination is produced. The study also gives information of luminescence transition in the vicinity of the band ends and of energy level between the bands. The study on structural analysis of the epitaxially grown thin films of CuInSe2 investigates dependence of lattice constants of the MBE-grown CIS layer on film thickness by the X-ray diffractometry based on the bond method. The study on epitaxial growth by the Se(CH3)2-halogen transfer method tests epitaxial growth of the single-crystalline Mo on a substrate of single-crystalline sapphire. 5 figs.

  8. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  9. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  10. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  11. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  12. Continuous room-temperature operation of GaAs-Al/sub x/Ga1/sub -//sub x/As double-heterostructure lasers prepared by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Cho, A.Y.; Dixon, R.W.; Casey, H.C. Jr.; Hartman, R.L.

    1976-01-01

    The continuous (cw) operation at temperatures as high as 100 0 C of stripe-geometry GaAs-Al/sub x/Ga/sub 1-x/As double-heterostructure lasers fabricated by molecular-beam epitaxial (MBE) techniques has been achieved. Improved MBE laser performance was the result of the extensive efforts to eliminate hydrocarbon and water vapor from the growth apparatus. For 12-μm-wide stripe-geometry lasers with 380-μm-long cavities, the cw threshold currents varied between 163 and 297 mA at room temperature

  13. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  14. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    Science.gov (United States)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  15. Synthesis of GaAs quantum dots on Si-layers on AlGaAs films grown on GaAs(100) substrates

    International Nuclear Information System (INIS)

    Mendez-Garcia, V. H.; Zamora-Peredo, L.; Saucedo-Zeni, N.

    2002-01-01

    In this work we report a novel method for obtaining GaAs quantum dots by molecular beam epitaxy (MBE) on an AlGaAs underlying film. We propose to use a Si monolayer (ML) grown on AlGaAs, in order to induce a 3D nucleation during the GaAs overgrowth. The samples were prepared in a Riber 32P MBE system employing undoped Si-GaAs(100) substrates. First, a 500 nm thick layer of Al x Ga 1-x As was grown with a nominal concentration x=0.35. Several samples were grown in order to analyze the effects of changing the Si interlayer thickness, and the amount of GaAs overgrowth, on the final structures. Previous to the Si-exposure, the AlGaAs presented a (1x3) surface reconstruction which gradually turned to a (3x1) structure when the Si-thickness was 1 ML, as observed in the reflection high-energy electron diffraction (RHEED) patterns. When the GaAs overgrowth started on this surface, transmission RHEED spots appeared and showed a considerable increase in intensity until reaching a maximum. This behavior is typical from a 3D island growth. If the GaAs overgrowth continues, the initial streaky RHEED patterns recovered indicating a 2D-growth. Thus, we prepared a sample stopping the GaAs overgrowth at the time when the diffraction 3D spot reached the maximum intensity, equivalent to 2ML of GaAs. The sample surface was analyzed in air by atomic force microscopy (AFM). Islands of 1.5 nm-height and 20x20 nm of base were clearly observed, these dimensions are suitable for applications in quantum dots. (Authors)

  16. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  17. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  18. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  19. Integration of functional complex oxide nanomaterials on silicon

    Directory of Open Access Journals (Sweden)

    Jose Manuel eVila-Fungueiriño

    2015-06-01

    Full Text Available The combination of standard wafer-scale semiconductor processing with the properties of functional oxides opens up to innovative and more efficient devices with high value applications that can be produced at large scale. This review uncovers the main strategies that are successfully used to monolithically integrate functional complex oxide thin films and nanostructures on silicon: the chemical solution deposition approach (CSD and the advanced physical vapor deposition techniques such as oxide molecular beam epitaxy (MBE. Special emphasis will be placed on complex oxide nanostructures epitaxially grown on silicon using the combination of CSD and MBE. Several examples will be exposed, with a particular stress on the control of interfaces and crystallization mechanisms on epitaxial perovskite oxide thin films, nanostructured quartz thin films, and octahedral molecular sieve nanowires. This review enlightens on the potential of complex oxide nanostructures and the combination of both chemical and physical elaboration techniques for novel oxide-based integrated devices.

  20. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  1. Characterization of low Al content Al{sub x}Ga{sub 1-x}N epitaxial films grown by atmospheric-pressure MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Toure, A.; Halidou, I.; Benzarti, Z.; Bchetnia, A.; El Jani, B. [Faculte des Sciences, Unite de Recherche sur les Hetero-Epitaxies et Applications, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-Chimie des Materiaux, Faculte des Sciences de Monastir, Unite de Service Commun de Recherche ' ' High Resolution X-ray Diffractometer' ' , 5019 Monastir (Tunisia)

    2012-05-15

    Al{sub x}Ga{sub 1-x}N epitaxial films grown on GaN/sapphire by atmospheric-pressure metalorganic vapor phase epitaxy (AP-MOVPE) using trimethylgallium (TMG) and trimethylaluminum (TMA) as group III precursors have been studied. Two groups of samples were grown. The aluminum (Al) solid composition of Al{sub x}Ga{sub 1-x}N was varied in the range from 0.03 to 0.20 by changing the molar flow ratio [TMA/(TMA + TMG)]. The effect of TMA flow rate, respectively, TMG flow rate, on the growth rate, and Al solid composition is discussed. The structural properties of the alloys have been investigated by high-resolution X-ray diffraction (HRXRD). The optical properties of these samples were investigated by photoluminescence (PL). It is found that on increasing Al solid composition, via an increase of the TMA flow rate, the structural quality is deteriorated and the growth efficiency decreases. On the other hand, when the TMG flow rate is reduced, a decrease of the full width at half-maximum (FWHM) is observed with Al content. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  3. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  4. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  5. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  6. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  7. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  8. Structural, electrical and luminescent characteristics of ultraviolet light emitting structures grown by hydride vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    A.Y. Polyakov

    2017-03-01

    Full Text Available Electrical and luminescent properties of near-UV light emitting diode structures (LEDs prepared by hydride vapor phase epitaxy (HVPE were studied. Variations in photoluminescence and electroluminescence efficiency observed for LEDs grown under nominally similar conditions could be attributed to the difference in the structural quality (dislocation density, density of dislocations agglomerates of the GaN active layers, to the difference in strain relaxation achieved by growth of AlGaN/AlGaN superlattice and to the presence of current leakage channels in current confining AlGaN layers of the double heterostructure.

  9. Achieving Uniform Carriers Distribution in MBE Grown Compositionally Graded InGaN Multiple-Quantum-Well LEDs

    KAUST Repository

    Mishra, Pawan; Janjua, Bilal; Ng, Tien Khee; Shen, Chao; Salhi, Abdelmajid; Alyamani, Ahmed; El-Desouki, Munir; Ooi, Boon S.

    2015-01-01

    We investigated the design and growth of compositionally-graded InGaN multiple quantum wells (MQW) based light-emitting diode (LED) without an electron-blocking layer (EBL). Numerical investigation showed uniform carrier distribution in the active region, and higher radiative recombination rate for the optimized graded-MQW design, i.e. In0→xGa1→(1-x)N / InxGa(1-x)N / Inx→0Ga(1-x)→1N, as compared to the conventional stepped-MQW-LED. The composition-grading schemes, such as linear, parabolic, and Fermi-function profiles were numerically investigated for comparison. The stepped- and graded-MQW-LED were then grown using plasma assisted molecular beam epitaxy (PAMBE) through surface-stoichiometry optimization based on reflection high-energy electron-diffraction (RHEED) in-situ observations. Stepped- and graded-MQW-LED showed efficiency roll over at 160 A/cm2 and 275 A/cm2, respectively. The extended threshold current density roll-over (droop) in graded-MQW-LED is due to the improvement in carrier uniformity and radiative recombination rate, consistent with the numerical simulation.

  10. Achieving Uniform Carriers Distribution in MBE Grown Compositionally Graded InGaN Multiple-Quantum-Well LEDs

    KAUST Repository

    Mishra, Pawan

    2015-05-06

    We investigated the design and growth of compositionally-graded InGaN multiple quantum wells (MQW) based light-emitting diode (LED) without an electron-blocking layer (EBL). Numerical investigation showed uniform carrier distribution in the active region, and higher radiative recombination rate for the optimized graded-MQW design, i.e. In0→xGa1→(1-x)N / InxGa(1-x)N / Inx→0Ga(1-x)→1N, as compared to the conventional stepped-MQW-LED. The composition-grading schemes, such as linear, parabolic, and Fermi-function profiles were numerically investigated for comparison. The stepped- and graded-MQW-LED were then grown using plasma assisted molecular beam epitaxy (PAMBE) through surface-stoichiometry optimization based on reflection high-energy electron-diffraction (RHEED) in-situ observations. Stepped- and graded-MQW-LED showed efficiency roll over at 160 A/cm2 and 275 A/cm2, respectively. The extended threshold current density roll-over (droop) in graded-MQW-LED is due to the improvement in carrier uniformity and radiative recombination rate, consistent with the numerical simulation.

  11. Characterization of as-grown and heavily irradiated GaN epitaxial structures by photoconductivity and photoluminescence

    International Nuclear Information System (INIS)

    Gaubas, E.; Jurs e-dot nas, S.; Tomasiunas, R.; Vaitkus, J.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.

    2005-01-01

    The influence of radiation defects on photoconductivity transients and photoluminescence (PL) spectra have been examined in semi-insulating GaN epitaxial layers grown on bulk n-GaN/sapphire substrates. Defects induced by 10-keV X-ray irradiation with a dose of 600Mrad and 100-keV neutrons with fluences of 5x10 14 and 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the radiation defect density. A simultaneous decrease with radiation-induced defect density is also observed in the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime, which is due to excess carrier multi-trapping. The decay can be described by the stretched exponential approximation exp[-(t/τ) α ] with different values of α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The value of the fracton dimension d s of the disordered structure, evaluated as d s =2α/(1-α), changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, implying percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiation

  12. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  13. XAFS Study of Epitaxial CoxTi1-xO2-x Anatase

    International Nuclear Information System (INIS)

    Heald, S.M.; Chambers, S.A.; Droubay, T.

    2009-01-01

    Co doped TiO 2 -anatase is a promising candidate for a room-temperature ferromagnetic semiconductor. XAFS measurements have been used to investigate the local Co environment and Co valence for several Co-anatase films. The samples were grown on LaAlO 3 (001) by oxygen plasma assisted molecular beam epitaxy and on SrTiO 3 by atomic oxygen assisted MBE. Co concentrations were about 5%. The measurements were made at the PNC-CAT bending magnet and undulator beamlines at the Advanced Photon Source. For the films on LaAlO 3 , the near edge clearly shows the presence of only Co(2+), and no evidence for metallic Co, while the films on SrTiO 3 showed significant metallic Co. Analysis of the extended fine structure for the LaAlO 3 films finds that the Co substitutes for Ti with some distortion of the lattice. Both in-plane and out-of-plane Co-O bonds are expanded from the Ti-O bonds in anatase. The in-plane bonds are expanded approximately twice as much. A deficit in the oxygen coordination number suggests a correlation of oxygen vacancies with Co sites.

  14. Group III nitride-arsenide long wavelength lasers grown by elemental source molecular beam epitaxy

    International Nuclear Information System (INIS)

    Coldren, C. W.; Spruytte, S. G.; Harris, J. S.; Larson, M. C.

    2000-01-01

    Elemental source molecular beam epitaxy was used to grow InGaNAs quantum well samples, edge-emitting laser diodes, and vertical-cavity laser diodes on GaAs substrates. The quantum well samples exhibited an as-grown room temperature photoluminescence peak beyond 1310 nm which both increased dramatically in intensity and blueshifted with thermal annealing. Edge emitting laser diodes had threshold current densities as low as 450 and 750 A/cm 2 for single and triple quantum well active regions, respectively, and emitted light at 1220-1250 nm. The vertical cavity laser diodes emitted light at 1200 nm and had threshold current densities of 3 kA/cm 2 and efficiencies of 0.066 W/A. (c) 2000 American Vacuum Society

  15. Unusual photoluminescence properties of vertically aligned InN nanorods grown by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Shen, C.H.; Chen, H.Y.; Lin, H.W.; Wu, C.Y.; Gwo, S.; Klochikhin, A.A.; Davydov, V.Yu.

    2007-01-01

    We report the unusual photoluminescence (PL) properties of vertically aligned InN nanorod arrays grown on Si(111) with a Si 3 N 4 buffer layer. The optimum growth conditions of InN nanorods are obtained by controlling the III/V ratio and the growth temperature. Structural characterization by X-ray diffraction and scanning electron microscopy indicates that individual nanorods are wurtzite InN single crystals with the growth direction along the c-axis. Near-infrared PL from InN nanorods is clearly observed at room temperature. However, in comparison to the PL from InN epitaxial films, the PL from InN nanorods is significantly lower in efficiency and exhibit anomalous temperature dependence. We propose that these unusual PL properties are results of considerable structural disorder (especially for the low-temperature grown InN nanorods) and strong surface electron accumulation effect. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  17. MBE-growth, characterization and properties of InN and InGaN

    International Nuclear Information System (INIS)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T.; Suzuki, A.; Miyajima, T.

    2003-01-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. MBE-growth, characterization and properties of InN and InGaN

    Energy Technology Data Exchange (ETDEWEB)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T. [Dept. of Photonics, Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Suzuki, A. [Res. Org. of Sci. and Eng., Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Miyajima, T. [Sony Corp. Core Technology and Network Company, 4-14-1 Asahi, Atsugi, Kanagawa 243-0014 (Japan)

    2003-11-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  20. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  1. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  2. SIMS studies of CI- doped Zn Se epilayers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gard, F.S.; Riley, J.D.; Lekey, R.; Usher, B.F.; Prine, K.

    2004-01-01

    Chlorine is one of the most used species to produce n-type zinc selenium epilayers. In this paper, we present secondary ion mass spectrometry profiles of a series of chlorine-doped zinc selenium samples, which were grown in a molecular beam epitaxy chamber. These profiles have been used to examine the limitation of secondary ion mass spectrometry analysis of narrow chlorine-delta layers. In order to covert secondary ion mass spectrometry raw data to quantified data, the depth profile from a chlorine-implanted standard sample has been used to estimate the u seful ion yield o f chlorine and thus the instrument sensitivity for chlorine in a zinc selenium matrix. The u seful ion yield a nd detection limit of chlorine in the zinc selenium host matrix were calculated to be 4.7 X 10 -17 atoms/ cm 3 , respectively

  3. Transport and magnetic properties of Pr1-x Ca x MnO3 epitaxial films grown on LaAlO3 substrates

    International Nuclear Information System (INIS)

    Maniwa, A.; Okano, K.; Ohkubo, I.; Kumigashira, H.; Oshima, M.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2007-01-01

    We have measured physical properties of Pr 1- x Ca x MnO 3 (PCMO) epitaxial thin films with different hole concentrations (x=0.2, 0.3, 0.4, and 0.5) grown on LaAlO 3 (1 0 0) substrates by laser molecular beam epitaxy technique. The temperature dependence of the resistivity shows insulating behavior in all temperature regions and the resistivity itself monotonously decreases as x increases. This insulating nature of PCMO films is similar to that of bulk PCMO crystals. However, we did not find any indication of the resistivity anomaly associated with the onset of charge ordering irrespective of x. These results suggest that the compressive strain strongly suppresses charge-ordered states in PCMO

  4. Double-heterostructure PbSnTe lasers grown by molecular-beam epitaxy with cw operation up to 114 K

    International Nuclear Information System (INIS)

    Walpole, J.N.; Calawa, A.R.; Harman, T.C.; Groves, S.H.

    1976-01-01

    Double-heterostructure Pb/sub 1-x/Sn/sub x/Te lasers with active regions of Pb 0 . 782 Sn 0 . 218 Te have been grown by molecular-beam epitaxy which operate cw up to heat-sink temperatures of 114 0 K. Temperature tuning of the emission from 15.9 to 8.54 μm wavelength is obtained, with emission at 77 0 K near 11.5 μm. The current-voltage characteristics show an abrupt change in slope at threshold, indicating high incremental internal quantum efficiency

  5. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  6. Electrical properties of GaAsN film grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Nishimura, K.; Suzuki, H.; Saito, K.; Ohshita, Y.; Kojima, N.; Yamaguchi, M.

    2007-01-01

    The local vibrational modes (LVMs) observed by Fourier transform infrared (FTIR) spectroscopy in GaAsN films grown by chemical beam epitaxy (CBE) was studied, and the influence of the nitrogen-hydrogen bond (N-H) concentration on the hole concentration was investigated. The absorption peak around 936 cm -1 is suggested to be the second harmonic mode of the substitutional N, N As , LVM around 469 cm -1 . The absorption peak around 960 cm -1 is suggested to be the wagging mode of the N-H, where the stretch mode is observed around 3098 cm -1 . The hole concentration linearly increases with increasing N-H concentration, and the slope increases with increasing growth temperature. It indicates that the hole concentration in GaAsN film is determined by both the number of the N-H and unknown defect, such as impurities, vacancies, and interstitials. This defect concentration increases with increasing growth temperature, suggesting that it is determined by Arrhenius type reaction

  7. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  8. Effect of sulfur passivation of InSb (0 0 1) substrates on molecular-beam homoepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Solov’ev, V.A., E-mail: vasol@beam.ioffe.ru; Sedova, I.V.; Lvova, T.V.; Lebedev, M.V.; Dement’ev, P.A.; Sitnikova, A.A.; Semenov, A.N.; Ivanov, S.V.

    2015-11-30

    Highlights: • Treatment of InSb (0 0 1) substrate with 1 M aqueous Na{sub 2}S solution results in nearly complete removal of native oxides. • A sulfide protective layer formed by the S-treatment is desorbed at much lower temperatures than the native oxide layer. • The bulk InSb epilayers grown on the S-treated substrates have very smooth surface (RMS ∼0.1 nm). • Good structural quality of the homointerfaces formed at the S-treated substrates is confirmed by TEM. - Abstract: The aqueous sodium sulfide solution has been used for pre-epitaxial preparation of epi-ready InSb (0 0 1) substrates for molecular beam epitaxy (MBE) of InSb layers. X-ray photoemission spectroscopy study shows that the S-treated surface of InSb (0 0 1) substrate generally does not contain a native oxide layer and is covered with a sulfide protecting overlayer. Atomic-force microscopy and transmission electron microscopy have been applied to compare surface topography and structural properties of InSb layers grown by MBE on S-treated and untreated epi-ready InSb (0 0 1) substrates. The MBE growth of InSb layers with very smooth surface possessing the root-mean-square roughness as low as 0.1 nm and good structural quality has been demonstrated on the S-treated substrates.

  9. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  10. Emission control of InGaN nanocolumns grown by molecular-beam epitaxy on Si(111) substrates

    International Nuclear Information System (INIS)

    Albert, S.; Bengoechea-Encabo, A.; Sanchez-Garcia, M. A.; Calleja, E.; Lefebvre, P.; Jahn, U.; Trampert, A.

    2011-01-01

    This work studies the effect of the growth temperature on the morphology and emission characteristics of self-assembled InGaN nanocolumns grown by plasma assisted molecular beam epitaxy. Morphology changes are assessed by scanning electron microscopy, while emission is measured by photoluminescence. Within the growth temperature range of 750 to 650 deg. C, an increase in In incorporation for decreasing temperature is observed. This effect allows tailoring the InGaN nanocolumns emission line shape by using temperature gradients during growth. Depending on the gradient rate, span, and sign, broad emission line shapes are obtained, covering the yellow to green range, even yielding white emission.

  11. Photoluminescence study of MBE grown InGaN with intentional indium segregation

    International Nuclear Information System (INIS)

    Cheung, Maurice C.; Chen, Fei; Furis, Madalina; Cartwright, Alexander N.; Namkoong, Gon; Doolittle, W. Alan; Pudavar, Haridas E.

    2005-01-01

    Proper control of MBE growth conditions has yielded an In 0.13 Ga 0.87 N thin film sample with emission consistent with In-segregation. The photoluminescence (PL) from this epilayer showed multiple emission components. Moreover, temperature and power dependent studies of the PL demonstrated that two of the components were excitonic in nature and consistent with indium phase separation. At 15 K, time resolved PL showed a non-exponential PL decay that was well fitted with the stretched exponential solution expected for disordered systems. Consistent with the assumed carrier hopping mechanism of this model, the effective lifetime, τ, and the stretched exponential parameter, β, decrease with increasing emission energy. Finally, room temperature micro-PL using a confocal microscope showed spatial clustering of low energy emission. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Photoluminescence study of MBE grown InGaN with intentional indium segregation

    Energy Technology Data Exchange (ETDEWEB)

    Cheung, Maurice C.; Chen, Fei; Furis, Madalina; Cartwright, Alexander N. [Department of Electrical Engineering, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States); Namkoong, Gon; Doolittle, W. Alan [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30332 (United States); Pudavar, Haridas E. [Department of Chemistry, University at Buffalo, State University of New York, Buffalo, NY 14260 (United States)

    2005-05-01

    Proper control of MBE growth conditions has yielded an In{sub 0.13}Ga{sub 0.87}N thin film sample with emission consistent with In-segregation. The photoluminescence (PL) from this epilayer showed multiple emission components. Moreover, temperature and power dependent studies of the PL demonstrated that two of the components were excitonic in nature and consistent with indium phase separation. At 15 K, time resolved PL showed a non-exponential PL decay that was well fitted with the stretched exponential solution expected for disordered systems. Consistent with the assumed carrier hopping mechanism of this model, the effective lifetime, {tau}, and the stretched exponential parameter, {beta}, decrease with increasing emission energy. Finally, room temperature micro-PL using a confocal microscope showed spatial clustering of low energy emission. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Water Splitting over Epitaxially Grown InGaN Nanowires on-Metallic Titanium/Silicon Template: Reduced Interfacial Transfer Resistance and Improved Stability

    KAUST Repository

    Ebaid, Mohamed

    2018-03-09

    Water splitting using InGaN-based photocatalysts may have a great contribution in future renewable energy production systems. Among the most important parameters to solve are those related to substrate lattice-matching compatibility. Here, we directly grow InGaN nanowires (NWs) on a metallic Ti/Si template, for improving water splitting performance compared to a bare Si substrate. The open circuit potential of the epitaxially grown InGaN NWs on metallic Ti was almost two times that of those grown on Si substrate. The interfacial transfer resistance was also reduced significantly after introducing the metallic Ti interlayer. An applied-bias-photon-to-current conversion efficiency of 2.2% and almost unity Faradic efficiency for hydrogen generation were achieved using this approach. The InGaN NWs grown on Ti showed improved stability of hydrogen generation under continuous operation conditions, when compared to those grown on Si, emphasizing the role of the semiconductor-on-metal approach in enhancing the overall efficiency of water splitting catalysts.

  14. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  15. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  16. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  17. Structural atomic-scale analysis of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A substrate

    NARCIS (Netherlands)

    Keizer, J.G.; Jo, M.; Mano, T.; Noda, T.; Sakoda, K.; Koenraad, P.M.

    2011-01-01

    We report the structural analysis at the atomic scale of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A-oriented substrate. The shape, interfaces, and composition of these nanostructures and their surrounding matrix are investigated. We show that quantum wires can be

  18. Structure, interface abruptness and strain relaxation in self-assisted grown InAs/GaAs nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Frigeri, Cesare, E-mail: frigeri@imem.cnr.it [CNR-IMEM Institute, Parma (Italy); Scarpellini, David [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); Department of Industrial Engineering University of Rome Tor Vergata, Rome (Italy); Fedorov, Alexey [LNESS and CNR-IFN, Como (Italy); Bietti, Sergio; Somaschini, Claudio [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); Grillo, Vincenzo [CNR-IMEM Institute, Parma (Italy); CNR-S3-NANO Center, Modena (Italy); Esposito, Luca; Salvalaglio, Marco; Marzegalli, Anna; Montalenti, Francesco [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); Sanguinetti, Stefano [L–NESS and Dept. Materials Science, University of Milano Bicocca, Milano (Italy); LNESS and CNR-IFN, Como (Italy)

    2017-02-15

    Highlights: • We study 2 critical issues (interface abruptness and strain release) in InAs/GaAs NWs. • Structural and chemical interface sharpness ≤1.5 nm, better than in previous reports. • Simultaneous elastic and plastic relaxation is shown that agrees with FEM simulations. • Structural, chemical and strain release investigations were performed by STEM. • New MBE self-seeded method whereby InAs is grown by splitting In and As depositions. - Abstract: The structure, interface abruptness and strain relaxation in InAs/GaAs nanowires grown by molecular beam epitaxy in the Ga self-catalysed mode on (111) Si have been investigated by transmission electron microscopy. The nanowires had the zincblende phase. The InAs/GaAs interface was atomically and chemically sharp with a width around 1.5 nm, i.e. significantly smaller than previously reported values. This was achieved by the consumption of the Ga droplet and formation of a flat top facet of the GaAs followed by the growth of InAs by splitting the depositions of In and As. Both elastic and plastic strain relaxation took place simultaneously. Experimental TEM results about strain relaxation very well agree with linear elasticity theory calculations by the finite element methods.

  19. Structure, interface abruptness and strain relaxation in self-assisted grown InAs/GaAs nanowires

    International Nuclear Information System (INIS)

    Frigeri, Cesare; Scarpellini, David; Fedorov, Alexey; Bietti, Sergio; Somaschini, Claudio; Grillo, Vincenzo; Esposito, Luca; Salvalaglio, Marco; Marzegalli, Anna; Montalenti, Francesco; Sanguinetti, Stefano

    2017-01-01

    Highlights: • We study 2 critical issues (interface abruptness and strain release) in InAs/GaAs NWs. • Structural and chemical interface sharpness ≤1.5 nm, better than in previous reports. • Simultaneous elastic and plastic relaxation is shown that agrees with FEM simulations. • Structural, chemical and strain release investigations were performed by STEM. • New MBE self-seeded method whereby InAs is grown by splitting In and As depositions. - Abstract: The structure, interface abruptness and strain relaxation in InAs/GaAs nanowires grown by molecular beam epitaxy in the Ga self-catalysed mode on (111) Si have been investigated by transmission electron microscopy. The nanowires had the zincblende phase. The InAs/GaAs interface was atomically and chemically sharp with a width around 1.5 nm, i.e. significantly smaller than previously reported values. This was achieved by the consumption of the Ga droplet and formation of a flat top facet of the GaAs followed by the growth of InAs by splitting the depositions of In and As. Both elastic and plastic strain relaxation took place simultaneously. Experimental TEM results about strain relaxation very well agree with linear elasticity theory calculations by the finite element methods.

  20. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  1. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  2. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  3. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  4. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  5. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  6. Characterization of GaAs and hetero-structures of GaAs-(AlGa)As films, by Hall effect

    International Nuclear Information System (INIS)

    Diniz, R.P.

    1989-08-01

    Hall effect measurements were performed on a series of semiconductor gallium arsenide (GaAs) films, intentionally or unitentionally doped, grown by molecular beam epitaxy (MBE). These measurements made possible both the evaluation of the films quality and the calibration of the dopants (Si and Be) effusion cells on the growing machine. Measurements on modulation doped single interface heterostructures also grown by MBE followed. The two dimensional electron gas in the heterostructures shows low temperature high mobility. The application of a strong magnetic field perpendicular to the plane of the gas eliminated its degrees of freedom completely and permitted the observation of Schubnikov-deHaas oscillations and integer quantum Hall effect. During the work we have deviced and developed apparatus in order to make ohmic contacts and perform litography to semiconductors. (author) [pt

  7. Stimulated emission at 2.8 μm from Hg-based quantum well structures grown by photoassisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Giles, N.C.; Yang, Z.; Han, J.W.; Cook, J.W. Jr.; Schetzina, J.F.

    1990-01-01

    We report the first observation of stimulated emission from Hg-based quantum well structures in which the active region is a HgCdTe superlattice. The laser structures were grown on (100) CdZnTe substrates by photoassisted molecular beam epitaxy. Cleaved laser cavities were optically pumped using the 1.06 μm output from a continuous wave Nd:YAG laser. Stimulated emission cavity modes were seen at cw laser power densities as low as 3.4 kW/cm 2 and at temperatures ≥60 K

  8. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  9. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  10. Interface properties of MIS structures based on hetero-epitaxial graded-gap Hg1-xCdxTe with CdTe interlayer created in situ during MBE growth

    Science.gov (United States)

    Voitsekhovskii, Alexander V.; Nesmelov, Sergey N.; Dzyadukh, Stanislav M.; Varavin, Vasily S.; Dvoretsky, Sergey A.; Mikhailov, Nikolay N.; Yakushev, Maksim V.; Sidorov, Georgy Yu.

    2017-11-01

    Heterostructures based on n-Hg1-xCdxTe (x = 0.23-0.40) with near-surface graded-gap layers were grown by molecular beam epitaxy on Si (013) substrates. At 77 K, the admittance of the In/Al2O3/Hg1-xCdxTe metal-insulator-semiconductor (MIS) structures with grown in situ CdTe intermediate layer and without such a layer was investigated. It has been established that MIS structures of In/Al2O3/Hg1-xCdxTe with an interlayer of in situ grown CdTe are characterized by the electrical strength of the dielectric and the qualitative interface. The hysteresis of the capacitive characteristics is practically absent within a small range of variation in the bias voltage. The density of fast surface states at the minimum does not exceed 2.2 × 1010 eV-1 cm-2. MIS structures of In/Al2O3/Hg1-xCdxTe without an intermediate layer of CdTe have significantly higher densities of fast and slow surface states, as well as lower values of the differential resistance of the space-charge region in the regime of strong inversion.

  11. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  12. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  13. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  14. Microstructure of InxGa1−xN nanorods grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Webster, R F; Soundararajah, Q Y; Griffiths, I J; Cherns, D; Novikov, S V; Foxon, C T

    2015-01-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga 1−x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core–shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration. (paper)

  15. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  16. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  17. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  18. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  19. Physical properties and band structure of reactive molecular beam epitaxy grown oxygen engineered HfO{sub 2{+-}x}

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, 64287 Darmstadt (Germany)

    2012-12-01

    We have conducted a detailed thin film growth structure of oxygen engineered monoclinic HfO{sub 2{+-}x} grown by reactive molecular beam epitaxy. The oxidation conditions induce a switching between (111) and (002) texture of hafnium oxide. The band gap of oxygen deficient hafnia decreases with increasing amount of oxygen vacancies by more than 1 eV. For high oxygen vacancy concentrations, defect bands form inside the band gap that induce optical transitions and p-type conductivity. The resistivity changes by several orders of magnitude as a function of oxidation conditions. Oxygen vacancies do not give rise to ferromagnetic behavior.

  20. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  1. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  2. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  3. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  4. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  5. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  6. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  7. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  8. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  9. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  10. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  11. Size filtering effect in vertical stacks of In(Ga)As/GaAs self-assembled quantum rings

    International Nuclear Information System (INIS)

    Ouerghui, W.; Melliti, A.; Maaref, M.A.; Martinez-Pastor, J.; Gomis, J.; Granados, D.; Garcia, J.M.

    2006-01-01

    We present a systematic study of closely In(Ga)As/InAs quantum rings (QRs) grown by molecular beam epitaxy (MBE). Photoluminescence (PL) experiments show a strong filtering effect in the ring being stacked and simultaneous linewidth narrowing for the appropriate layer thickness (thinner thickness). If the spacer thickness is further reduced, a strong coupling between the nanostructures is produced and the signal shifts to low energy

  12. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    Science.gov (United States)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  13. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  14. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  15. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  16. Scintillation efficiency and X-ray imaging with the RE-Doped LuAG thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Tous, Jan; Blazek, Karel; Kucera, Miroslav; Nikl, Martin; Mares, Jiri A.

    2012-01-01

    Very thin scintillator imaging plates have recently become of great interest. In high resolution X-ray radiography, very thin scintillator layers of about 5–20 μm are used to achieve 2D-spatial resolutions below 1 μm. Thin screens can be prepared by mechanical polishing from single crystals or by epitaxial growth on single-crystal substrates using the Liquid Phase Epitaxy technique (LPE). Other types of screens (e.g. deposited powder) do no reach required spatial resolutions. This work compares LPE-grown YAG and LuAG scintillator films doped with different rare earth ions (Cerium, Terbium and Europium). Two different fluxes were used in the LPE growth procedure. These LPE films are compared to YAG:Ce and LuAG:Ce screens made from bulk single crystals. Relative light yield was detected by a highly sensitive CCD camera. Scintillator screens were excited by a micro-focus X-ray source and the generated light was gathered by the CCD camera’s optical system. Scintillator 2D-homogeneity is examined in an X-ray imaging setup also using the CCD camera.

  17. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  18. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  19. 1.142 mu m GaAsBi/GaAs Quantum Well Lasers Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Wu, Xiaoyan; Pan, Wenwu; Zhang, Zhenpu

    2017-01-01

    in GaAsBi0.058/GaAs quantum well LDs grown by molecular beam epitaxy. The output power is up to 127 mW at 300 K under pulsed mode. We also demonstrate continuous wave mode operation up to 273 K for the first time. The temperature coefficient of the GaAsBi/GaAs LD is 0.26 nm/K in the temperature range......As a promising new class of near-infrared light emitters, GaAsBi laser diodes (LDs) are considered to have a high energy efficiency and an insensitive temperature dependence of the band gap. In this paper, we realize the longest ever reported lasing wavelength up to 1.142 mu m at room temperature...

  20. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  1. Improving stability of photoluminescence of ZnSe thin films grown by molecular beam epitaxy by incorporating Cl dopant

    International Nuclear Information System (INIS)

    Wang, J. S.; Shen, J. L.; Chen, W. J.; Tsai, Y. H.; Wang, H. H.; Yang, C. S.; Chen, R. H.; Tsai, C. D.

    2011-01-01

    This investigation studies the effect of chlorine (Cl) dopant in ZnSe thin films that were grown by molecular beam epitaxy on their photoluminescence (PL) and the stability thereof. Free excitonic emission was observed at room-temperature in the Cl-doped sample. Photon irradiation with a wavelength of 404 nm and a power density of 9.1 W/cm 2 has a much stronger effect on PL degradation than does thermal heating to a temperature of 150 deg. C. Additionally, this study shows that the generation of nonradiative centers by both photon irradiation and thermal heating can be greatly inhibited by incorporating Cl dopant.

  2. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  3. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    International Nuclear Information System (INIS)

    Kumar, Rahul; Bag, Ankush; Mukhopadhyay, Partha; Das, Subhashis; Biswas, Dhrubes

    2015-01-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  4. Comparison of different grading schemes in InGaAs metamorphic buffers on GaAs substrate: Tilt dependence on cross-hatch irregularities

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Rahul, E-mail: rkp203@gmail.com [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Bag, Ankush [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Mukhopadhyay, Partha [Rajendra Mishra School of Engineering Entrepreneurship, Indian Institute of Technology, Kharagpur 721302 (India); Das, Subhashis [Advanced Technology Development Centre, Indian Institute of Technology, Kharagpur 721302 (India); Biswas, Dhrubes [Department of Electronics & Electrical Communication Engineering, Indian Institute of Technology, Kharagpur 721 302 (India)

    2015-12-01

    Highlights: • InGaAs graded MBs with different grading scheme has been grown by MBE on GaAs. • Continuously graded MB exhibits smoother surface morphology. • Grading scheme has been found to have little impact on lattice relaxation. • Grading schemeaffects the lattice tilt significantly. • Cross-hatch surface irregularities affect the crystallographic tilt. - Abstract: InGaAs graded metamorphic buffers (MBs) with different grading strategies have been grown by molecular beam epitaxy (MBE) on GaAs (0 0 1) substrate. A detailed comparative analysis of surface using atomic force microscopy (AFM), and bulk properties using high resolution X-ray diffraction (HRXRD) and room temperature photoluminescence (RTPL) of grown MBs have been presented to comprehend the effectiveness of different grading scheme on InGaAs MBs. Conventional, statistical and fractal analysis on measured AFM data has been performed for in-depth investigation of these surfaces. The grading scheme has been found to have little impact on residual strain while it affects the epitaxial tilt significantly. Moreover, the tilt has been found to depend on growth front irregularities. Tilt magnitude in a graded MB has been found to vary with composition while tilt azimuth has been found to be almost same in the graded layers. PL Intensity and a shift in the PL peaks have been used to study the quality of the MB and residual strain comparatively.

  5. GaAsPN-based PIN solar cells MBE-grown on GaP substrates: toward the III-V/Si tandem solar cell

    Science.gov (United States)

    Da Silva, M.; Almosni, S.; Cornet, C.; Létoublon, A.; Levallois, C.; Rale, P.; Lombez, L.; Guillemoles, J.-F.; Durand, O.

    2015-03-01

    GaAsPN semiconductors are promising material for the elaboration of high efficiencies tandem solar cells on silicon substrates. GaAsPN diluted nitride alloy is studied as the top junction material due to its perfect lattice matching with the Si substrate and its ideal bandgap energy allowing a perfect current matching with the Si bottom cell. We review our recent progress in materials development of the GaAsPN alloy and our recent studies of some of the different building blocks toward the elaboration of a PIN solar cell. A lattice matched (with a GaP(001) substrate, as a first step toward the elaboration on a Si substrate) 1μm-thick GaAsPN alloy has been grown by MBE. After a post-growth annealing step, this alloy displays a strong absorption around 1.8-1.9 eV, and efficient photoluminescence at room temperature suitable for the elaboration of the targeted solar cell top junction. Early stage GaAsPN PIN solar cells prototypes have been grown on GaP (001) substrates, with 2 different absorber thicknesses (1μm and 0.3μm). The external quantum efficiencies and the I-V curves show that carriers have been extracted from the GaAsPN alloy absorbers, with an open-circuit voltage of 1.18 V, while displaying low short circuit currents meaning that the GaAsPN structural properties needs a further optimization. A better carrier extraction has been observed with the absorber displaying the smallest thickness, which is coherent with a low carriers diffusion length in our GaAsPN compound. Considering all the pathways for improvement, the efficiency obtained under AM1.5G is however promising.

  6. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  7. Tin surface segregation, desorption, and island formation during post-growth annealing of strained epitaxial Ge{sub 1−x}Sn{sub x} layer on Ge(0 0 1) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wei; Li, Lingzi; Zhou, Qian [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Pan, Jisheng; Zhang, Zheng [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore); Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore)

    2014-12-01

    Highlights: • Ge{sub 0.915}Sn{sub 0.085} was grown on Ge (0 0 1) by molecular beam epitaxy (MBE). • The impact of annealing on surface morphology and Sn composition was studied. • Sn is found to preferentially segregate towards the surface at 200 °C. • A Sn-rich layer would form on the Ge{sub 1−x}Sn{sub x} surface after annealing at 300 °C. • Sn desorption and formation of Sn-rich islands were found when T > 300 °C. - Abstract: Annealing of strained Ge{sub 1−x}Sn{sub x} epitaxial layers grown on Ge(0 0 1) substrate results in two distinctive regimes marked by changes in composition and morphology. Annealing at low temperatures (200–300 °C or Regime-I) leads to surface enrichment of Sn due to Sn segregation, as indicated by X-ray photoelectron spectroscopy (XPS) results, while the bulk Sn composition (from X-ray diffraction (XRD)) and the surface morphology (from atomic force microscopy (AFM)) do not show discernible changes as compared to the as-grown sample. Annealing at temperatures ranging from 300 °C to 500 °C (Regime-II) leads to a decrease in the surface Sn composition. While the Ge{sub 1−x}Sn{sub x} layer remains fully strained, a reduction in the bulk Sn composition is observed when the annealing temperature reaches 500 °C. At this stage, surface roughening also occurs with formation of 3D islands. The island size increases as the annealing temperature is raised to 600 °C. The decrease in the Sn composition at the surface and in the bulk in Regime-II is attributed to additional thermally activated kinetic processes associated with Sn desorption and formation of Sn-rich 3D islands on the surface.

  8. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  9. Domain structure and magnetic properties of epitaxial SrRuO sub 3 films grown on SrTiO sub 3 (100) substrates by ion beam sputtering

    CERN Document Server

    Oh, S H

    2000-01-01

    The domain structure of epitaxial SrRuO sub 3 thin films grown on SrTiO sub 3 (100) substrates by using ion beam sputtering has been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). The SrRuO sub 3 films grown in the present study revealed a unique cube-on-cube epitaxial relationship, i.e., (100) sub S sub R sub O ll (100) sub S sub T sub O , [010] sub S sub R sub O ll [101] sub S sub T sub O , prevailing with a cubic single-domain structure. The cubic SrRuO sub 3 thin films that were inherently with free from RuO sub 6 octahedron tilting exhibited higher resistivity with suppressed magnetic properties. The Curie temperature of the thin films was suppressed by 60 K from 160 K for the bulk specimen, and the saturation magnetic moment was reduced by a significant amount. The tetragonal distortion of the SrRuO sub 3 thin films due to coherent growth with the substrate seemed to result in a strong magnetic anisotropy.

  10. Molecular-beam epitaxy growth and structural characterization of semiconductor-ferromagnet heterostructures by grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Satapathy, D.K.

    2005-12-19

    The present work is devoted to the growth of the ferromagnetic metal MnAs on the semiconductor GaAs by molecular-beam epitaxy (MBE). The MnAs thin films are deposited on GaAs by molecular-beam epitaxy (MBE). Grazing incidence diffraction (GID) and reflection high-energy electron diffraction (RHEED) are used in situ to investigate the nucleation, evolution of strain, morphology and interfacial structure during the MBE growth. Four stages of the nucleation process during growth of MnAs on GaAs(001) are revealed by RHEED azimuthal scans. GID shows that further growth of MnAs films proceed via the formation of relaxed islands at a nominal thickness of 2.5 ML which increase in size and finally coalesce to form a continuous film. Early on, an ordered array of misfit dislocations forms at the interface releasing the misfit strain even before complete coalescence occurs. The fascinating complex nucleation process of MnAs on GaAs(0 0 1) contains elements of both Volmer-Weber and Stranski-Krastanov growth. A nonuniform strain amounting to 0.66%, along the [1 -1 0] direction and 0.54%, along the [1 1 0] direction is demonstrated from x-ray line profile analysis. A high correlation between the defects is found along the GaAs[1 1 0] direction. An extremely periodic array of misfit dislocations with a period of 4.95{+-}0.05 nm is formed at the interface along the [1 1 0] direction which releases the 7.5% of misfit. The inhomogeneous strain due to the periodic dislocations is confined at the interface within a layer of 1.6 nm thickness. The misfit along the [1 -1 0] direction is released by the formation of a coincidence site lattice. (orig.)

  11. CdTe layer structures for X-ray and gamma-ray detection directly grown on the Medipix readout-chip by MBE

    Science.gov (United States)

    Vogt, A.; Schütt, S.; Frei, K.; Fiederle, M.

    2017-11-01

    This work investigates the potential of CdTe semiconducting layers used for radiation detection directly deposited on the Medipix readout-chip by MBE. Due to the high Z-number of CdTe and the low electron-hole pair creation energy a thin layer suffices for satisfying photon absorption. The deposition takes place in a modified MBE system enabling growth rates up to 10 μm/h while the UHV conditions allow the required high purity for detector applications. CdTe sensor layers deposited on silicon substrates show resistivities up to 5.8 × 108 Ω cm and a preferred (1 1 1) orientation. However, the resistivity increases with higher growth temperature and the orientation gets more random. Additionally, the deposition of a back contact layer sequence in one process simplifies the complex production of an efficient contact on CdTe with aligned work functions. UPS measurements verify a decrease of the work function of 0.62 eV induced by Te doping of the CdTe.

  12. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  13. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  14. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  15. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  16. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  17. (211) oriented ZnTe growth on m-plane sapphire by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atugi-shi 243-0198 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi-shi 317-0056 (Japan)

    2013-11-15

    Single-crystalline and single domain ZnTe thin films are sought for high-performance terahertz wave detectors, and ZnTe/sapphire heterostructures were considered since the Electro-Optical (EO) effect could be obtained only from epilayers. ZnTe epilayers were grown on m-plane sapphire substrates by molecular beam epitaxy, and the potential of single domain epilayers was explored. Through the X-ray diffraction pole figure measurement it was confirmed that one (100) oriented ZnTe domain along with two kinds of (211) oriented domains were formed on the m-plane sapphire when the layer was grown at 340 C. When the layer was grown at 350 C, the (211) oriented domain dominated the film. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Growth of c-plane ZnO on γ-LiAlO2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan, T.; Lu, C.-Y.J.; Schuber, R.; Chang, L.; Schaadt, D.M.; Chou, M.M.C.; Ploog, K.H.; Chiang, C.-M.

    2015-01-01

    Highlights: • ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by MBE. • A high Zn/O flux ratio is beneficial for reducing the density of screw dislocations. • Reciprocal space maps demonstrate that the misfit strain in ZnO has been relaxed. • No interfacial layer is formed at ZnO/GaN interface using a Zn pre-exposure strategy. - Abstract: C-plane ZnO epilayers were grown on LiAlO 2 (1 0 0) substrate with a GaN buffer layer by plasma assisted molecular beam epitaxy. Both the X-ray rocking curves and the transmission electron microscopy analyses indicate that the ZnO epilayers exhibit a lower threading dislocation density (∼1 × 10 10 cm −2 ) as compared to those grown on LiAlO 2 substrate without the buffer layer. A high Zn/O flux ratio is beneficial for reducing the density of screw-type dislocations. Reciprocal space maps demonstrate that the misfit strain has been relaxed. No interfacial layer is formed at the ZnO/GaN interface by using a Zn pre-exposure strategy. The ZnO epilayers exhibit a strong near band edge emission at 3.28 eV at room temperature with a negligible green band emission

  19. Optical and magnetic resonance studies of Mg-doped GaN homoepitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Glaser, E.R.; Murthy, M.; Freitas, J.A.; Storm, D.F.; Zhou, L.; Smith, D.J.

    2007-01-01

    Low-temperature photoluminescence (PL) and optically detected magnetic resonance (ODMR) at 24 GHz have been performed on a series of MBE-grown Mg-doped (10 17 -10 20 cm -3 ) GaN homoepitaxial layers. High-resolution PL at 5 K revealed intense bandedge emission with narrow linewidths (0.2-0.4 meV) attributed to annihilation of excitons bound to shallow Mg acceptors. In contrast to many previous reports for GaN heteroepitaxial layers doped with [Mg]>3x10 18 cm -3 , the only visible PL observed was strong shallow donor-shallow acceptor recombination with zero phonon line at 3.27 eV. Most notably, ODMR on this emission from a sample doped with [Mg] of 1x10 17 cm -3 revealed the first evidence for the highly anisotropic g-tensor (g parallel ∼2.19, g perpendicular ∼0) expected for Mg shallow acceptors in wurtzite GaN. This result is attributed to the much reduced dislocation densities (≤5x10 6 cm -3 ) and Mg impurity concentrations compared to those characteristic of the more conventional investigated Mg-doped GaN heteroepitaxial layers

  20. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  1. InGaAs/InP, quantum wells and quantum wires grown by vapor levitation epitaxy using chloride transport

    International Nuclear Information System (INIS)

    Cox, H.M.; Morais, P.C.; Hwang, D.M.; Bastos, P.; Gmitter, T.J.; Nazar, L.; Worlock, J.M.; Yablonovitch, E.; Hummel, S.G.

    1988-09-01

    A variety of InGaAs/InP quantum structures have been grown by vapor levitation epitaxy (VLE) and investigated by low temperature photoluminescence (PL). Excellent long-range uniformity of QW peak positions across a two-inch diameter wafer is achieved. Monolayer thickness variations in single QW's are used to establish an essentially unambiguous correlation of QW thickness with energy upshift for ultra-thin quantum wells. PL evidence is presented of the growth, for the first time by any technique, of an InGaAs/InP QW of single monolayer thickness (2.93 (angstrom)). Quantum wires were fabricated entirely by VLE as thin as one monolayer and estimated to be three unit cells wide. (author) [pt

  2. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-01-01

    The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  3. Enhancement of spin-lattice coupling in nanoengineered oxide films and heterostructures by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Xi, Xiaoxing [Temple Univ., Philidelphia, PA (United States)

    2017-06-08

    . According to the most prevailing electronic reconstruction mechanism, a positive diverging electric potential is built up in the polar LaAlO3 film when it is grown on a TiO2-terminated SrTiO3 substrate, which is non-polar. This leads to the transfer of half of an electron from the LaAlO3 film surface to SrTiO3 when the LaAlO3 layer is thicker than 4 unit cells, creating a 2D electron gas at the interface with a sheet carrier density of 3.3×1014/cm2 for sufficiently thick LaAlO3. A serious inconsistency with this mechanism is that the carrier densities reported experimentally are invariably lower than the expected value. The most likely reason is that the SrTiO3 substrate is oxygen difficient due to the low oxygen pressures (< 10 mTorr) during growth, and post-growth annealing in oxygen is often used to remove the oxygen vacancies. People cannot grow the LaAlO3 film in higher oxygen pressures - it results in insulating samples or 3D island growth. Because we grow the LaAlO3 film one atomic layer at a time, we were able to grow conducting LaAlO3/SrTiO3 interfaces at a high oxygen pressure with ALL-Laser MBE, as high as 37 mTorr. The high oxygen pressure helps to prevent the possible oxygen reduction in SrTiO3, ensure that the LaAlO3 films are sufficiently oxygenated. Measurements of x-ray linear dichroism (XLD) and x-ray magnetic circular dichroism (XMCD) both show that the spectra of our films are similar to those of well oxygenated samples. In the LaAlO3/SrTiO3 interfaces grown by ALL-Laser MBE at 37 mTorr oxygen pressure, a quantitative agreement between our experimental result and the theoretical prediction was observed, which provides a strong support to the electronic reconstruction mechanism. The key differences between our result and the previous reports are the high

  4. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2¯01).

    Science.gov (United States)

    Kollmannsberger, Sebastian L; Walenta, Constantin A; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-28

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α-H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga 2 O 3 (2¯01) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  5. Performance of MBE-4

    International Nuclear Information System (INIS)

    Warwick, A.I.; Fessenden, T.J.; Keefe, D.; Kim, C.H.; Meuth, H.

    1988-01-01

    This paper reports on an experimental induction linac, called MBE-4, constructed to demonstrate acceleration and current amplification of multiple heavy ion beams. This work is part of a program to study the use of such an accelerator as a driver for heavy ion inertial fusion. MBE-4 is 16 m long and accelerates four space-charge- dominated beams of singly-charged cesium ions, in this case from 200 keV to 700 keV, amplifying the current in each beam from 10 mA by a factor of nine. Construction of the experiment was completed late in 1987 and we present the results of detailed measurements of the longitudinal beam dynamics. Of particular interest in the contribution of acceleration errors to the growth of current fluctuations and to the longitudinal emittance. The effectiveness of the longitudinal focusing, accomplished by means of the controlled time dependence of the accelerating fields, is also discussed

  6. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  7. Direct observation of fatigue in epitaxially grown Pb(Zr,Ti)O3 thin films using second harmonic piezoresponse force microscopy

    Science.gov (United States)

    Murari, Nishit M.; Hong, Seungbum; Lee, Ho Nyung; Katiyar, Ram. S.

    2011-08-01

    Here, we present a direct observation of fatigue phenomena in epitaxially grown Pb(Zr0.2Ti0.8)O3 (PZT) thin films using second harmonic piezoresponse force microscopy (SH-PFM). We observed strong correlation between the SH-PFM amplitude and phase signals with the remnant piezoresponse at different switching cycles. The SH-PFM results indicate that the average fraction of switchable domains decreases globally and the phase delays of polarization switching differ locally. In addition, we found that the fatigue developed uniformly over the whole area without developing region-by-region suppression of switchable polarization as in polycrystalline PZT thin films.

  8. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  9. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  10. Photoluminescence study of epitaxially grown ZnSnAs2:Mn thin films

    International Nuclear Information System (INIS)

    Mammadov, E; Haneta, M; Toyota, H; Uchitomi, N

    2011-01-01

    The photoluminescence (PL) properties of heavily Mn-doped ZnSnAs 2 layers epitaxially grown on nearly lattice-matched semi-insulating InP substrates are studied. PL spectra are obtained for samples with Mn concentrations of 5, 12 and 24 mol% relative to the combined concentrations of Zn and Sn. A broad emission band centered at ∼ 1 eV is detected for Mn-doped layers at room temperature. The emission is a intense broad asymmetric line at low temperatures. The line is reconstructed by superposition of two bands with peak energies of ∼ 0.99 and 1.07 eV, similar to those reported for InP. These bands are superimposed onto a 1.14 eV band with well-resolved phonon structure for the layer doped with 12 % Mn. Recombination mechanism involving the split-off band of the ZnSnAs 2 is suggested. Temperature dependence of integrated intensities of the PL bands indicates to thermally activated emission with activation energies somewhat different from those found for InP. Mn substitution at cationic sites increases the concentration of holes which may act as recombination centers. Recombination to the holes bound to Mn ions with the ground state located below the top of the valence band has been proposed as a possible PL mechanism.

  11. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  12. Ellipsometry of rough CdTe(211)B-Ge(211) surfaces grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Badano, Giacomo; Ballet, Philippe; Zanatta, Jean-Paul; Baudry, Xavier; Million, Alain; Garland, James W.

    2006-01-01

    The effect of surface roughness on the ellipsometric response of semiconductor surfaces is investigated. CdTe(211)B layers were grown on Ge(211) by molecular beam epitaxy using less than optimal growth conditions to enhance the formation of surface roughness. Their optical properties, measured by rotating-compensator ellipsometry, showed small but significant sample-to-sample differences not explainable in terms of nanometer-scale roughness. A critical-point analysis established that the critical-point structure of the dielectric function was the same for all samples. This result suggested that the observed sample-to-sample variations were due to macroscopic roughness, which scatters off-specular light into the detector, thereby causing errors. We introduced tentative corrections for off-specular reflection that fitted the observed differences and thus supported the idea that off-specular reflection was responsible for the observed differences. These results were obtained using CdTe but are easily extensible to other rough opaque materials

  13. Investigation of room-temperature wafer bonded GaInP/GaAs/InGaAsP triple-junction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Wen-xian; Dai, Pan; Ji, Lian; Tan, Ming; Wu, Yuan-yuan [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Uchida, Shiro [Department of Mechanical Science and Engineering Faculty of Engineering, Chiba Institute of Technology, 2-17-1, Tsudanuma, Narashino, Chiba 275-0016 (Japan); Lu, Shu-long, E-mail: sllu2008@sinano.ac.cn [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China); Yang, Hui [Key Lab of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences (CAS), Suzhou 215123 (China)

    2016-12-15

    Highlights: • High quality InGaAsP material with a bandgap of 1.0 eV was grown by MBE. • Room-temperature wafer-bonded GaInP/GaAs/InGaAsP SCs were fabricated. • An efficiency of 30.3% of wafer-bonded triple-junction SCs was obtained. - Abstract: We report on the fabrication of III–V compound semiconductor multi-junction solar cells using the room-temperature wafer bonding technique. GaInP/GaAs dual-junction solar cells on GaAs substrate and InGaAsP single junction solar cell on InP substrate were separately grown by all-solid state molecular beam epitaxy (MBE). The two cells were then bonded to a triple-junction solar cell at room-temperature. A conversion efficiency of 30.3% of GaInP/GaAs/InGaAsP wafer-bonded solar cell was obtained at 1-sun condition under the AM1.5G solar simulator. The result suggests that the room-temperature wafer bonding technique and MBE technique have a great potential to improve the performance of multi-junction solar cell.

  14. Investigation of the {Fe}/{Si} interface and its phase transformations

    Science.gov (United States)

    Fanciulli, M.; Degroote, S.; Weyer, G.; Langouche, G.

    1997-04-01

    Thin 57Fe films (3-10 Å) have been grown by molecular beam epitaxy (MBE) on (7 × 7) reconstructed Si(111) and (2 × 1) reconstructed Si(001) surfaces and by e-gun evaporation on an H-terminated Si(111) surface. Conversion electron Mössbauer spectroscopy (CEMS) with high statistical accuracy and resolution allowed a detailed microscopic investigation of the silicide formation mechanism and of the structural phase transformations upon annealing.

  15. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  16. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  17. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  18. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  19. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  20. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  1. Some properties of Ga-As-Alsub(x)Gasub(1-x)As heterojunction grown by low temperature liquid phase epitaxy

    International Nuclear Information System (INIS)

    Yu Lisheng; Liu Hongxun; Zhang Bei; Wang Shumin

    1986-03-01

    GaAs-Alsub(x)Gasub(1-x)As heterojunction was grown by liquid phase epitaxy at low growth temperature 650-700 deg. C. The series resistance of heterojunction with DH laser structure was measured. Doping properties of Mg in GaAs and Alsub(x)Gasub(1-x)As were investigated. It is found that impurity concentration of Mg as high as 10 18 cm -3 can be doped easily. The Shubnikov-de-Haas oscillation was observed in GaAs-N Alsub(0.35)Gasub(0.65)As heterointerface. It is demonstrated that in these heterointerfaces there exists 2DEG with some contribution from 3D electron of N-AlGaAs layer. (author)

  2. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  3. Ethanol surface chemistry on MBE-grown GaN(0001), GaOx/GaN(0001), and Ga2O3(2 \\xAF 01 )

    Science.gov (United States)

    Kollmannsberger, Sebastian L.; Walenta, Constantin A.; Winnerl, Andrea; Knoller, Fabian; Pereira, Rui N.; Tschurl, Martin; Stutzmann, Martin; Heiz, Ueli

    2017-09-01

    In this work, ethanol is used as a chemical probe to study the passivation of molecular beam epitaxy-grown GaN(0001) by surface oxidation. With a high degree of oxidation, no reaction from ethanol to acetaldehyde in temperature-programmed desorption experiments is observed. The acetaldehyde formation is attributed to a mechanism based on α -H abstraction from the dissociatively bound alcohol molecule. The reactivity is related to negatively charged surface states, which are removed upon oxidation of the GaN(0001) surface. This is compared with the Ga2O3(2 ¯ 01 ) single crystal surface, which is found to be inert for the acetaldehyde production. These results offer a toolbox to explore the surface chemistry of nitrides and oxynitrides on an atomic scale and relate their intrinsic activity to systems under ambient atmosphere.

  4. Structural and electrical properties of InAs/GaSb superlattices grown by metalorganic vapor phase epitaxy for midwavelength infrared detectors

    Energy Technology Data Exchange (ETDEWEB)

    Arikata, Suguru; Kyono, Takashi [Semiconductor Technologies Laboratory, Sumitomo Electric Industries, LTD., Hyogo (Japan); Miura, Kouhei; Balasekaran, Sundararajan; Inada, Hiroshi; Iguchi, Yasuhiro [Transmission Devices Laboratory, Sumitomo Electric Industries, LTD., Yokohama (Japan); Sakai, Michito [Sensor System Research Group, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Katayama, Haruyoshi [Space Technology Directorate I, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Kimata, Masafumi [College of Science and Engineering, Ritsumeikan University, Shiga (Japan); Akita, Katsushi [Sumiden Semiconductor Materials, LTD., Hyogo (Japan)

    2017-03-15

    InAs/GaSb superlattice (SL) structures were fabricated on GaSb substrates by metalorganic vapor phase epitaxy (MOVPE) toward midwavelength infrared (MWIR) photodiodes. Almost defect-free 200-period SLs with a strain-compensation interfacial layer were successfully fabricated and demonstrate an intense photoluminescence peak centered at 6.1 μm at 4 K and an external quantum efficiency of 31% at 3.5 μm at 20 K. These results indicate that the high-performance MWIR detectors can be fabricated in application with the InAs/GaSb SLs grown by MOVPE as an attractive method for production. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  6. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  7. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-01-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  8. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    Science.gov (United States)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-12-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  9. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zaunbrecher, Katherine N. [Department of Physics, Colorado State University, Fort Collins, Colorado 80523 (United States); National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kuciauskas, Darius; Dippo, Pat; Barnes, Teresa M. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Swartz, Craig H.; Edirisooriya, Madhavie; Ogedengbe, Olanrewaju S.; Sohal, Sandeep; Hancock, Bobby L.; LeBlanc, Elizabeth G.; Jayathilaka, Pathiraja A. R. D.; Myers, Thomas H. [Materials Science, Engineering and Commercialization Program, Texas State University, San Marcos, Texas 78666 (United States)

    2016-08-29

    Heterostructures with CdTe and CdTe{sub 1-x}Se{sub x} (x ∼ 0.01) absorbers between two wider-band-gap Cd{sub 1-x}Mg{sub x}Te barriers (x ∼ 0.25–0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects have a zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ∼6 μm, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 μs with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 μs.

  10. Epitaxial single-crystal thin films of MnxTi1-xO2-δ grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2-δ films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  11. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  12. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  13. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  14. The effect of metal-rich growth conditions on the microstructure of Sc{sub x}Ga{sub 1-x}N films grown using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, H.C.L.; Moram, M.A. [Department of Materials, Imperial College London (United Kingdom); Goff, L.E. [Department of Materials, Imperial College London (United Kingdom); Department of Physics, University of Cambridge (United Kingdom); Barradas, N.P. [CTN - Centro de Ciencias e Tecnologias Nucleares, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Alves, E. [IPFN - Instituto de Plasmas e Fusao Nuclear, Lisboa (Portugal); Laboratorio de Aceleradores e Tecnologias de Radiacao, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Pereira, S. [CICECO and Department of Physics, Universidade de Aveiro (Portugal); Beere, H.E.; Farrer, I.; Nicoll, C.A.; Ritchie, D.A. [Department of Physics, University of Cambridge (United Kingdom)

    2015-12-15

    Epitaxial Sc{sub x}Ga{sub 1-x}N films with 0 ≤ x ≤ 0.50 were grown using molecular beam epitaxy under metal-rich conditions. The Sc{sub x}Ga{sub 1-x}N growth rate increased with increasing Sc flux despite the use of metal-rich growth conditions, which is attributed to the catalytic decomposition of N{sub 2} induced by the presence of Sc. Microstructural analysis showed that phase-pure wurtzite Sc{sub x}Ga{sub 1-x}N was achieved up to x = 0.26, which is significantly higher than that previously reported for nitrogen-rich conditions, indicating that the use of metal-rich conditions can help to stabilise wurtzite phase Sc{sub x}Ga{sub 1-x}N. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. A novel epitaxially grown LSO-based thin-film scintillator for micro-imaging using hard synchrotron radiation

    Energy Technology Data Exchange (ETDEWEB)

    Douissard, P.A.; Martin, T.; Chevalier, V.; Rack, A. [European Synchrotron Radiat Facil, F-38043 Grenoble, (France); Cecilia, A.; Baumbach, T.; Rack, A. [Karlsruhe Inst Technol ANKA, D-76021 Karlsruhe, (Germany); Couchaud, M. [CEA LETI, F-38054 Grenoble, (France); Dupre, K. [FEE GmbH, D-55743 Idar Oberstein, (Germany); Kuhbacher, M. [Helmholtz Zentrum Berlin Mat and Energie, D-14109 Berlin, (Germany)

    2010-07-01

    The efficiency of high-resolution pixel detectors for hard X-rays is nowadays one of the major criteria which drives the feasibility of imaging experiments and in general the performance of an experimental station for synchrotron-based microtomography and radiography. Here the luminescent screen used for the indirect detection is focused on in order to increase the detective quantum efficiency a novel scintillator based on doped Lu{sub 2}SiO{sub 5} (LSO), epitaxially grown as thin film via the liquid phase epitaxy technique. It is shown that, by using adapted growth and doping parameters as well as a dedicated substrate, the scintillation behaviour of a LSO-based thin crystal together with the high stopping power of the material allows for high-performance indirect X-ray detection. In detail, the conversion efficiency, the radioluminescence spectra, the optical absorption spectra under UV/visible-light and the afterglow are investigated. A set-up to study the effect of the thin-film scintillator's temperature on its conversion efficiency is described as well it delivers knowledge which is important when working with higher photon flux densities and the corresponding high heat load on the material. Additionally, X-ray imaging systems based on different diffraction-limited visible-light optics and CCD cameras using among others LSO-based thin film are compared. Finally, the performance of the LSO thin film is illustrated by imaging a honey bee leg, demonstrating the value of efficient high-resolution computed tomography for life sciences. (authors)

  16. Possibility of a quasi-liquid layer of As on GaAs substrate grown by MBE as observed by enhancement of Ga desorption at high As pressure

    Science.gov (United States)

    Asai, K.; Feng, J. M.; Vaccaro, P. O.; Fujita, K.; Ohachi, T.

    2000-06-01

    The As vapor pressure dependence of the Ga desorption rate during molecular beam epitaxy (MBE) growth on GaAs( n11)A ( n=1-4 hereafter) substrates was studied by photoluminescence (PL) measurements at 12 K for undoped AlGaAs/GaAs asymmetric double quantum wells (ADQWs). Reflection high energy electron diffraction (RHEED) oscillation measurements on a GaAs(100) surface were also used. Two K-cells of As solid sources (corresponding to beam equivalent pressures (BEPs) of 9.0×10 -6 and 4.5×10 -5 Torr) were used to change the As pressure rapidly. The Ga flux and substrate temperature were kept constant at 0.76 ML/s and 12 K, respectively, while the As flux changed from 7.6 (BEP 9.0×10 -6 Torr) to 32 ML/s (4.5×10 -5 Torr). With increasing As pressure, two separated PL peaks for the wide well (WW) of high index substrates were observed. This peak separation is attributed to a reduced well depth from an increasing Ga desorption rate. The energy differences of the PL peak depending on the off-angle from (111)A to (100) plane indicates an orientation-dependent Ga desorption rate. Moreover, amongst all ( n11)A and (100) planes, the Ga desorption rate was smallest from the (111)A surface. The increase of Ga desorption from the surface at high As pressures probably arose from an increasing coverage with a quasi-liquid layer (QLL).

  17. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  18. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  19. Deep levels in a-plane, high Mg-content MgxZn1−xO epitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gür, Emre; Tabares, G.; Hierro, A.; Arehart, A.; Ringel, S. A.; Chauveau, J. M.

    2012-01-01

    Deep level defects in n-type unintentionally doped a-plane Mg x Zn 1−x O, grown by molecular beam epitaxy on r-plane sapphire were fully characterized using deep level optical spectroscopy (DLOS) and related methods. Four compositions of Mg x Zn 1−x O were examined with x = 0.31, 0.44, 0.52, and 0.56 together with a control ZnO sample. DLOS measurements revealed the presence of five deep levels in each Mg-containing sample, having energy levels of E c − 1.4 eV, 2.1 eV, 2.6 V, and E v + 0.3 eV and 0.6 eV. For all Mg compositions, the activation energies of the first three states were constant with respect to the conduction band edge, whereas the latter two revealed constant activation energies with respect to the valence band edge. In contrast to the ternary materials, only three levels, at E c − 2.1 eV, E v + 0.3 eV, and 0.6 eV, were observed for the ZnO control sample in this systematically grown series of samples. Substantially higher concentrations of the deep levels at E v + 0.3 eV and E c − 2.1 eV were observed in ZnO compared to the Mg alloyed samples. Moreover, there is a general invariance of trap concentration of the E v + 0.3 eV and 0.6 eV levels on Mg content, while at least and order of magnitude dependency of the E c − 1.4 eV and E c − 2.6 eV levels in Mg alloyed samples.

  20. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman, E-mail: rue2@psu.edu [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  1. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy; Ionenstrahlsynthese und Charakterisierung grossflaechiger 3C-SiC-Pseudosubstrate fuer die Homo- und Heteroepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Haeberlen, Maik

    2006-12-15

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C{sub 60}-MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)

  2. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy

    International Nuclear Information System (INIS)

    Haeberlen, Maik

    2006-12-01

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C 60 -MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)

  3. Low-temperature, ultrahigh-vacuum tip-enhanced Raman spectroscopy combined with molecular beam epitaxy for in situ two-dimensional materials' studies

    Science.gov (United States)

    Sheng, Shaoxiang; Li, Wenbin; Gou, Jian; Cheng, Peng; Chen, Lan; Wu, Kehui

    2018-05-01

    Tip-enhanced Raman spectroscopy (TERS), which combines scanning probe microscopy with the Raman spectroscopy, is capable to access the local structure and chemical information simultaneously. However, the application of ambient TERS is limited by the unstable and poorly controllable experimental conditions. Here, we designed a high performance TERS system based on a low-temperature ultrahigh-vacuum scanning tunneling microscope (LT-UHV-STM) and combined with a molecular beam epitaxy (MBE) system. It can be used for growing two-dimensional (2D) materials and for in situ STM and TERS characterization. Using a 2D silicene sheet on the Ag(111) surface as a model system, we achieved an unprecedented 109 Raman single enhancement factor in combination with a TERS spatial resolution down to 0.5 nm. The results show that TERS combined with a MBE system can be a powerful tool to study low dimensional materials and surface science.

  4. Very high channel conductivity in low-defect AlN/GaN high electron mobility transistor structures

    International Nuclear Information System (INIS)

    Dabiran, A. M.; Wowchak, A. M.; Osinsky, A.; Xie, J.; Hertog, B.; Cui, B.; Chow, P. P.; Look, D. C.

    2008-01-01

    Low defect AlN/GaN high electron mobility transistor (HEMT) structures, with very high values of electron mobility (>1800 cm 2 /V s) and sheet charge density (>3x10 13 cm -2 ), were grown by rf plasma-assisted molecular beam epitaxy (MBE) on sapphire and SiC, resulting in sheet resistivity values down to ∼100 Ω/□ at room temperature. Fabricated 1.2 μm gate devices showed excellent current-voltage characteristics, including a zero gate saturation current density of ∼1.3 A/mm and a peak transconductance of ∼260 mS/mm. Here, an all MBE growth of optimized AlN/GaN HEMT structures plus the results of thin-film characterizations and device measurements are presented

  5. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    Science.gov (United States)

    Hazbun, Ramsey Michael

    measurements. The deposition of silicon using tetrasilane as a vapor pre-cursor is described for an ultra-high vacuum chemical vapor deposition tool. The growth rates and morphology of the Si epitaxial layers over a range of temperatures and pressures are presented. In order to understand the suitability of tetrasilane for the growth of SiGe and SiGeSn alloys, the layers were characterized using transmission electron microscopy, x-ray diffraction, spectroscopic ellipsometry, atomic force microscopy, and secondary ion mass spectrometry. To date no n-type doping has been demonstrated in GeSn alloys grown via MBE. A GaP decomposition source was used to grow n-type phosphorus doped GeSn layers on p- Ge substrates. Doping concentrations were calibrated using SIMS measurements. GeSn/Ge heterojunction diodes were grown and fabricated into mesa devices. Diode parameters were extracted from current-voltage measurements. The effects of P and Sn concentrations, metallization, and mesa geometry on device performance are all discussed.

  6. High mobility 2D electron gas in CdTe/CdMgTe heterostructures

    International Nuclear Information System (INIS)

    Karczewski, G.; Jaroszynski, J.; Kurowski, M.; Barcz, A.; Wojtowicz, T.; Kossut, J.

    1997-01-01

    We report on iodine doping of molecular beam epitaxy (MBE)-grown Cd(Mn)Te quasi-bulk films and modulation-doped CdTe/Cd 1-y Mg y Te two-dimensional (2D) single quantum well structures. Modulation doping with iodine of CdTe/Cd 1-y Mg y Te structures resulted in fabrication of a 2D electron gas with mobility exceeding 10 5 cm 2 /(Vs). This is the highest mobility reported in wide-gap II-VI materials

  7. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V

    2011-09-05

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  8. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001 surface: nucleation, morphology, and CMOS compatibility

    Directory of Open Access Journals (Sweden)

    Yuryev Vladimir

    2011-01-01

    Full Text Available Abstract Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001 surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C and high (≳600°C temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001 surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001 quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  9. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  10. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  11. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  14. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  15. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  16. Properties of MIS structures based on graded-gap HgCdTe grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadookh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Sidorov, Yu. G.; Vasiliev, V. V.

    2008-01-01

    The effect of near-surface graded-gap layers on the electrical characteristics of MIS structures fabricated based on heteroepitaxial Hg 1-x Cd x Te films grown by molecular beam epitaxy with a two-layer SiO 2 /Si 3 N 4 insulator and anodic oxide film is studied experimentally. It is shown that a larger modulation of capacitance (depth and width of the valley) is observed compared with the structures without the graded-gap layer. The field dependences of photovoltage of MIS structures with the graded-gap layers had a classical form and were characterized by a drop only in the enrichment region. For the structures without the graded-gap layer with x = 0.22, a drop in the voltage dependence of the photocurrent is observed in the region of pronounced inversion. This drop is governed by limitation of the space charge region by processes of tunneling generation via deep levels. The properties of the HgCdTe-insulator interfaces are studied.

  17. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  18. Temperature dependence of optical transitions in Al xGa1-xAs/GaAs quantum well structures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Caballero-Rosas, A.; Mejia-Garcia, C.; Contreras-Puente, G.; Lopez-Lopez, M.

    2005-01-01

    Quantum well (QW) structures of Al x Ga 1-x As/GaAs were characterized by photoluminescence technique as a function of the temperature between 10 and 300 K. The structures were grown on a 500 nm thick GaAs buffer layer with Molecular Beam Epitaxy technique. We have studied the properties of in-situ Cl 2 -etched GaAs surfaces and overgrown QW structures as a function of the etching temperature (70 and 200 deg. C). Several models were used to fit the experimental points. Best fit to experimental points was obtained with the Paessler model

  19. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  20. Large depletion region at the epitaxial n-ZnSe/GaAs heterointerface

    International Nuclear Information System (INIS)

    Frey, A; Lehmann, F; Grabs, P; Gould, C; Schmidt, G; Brunner, K; Molenkamp, L W

    2009-01-01

    The extent of the depletion region at an n-ZnSe/GaAs heterointerface in MBE grown epilayers has been investigated by thickness-dependent Hall measurements. Exceptionally large depletion widths of up to 110 nm have been found on the ZnSe side. The depletion cannot be caused by charge transfer or interface states alone, but is attributed to compensation due to large scale atomic interdiffusion across the heterointerface. A II–VI buffer layer structure is demonstrated to shield the effects of the depletion from sensitive device layers grown on top, and to prevent parallel conduction by lateral and vertical transport through the substrate and the lower-lying buffer layers

  1. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  2. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R.; Storm, David F.; Meyer, David J.; Zhang, Weidong; Brown, Elliott R.

    2016-01-01

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm 2 and a peak-to-valley current ratio of ≈1.15 across different sizes.

  3. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Storm, David F.; Meyer, David J. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Zhang, Weidong; Brown, Elliott R. [Departments of Physics and Electrical Engineering, Wright State University, Dayton, Ohio 45435 (United States)

    2016-08-22

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  4. Optical investigation of atomic steps in ultra-thin InGaAs/InP quantum wells grown by vapor levitation epitaxy

    International Nuclear Information System (INIS)

    Morais, P.C.

    1988-09-01

    Ultra-thin InGaAs/InP single-quantum-well structures, grown by chloride transport vapor levitation epitaxy, have been investigated by low temperature photoluminescence (PL). Well resolved peaks are observed in the PL spectra which we attribute to monolayer (a/2=2.93 A) variations in quantum well (QW) thickness. Separate peak positions for QW thicknesses corresponding to 2-6 monolayers have been determined, providing an unambiguous thickness calibration for spectral shifts due to quantum confinement. The PL peak corresponding to two monolayers occurs at 1.314 eV corresponding to an energy shift of 524 meV. Experimental data agree very well with a simple effective-mass theory. (author) [pt

  5. High efficiency green/yellow and red InGaN/AlGaN nanowire light-emitting diodes grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M.R. Philip

    2017-06-01

    Full Text Available We report on the achievement of high efficiency green, yellow, and red InGaN/AlGaN dot-in-a-wire nanowire light-emitting diodes grown on Si(111 by molecular beam epitaxy. The peak emission wavelengths were altered by varying the growth conditions, including the substrate temperature, and In/Ga flux ratio. The devices demonstrate relatively high (>40% internal quantum efficiency at room temperature, relative to that measured at 5 K. Moreover, negligible blue-shift in peak emission spectrum associated with no efficiency droop was measured when injection current was driven up to 556 A/cm2.

  6. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  7. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  8. Above-bandgap optical properties of biaxially strained GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Richard D’Costa, Vijay, E-mail: elevrd@nus.edu.sg; Wang, Wei; Zhou, Qian; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore); Soon Tok, Eng [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2014-01-13

    The complex dielectric function of biaxially strained Ge{sub 1−x}Sn{sub x} (0 ≤ x ≤ 0.17) alloys grown on Ge (100) has been determined by spectroscopic ellipsometry from 1.2 to 4.7 eV. The effect of substitutional Sn incorporation and the epitaxial strain on the energy transitions E{sub 1}, E{sub 1} + Δ{sub 1}, E{sub 0}′, and E{sub 2} of GeSn alloys is investigated. Our results indicate that the strained GeSn alloys show Ge-like electronic bandstructure with all the transitions shifted downward due to the alloying of Sn. The strain dependence of E{sub 1} and E{sub 1} + Δ{sub 1} transitions is explained using the deformation potential theory, and values of −5.4 ± 0.4 eV and 3.8 ± 0.5 eV are obtained for the hydrostatic and shear deformation potentials, respectively.

  9. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  10. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  11. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  12. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  13. Bismuth containing III-V quaternary alloy InGaAsBi grown by MBE

    International Nuclear Information System (INIS)

    Feng, G.; Oe, K.; Yoshimoto, M.

    2006-01-01

    InGaAsBi epilayers were created on InP substrates by molecular beam epitaxy for the first time. The high crystalline quality of the InGaAsBi epilayer with smooth interface was confirmed by high resolution X-ray diffraction measurements. Up to 2.5% Bi was incorporated in the film based on Rutherford back scattering (RBS) results. The RBS channeling spectra give clear evidence that the Bi atoms were substitutionally located in the InGaAs zinc-blende lattice sites. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  14. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  15. An epitaxial transparent conducting perovskite oxide: double-doped SrTiO3

    NARCIS (Netherlands)

    Ravichandran, Jayakanth; Siemons, W.; Heijmerikx, Herman; Huijben, Mark; Majumdar, Arun; Ramesh, Ramamoorthy

    2010-01-01

    Epitaxial thin films of strontium titanate doped with different concentrations of lanthanum and oxygen vacancies were grown on LSAT substrates by pulsed laser deposition technique. Films grown with 5−15% La doping and a critical growth pressure of 1−10 mTorr showed high transparency (>70−95%) in the

  16. Structural disorder of natural BimSen superlattices grown by molecular beam epitaxy

    Science.gov (United States)

    Springholz, G.; Wimmer, S.; Groiss, H.; Albu, M.; Hofer, F.; Caha, O.; Kriegner, D.; Stangl, J.; Bauer, G.; Holý, V.

    2018-05-01

    The structure and morphology of BimSen epitaxial layers with compositions ranging from Bi2Se3 to the Bi1Se1 grown by molecular beam epitaxy with different flux compositions are investigated by transmission electron microscopy, high-resolution x-ray diffraction, and atomic force microscopy. It is shown that the lattice structure changes significantly as a function of the beam flux composition, i.e., Se/BiSe flux ratio that determines the stoichiometry of the layers. A perfect Bi2Se3 phase is formed only with a sufficiently high additional Se flux, whereas Bi1Se1 is obtained when only a BiSe compound source without additional Se is used. For intermediate values of the excess Se flux during growth, Bi2Se3 -δ layers are obtained with the Se deficit δ varying between 0 and 1. This Se deficit is accommodated by incorporation of additional Bi-Bi double layers into the Bi2Se3 structure that otherwise exclusively consists of Se-Bi-Se-Bi-Se quintuple layers. While a periodic insertion of such Bi double layers would result in the formation of natural BimSen superlattices, we find that this Bi double-layer insertion is rather stochastic with a high degree of disorder depending on the film composition. Therefore, the structure of such epilayers is better described by a one-dimensional paracrystal model, consisting of disordered sequences of quintuple and double layers rather than by strictly periodic natural superlattices. From detailed analysis of the x-ray diffraction data, we determine the dependence of the lattice parameters a and c and distances of the individual (0001) planes dj as a function of composition, evidencing that only the in-plane lattice parameter a shows a linear dependence on composition. The simulation of the diffraction curves with the random stacking paracrystal model yields an excellent agreement with the experimental data and it brings quantitative information on the randomness of the stacking sequence, which is compared to growth modeling using Monte

  17. Thickness dependence of the strain, band gap and transport properties of epitaxial In{sub 2}O{sub 3} thin films grown on Y-stabilised ZrO{sub 2}(111)

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, K H L; Oropeza, F E; Egdell, R G [Department of Chemistry, Chemistry Research Laboratory, University of Oxford, Mansfield Road, Oxford OX1 3TA (United Kingdom); Lazarov, V K [Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Veal, T D; McConville, C F [Department of Physics, University of Warwick, Coventry CV4 7AL (United Kingdom); Walsh, A, E-mail: Russell.egdell@chem.ox.ac.uk [Department of Chemistry, Kathleen Lonsdale Materials Chemistry, University College London, 20 Gordon Street, London WC1H 0AJ (United Kingdom)

    2011-08-24

    Epitaxial films of In{sub 2}O{sub 3} have been grown on Y-stabilised ZrO{sub 2}(111) substrates by molecular beam epitaxy over a range of thicknesses between 35 and 420 nm. The thinnest films are strained, but display a 'cross-hatch' morphology associated with a network of misfit dislocations which allow partial accommodation of the lattice mismatch. With increasing thickness a 'dewetting' process occurs and the films break up into micron sized mesas, which coalesce into continuous films at the highest coverages. The changes in morphology are accompanied by a progressive release of strain and an increase in carrier mobility to a maximum value of 73 cm{sup 2} V{sup -1} s{sup -1}. The optical band gap in strained ultrathin films is found to be smaller than for thicker films. Modelling of the system, using a combination of classical pair-wise potentials and ab initio density functional theory, provides a microscopic description of the elastic contributions to the strained epitaxial growth, as well as the electronic effects that give rise to the observed band gap changes. The band gap increase induced by the uniaxial compression is offset by the band gap reduction associated with the epitaxial tensile strain.

  18. Molecular Beam Epitaxy-Grown InGaN Nanowires and Nanomushrooms for Solid State Lighting

    KAUST Repository

    Gasim, Anwar A.

    2012-05-01

    InGaN is a promising semiconductor for solid state lighting thanks to its bandgap which spans the entire visible regime of the electromagnetic spectrum. InGaN is grown heteroepitaxially due to the absence of a native substrate; however, this results in a strained film and a high dislocation density—two effects that have been associated with efficiency droop, which is the disastrous drop in efficiency of a light-emitting diode (LED) as the input current increases. Heteroepitaxially grown nanowires have recently attracted great interest due to their property of eliminating the detrimental effects of the lattice mismatch and the corollary efficiency droop. In this study, InGaN nanowires were grown on a low-cost Si (111) substrate via molecular beam epitaxy. Unique nanostructures, taking the form of mushrooms, have been observed in localized regions on the samples. These nanomushrooms consist of a nanowire body with a wide cap on top. Photoluminescence characterization revealed that the nanowires emit violet-blue, whilst the nanomushrooms emit a broad yellow-orange-red luminescence. The simultaneous emission from the nanowires and nanomushrooms forms white light. Structural characterization of a single nanomushroom via transmission electron microscopy revealed a simultaneous increase in indium and decrease in gallium at the interface between the body and the cap. Furthermore, the cap itself was found to be indium-rich, confirming it as the source of the longer wavelength yellow-orange-red luminescence. It is believed that the nanomushroom cap formed as a consequence of the saturation of growth on the c-plane of the nanowire. It is proposed that the formation of an indium droplet on the tip of the nanowire saturated growth on the c-plane, forcing the indium and gallium adatoms to incorporate on the sidewall m-planes instead, but only at the nanowire tip. This resulted in the formation of a mushroom-like cap on the tip. How and why the indium droplets formed is not

  19. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  20. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING