WorldWideScience

Sample records for epitaxially grown cuins2

  1. Epitaxial growth of chalcopyrite CuInS2 films on GaAs (001) substrates by evaporation method with elemental sources

    International Nuclear Information System (INIS)

    Nozomu, Tsuboi; Satoshi, Kobayash; Nozomu, Tsuboi; Takashi, Tamogami

    2010-01-01

    Full text : Ternary chalcopyrite semiconductor CuInS 2 is one of the potential candidates for absorber layers in high-efficiency thin film solar cells due to its direct bandgap Eg of 1.5 eV, which matches with solar spectrum. However, CuInS 2 solar cells face the problem of lower solar conversion efficiency compared with Cu(InGa)Se 2 solar cells. Investigation of fundamental properties of CuInS 2 films is necessary to understand key issues for solar cell performance. Although in bulk CuInS 2 is known to crystallize into chalcopyrite (CH) structure, in thin film other structures such as Cu-Au (CA) and sphalerite (SP) structures may coexist. It was reported epitaxial growth of slightly Cu-rich CuInS 2 films with c-axis orientated CA only and/or with a mixture of a- and c-axes orientated CH structures on GaP (001) at substrate temperature of 500 degrees using the conventional evaporation method with three elemental sources. Successful growth of epitaxial CH structured CuInS 2 were observed for films grown on GaP at 570 degrees with slightly Cu-rich composition. In this paper, CuInS 2 films with various [Cu]/[In] ratios are grown on GaAs(001) substrates, and the composition range in terms of the [Cu]/[In] ratio where epitaxial films with CH structure grow and the structural qualities of the films are discussed in comparison with those on GaP substrates. Films with various ratios of [Cu]/[In]=0.8 ≤1.9 are grown at 500 degrees and 570 degrees using the evaporation system described in our previous reports. Regardless of the substrate temperature, noticeable X-ray diffraction (XRD) peaks of CH structured CuInS 2 phase are observed in slightly Cu-rich films. However, reflection high energy electron diffraction (RHEED) patterns of the slightly Cu-rich films grown at 570 degrees exhibit noticeable spots not only due to the CH structure but also due to the CA structure. The amount of the CA structure is considered to be small because of the absence of the XRD peaks of the CA

  2. Structure, morphology and optical properties of CuInS2 thin films prepared by modulated flux deposition

    International Nuclear Information System (INIS)

    Guillen, C.; Herrero, J.; Gutierrez, M.T.; Briones, F.

    2005-01-01

    The structure, morphology and optical properties of copper indium sulfide thin films prepared by a novel modulated flux deposition procedure have been investigated for layers from 200 to 400 nm thickness. These polycrystalline CuInS 2 films grown onto glass substrates showed CuAu-like structure, similar to epitaxial CuInS 2 films grown onto monocrystalline substrates, and direct band gap values Eg=1.52-1.55 eV, optimum for single-junction photovoltaic applications. The increase in the layer thickness leads to growth of the average crystallite size and increases slightly the surface roughness and the absorption coefficient

  3. Synthesis of porous CuInS2 crystals

    International Nuclear Information System (INIS)

    Akaki, Yoji; Matsubara, Takanori; Ohno, Yuki; Momiki, Takanori; Ide, Kazuki

    2009-01-01

    CuInS 2 crystals were grown from starting materials CuCl 2 .2H 2 O, InCl 3 .4H 2 O and thiourea with ethylene glycol solution, that were placed into a flask, heated, and refluxed for 1 hour. The diffraction peaks only from CuInS 2 phase appear for all the samples. The morphology of CuInS 2 crystal was porous, and the porous crystals exist in two kinds. One kind was flower-like crystals which complexly lack the flakes, another one was sphere-like crystals existed with a number of the poles. The sizes of sphere-like porous crystals were approximately 1.0 μm. The specific surface area of the samples grown at 180 C and 600 rpm estimated approximately 30 m 2 /g. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Thermal decomposition studies of CuInS2

    Institute of Scientific and Technical Information of China (English)

    Sunil H. CHAKI

    2008-01-01

    Single crystals of copper indium disulphide (CuInS2) have been successfully grown by the chemical vapour transport (CVT) technique using iodine as the transporting agent. Thermogravimetric analysis (TGA) and differential thermal analysis (DTA) were carried out for the CVT grown CuInS2 single crystals. It was revealed that the crystals are thermally stable between the ambient temperature (300 K) and 845 K and that the decomposi-tion occurs sequentially in three steps. The kinetic para-meters, e.g., activation energy, order of reaction, and frequency factor were evaluated using non-mechanistic equations for thermal decomposition.

  5. Influence of Sn incorporation on the properties of CuInS2 thin films grown by vacuum evaporation method

    International Nuclear Information System (INIS)

    Zribi, M.; Rabeh, M. Ben; Brini, R.; Kanzari, M.; Rezig, B.

    2006-01-01

    Structural, morphological and optical properties of Sn-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. Firstly, the films were annealed in vacuum after evaporation from 250 to 500 deg. C for Sn deposition time equal to 3 min. Secondly, the films deposited for several Sn evaporation times were annealed in vacuum after evaporation at 500 deg. C. The X-ray diffraction spectra indicated that polycrystalline Sn-doped CuInS 2 films were obtained and no Sn binary or ternary phases are observed for the Sn evaporation times equal to 5 min. Scanning electron microscopy observation revealed the decrease of the surface crystallinity with increasing the Sn evaporation times and the annealing temperatures. The Sn-doped samples after annealing have bandgap energy of 1.42-1.50 eV. Furthermore, we found that the Sn-doped CuInS 2 thin films exhibit N-type conductivity after annealing

  6. Synthesis of porous CuInS2 crystals using a stirrer

    International Nuclear Information System (INIS)

    Akaki, Yoji; Ohno, Yuki; Momiki, Takanori

    2013-01-01

    Porous CuInS 2 crystals were grown from starting materials CuCl 2 .2H 2 O, InCl 3 .4H 2 O and thiorea with ethylene glycol solution, that were placed into a flask, heated, and refluxed for 1 hour. The diffraction peaks only from CuInS 2 phase appear for all the samples. The morphology of CuInS 2 crystal was porous, and the porous crystals exist in two kinds. One kind was flower-like crystals which complexly lack the flakes, another one was sphere-like crystals existed with a number of the poles. The specific surface area of the samples grown by stirring starting materials with In to Cu ratio of 4.3 for 30 minutes was found approximately to be 55 m 2 /g. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Challenges in TEM sample preparation of solvothermally grown CuInS2 films.

    Science.gov (United States)

    Frank, Anna; Changizi, Rasa; Scheu, Christina

    2018-06-01

    Transmission electron microscopy (TEM) is a widely used tool to characterize materials. The required samples need to be electron transparent which should be achieved without changing the microstructure. This work describes different TEM sample preparation techniques of nanostructured CuInS 2 thin films on fluorine-doped tin oxide substrates, synthesized solvothermally using l-cysteine as sulfur source. Focused ion beam lamellae, conventional cross section samples and scratch samples have been prepared and investigated. It was possible to prepare appropriate samples with each technique, however, each technique brings with it certain advantages and disadvantages. FIB preparation of solvothermally synthesized CuInS 2 suffers from two main drawbacks. First, the whole CuInS 2 layer displays a strongly increased Cu content caused by Cu migration and preferential removal of In. Further, electron diffraction shows the formation of an additional CuS phase after Ga + bombardment. Second, diffraction analysis is complicated by a strong contribution of crystalline Pt introduced during the FIB preparation and penetrating into the porous film surface. The conventional cross sectional CuInS 2 sample also shows a Cu signal enhancement which is caused by contribution of the brass tube material used for embedding. Additionally, Cu particles have been observed inside the CuInS 2 which have been sputtered on the film during preparation. Only the scratch samples allow an almost artefact-free and reliable elemental quantification using energy-dispersive X-ray spectroscopy. However, scratch samples suffer from the drawback that it is not possible to determine the layer thickness, which is possible for both cross sectional preparation techniques. Consequently, it is concluded that the type of sample preparation should be chosen dependent on the required information. A full characterization can only be achieved when the different techniques are combined. Copyright © 2018 Elsevier Ltd. All

  8. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  9. Post-growth annealing treatment effects on properties of Na-doped CuInS2 thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural and optical properties of Na-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. The films were annealed from 250 to 500 deg. C in a vacuum after evaporation. X-ray diffraction pattern indicated that there are traces of Cu and In 6 S 7 , which disappeared on annealing above 350 deg. C. Good quality CuInS 2 :Na 0.3% films were obtained on annealing at 500 deg. C. Furthermore, we found that the absorption coefficient of Na-doped CuInS 2 thin films reached 1.5 x 10 5 cm -1 . The change in band gap of the doped samples annealed in the temperatures from 250 to 500 deg. C was in the range 0.038-0.105 eV

  10. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  11. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  12. Deep-level transient spectroscopy of TiO2/CuInS2 heterojunctions

    NARCIS (Netherlands)

    Nanu, M.; Boulch, F.; Schoonman, J.; Goossens, A.

    2005-01-01

    Deep-level transient spectroscopy (DLTS) has been used to measure the concentration and energy position of deep electronic states in CuInS2. Flat TiO2?CuInS2 heterojunctions as well as TiO2-CuInS2 nanocomposites have been investigated. Subband-gap electronic states in CuInS2 films are mostly due to

  13. Triangle islands and cavities on the surface of evaporated Cu(In, Ga)Se2 absorber layer

    International Nuclear Information System (INIS)

    Han Anjun; Zhang Yi; Liu Wei; Li Boyan; Sun Yun

    2012-01-01

    Highlights: ► Lots of uncommon triangle islands and cavities are found on (1 1 2) planes terminated by Se atoms of evaporated Cu(In, Ga)Se 2 thin films. ► Se ad-dimer as a nucleus, Cu atom diffusion from Cu(In, Ga)Se 2 grains brings the epitaxial triangle island. ► The triangle islands grow with a two-dimensional layered mode. ► The triangle cavities are formed due to the insufficient coalescence of triangle islands. ► The performance of solar cell without triangle islands is improved. - Abstract: Cu(In, Ga)Se 2 (CIGS) thin films are co-evaporated at a constant substrate temperature of 500 °C on the Mo/soda lime glass substrates. The structural properties and chemical composition of the CIGS films are studied by an X-ray diffractometer (XRD) and an X-ray fluorescent spectrometer (XRF), respectively. A scanning electron microscope (SEM) is used to study the surface morphology. Lots of uncommon triangle islands and cavities are found on some planes of the CIGS thin films. We investigate the formation mechanism of these triangle islands. It is found that the planes with the triangle islands are (1 1 2) planes terminated by Se atoms. Se ad-dimer as a nucleus, Cu diffusion from CIGS grains brings the epitaxial triangle islands which grow with a two-dimensional layered mode. The film with Cu/(Ga + In) = 0.94–0.98 is one key of the formation of these islands. The triangle cavities are formed due to the insufficient coalescence of triangle islands. The growth of triangle islands brings a compact surface with large layered grains and many jagged edges, but no triangle cavity. Finally, we compare the performance of solar cell with triangle islands and layered gains. It is found that the performance of solar cell with large layered gains is improved.

  14. Characterization of sprayed CuInS2 films by XRD and Raman spectroscopy measurements

    International Nuclear Information System (INIS)

    Lee, Dong-Yeup; Kim, JunHo

    2010-01-01

    We studied CuInS 2 (CIS) film growth using two deposition methods, which were high electrostatic field assisted ultrasonic spray (HEFAUS) deposition and sulfurization of Cu-In metallic film. The sprayed-films were grown with chalcopyrite ordering and Cu-Au ordering mixed. In order to obtain higher quality CIS films, post-sulfurization was carried out for sprayed-films. The post-sulfurization induced improvement of crystallinity and enhancement of chalcopyrite ordering. However, it was observed that Cu-Au ordering still coexisted in the CIS film after post-sulfurization. With the same sulfurization condition, sulfurization was done to transform Cu-In metallic film into CIS film. The sulfurized metallic film was turned out to be formed as CIS film with higher crystallinity and better chalcopyrite ordering than sulfurized sprayed-films. All fabricated films were characterized by X-ray diffraction, Raman scattering, scanning electron microscope and energy dispersive X-ray analysis measurements.

  15. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  16. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  17. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  18. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  19. Photoluminescence of polycrystalline CuIn 0.5 Ga 0.5 Te 2 thin films grown by flash evaporation

    KAUST Repository

    Yandjah, L.

    2018-04-03

    Polycrystalline CuIn0.5Ga0.5Te2 films were deposited by flash evaporation from ingot prepared by reacting, in stoichiometric proportions, high purity Cu, In, Ga and Te elements in vacuum sealed quartz . The as-obtained films were characterized by X – ray diffraction (XRD), transmission electron microscopy (TEM) combined with energy dispersive spectroscopy (EDS). XRD and TEM results showed that the layer has a chalcopyrite-type structure, predominantly oriented along (112) planes, with lattice parameters a = 0.61 nm and c = 1.22 nm. The optical properties in the near - infrared and visible range 600 - 2400 nm have been studied. The analysis of absorption coefficient yielded an energy gap value of 1.27 eV. Photoluminescence analysis of as-grown sample shows two main emission peaks located at 0.87 and 1.19 eV at 4 K.

  20. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  1. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  2. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  3. Effect of Cu/In molar ratio on the microstructural and optical properties of microcrystalline CuInS2 prepared by solvothermal route

    International Nuclear Information System (INIS)

    Das, Kajari; Panda, Subhendu K.; Gorai, Soma; Mishra, Pratima; Chaudhuri, Subhadra

    2008-01-01

    Synthesis and characterization of CuInS 2 powder sample prepared by a simple and convenient solvothermal method is reported. The influence of the variation of Cu/In molar ratio from 0.69 to 1.25 on the particle morphology, crystal structure and optical properties of CuInS 2 samples was studied. The X-ray diffraction studies indicated that the samples were polycrystalline in nature. SEM images of the samples revealed that the copper-rich products were uniform microspheres with smooth surfaces, whereas microspheres formed by network of interconnected flakes were obtained for indium-rich products. The optical band gaps (E g ) of the products decreased from 1.60 to 1.43 eV with variation of Cu/In molar ratio. The variation of the Urbach tail width with Cu/In molar ratio indicated that the density of the defects is much higher for the indium-rich CuInS 2 , which was clearly revealed from Raman measurements

  4. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  5. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  6. Ultraviolet emission from low resistance Cu2SnS3/SnO2 and CuInS2/Sn:In2O3 nanowires

    Directory of Open Access Journals (Sweden)

    E. Karageorgou

    2014-11-01

    Full Text Available SnO2 and Sn:In2O3 nanowires were grown on Si(001, and p-n junctions were fabricated in contact with p-type Cu2S which exhibited rectifying current–voltage characteristics. Core-shell Cu2SnS3/SnO2 and CuInS2/Sn:In2O3 nanowires were obtained by depositing copper and post-growth processing under H2S between 100 and 500 °C. These consist mainly of tetragonal rutile SnO2 and cubic bixbyite In2O3. We observe photoluminescence at 3.65 eV corresponding to band edge emission from SnO2 quantum dots in the Cu2SnS3/SnO2 nanowires due to electrostatic confinement. The Cu2SnS3/SnO2 nanowires assemblies had resistances of 100 Ω similar to CuInS2/In2O3 nanowires which exhibited photoluminescence at 3.0 eV.

  7. Peculiarities of linear thermal expansion of CuInS2 single crystal

    International Nuclear Information System (INIS)

    Akira, Nagaoka; Kenji, Yoshino; Hideto, Miyake

    2010-01-01

    Full text : I-III-VI 2 chalcopyrire semiconductors have made rapid progress in recent years. In addition chalcopyrite semiconductors show unique thermal properties. Usually, liner thermal expansion in semiconductors increases with increasing temperature. However, liner thermal expansion of most chalcopyrite semiconductors decreases at low temperature. For example, AgGaSe 2 shows decreasing the liner thermal expansion below 100 K 1 , 2). It is well known that high-quality single crystals of the I-III-VI 2 compounds are difficult to grow because most of the compounds grow through a peritectic reaction or a solid state transition during the cooling process. CuInS 2 single crystal can be grown by traveling heater method (THM), which is one of the solution growth techniques. Advantages of the THM growth are following that growth temperature is low compared with that of the other melt growth and larger crystals can be grown compared with a conventional solution growth. In a previous study, CuGaS 2 , CuGaSe 2 , CuGaTe 2 , CuInSe 2 ternary compounds have been obtained by the THM technique. In this work, it is investigated a liner thermal expansion of single crystal CuInS 2 by using X-ray diffraction. Measurement temperature was changed from 10 K to 300 K. From results of XRD measurement, it is calculated lattice constants of a and c axes and the liner thermal expansion. As a result, lattice constants of a axis increase with increasing temperature, that of c axis decreases with increasing temperature. The liner thermal expansion decreases for T 2 single crystal at low temperature

  8. Effect of antimony incorporation on structural properties of CuInS2 crystals

    International Nuclear Information System (INIS)

    Ben Rabeh, M.; Chaglabou, N.; Kanzari, M.

    2010-01-01

    CuInS 2 (CIS) single crystals doped with 1, 2, 3 and 4 atomic percent (at.%) of antimony (Sb) were grown by the horizontal Bridgman method. The effect of Sb doping on the structural properties of CIS crystal was studied by means of X-ray diffraction (XRD), energy dispersive X-ray analysis (EDAX), scanning electron microscopy (SEM) and PL measurements. X-ray diffraction data suggests that the doping of Sb in the CIS single crystals does not affect the tetragonal (chalcopyrite) crystal structure and exhibited a (1 1 2) preferred orientation. In addition, with increasing Sb concentration, the X-ray diffraction analysis show that Sb doped CIS crystals are more crystallized and the diffraction peaks of the CuInS 2 phase were more pronounced in particular the (1 1 2) plane. EDAX study revealed that Sb atoms can occupy the indium site and/or occupying the sulfur site to make an acceptor. PL spectra of undoped and Sb doped CIS crystals show two emission peaks at 1.52 and 1.62 eV, respectively which decreased with increasing atomic percent antimony. Sb doped CIS crystals show p-type conductivity.

  9. Photoluminescence of polycrystalline CuIn 0.5 Ga 0.5 Te 2 thin films grown by flash evaporation

    KAUST Repository

    Yandjah, L.; Bechiri, L.; Benabdeslem, M.; Benslim, N.; Amara, A.; Portier, X.; Bououdina, M.; Ziani, Ahmed

    2018-01-01

    Polycrystalline CuIn0.5Ga0.5Te2 films were deposited by flash evaporation from ingot prepared by reacting, in stoichiometric proportions, high purity Cu, In, Ga and Te elements in vacuum sealed quartz . The as-obtained films were characterized by X

  10. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  11. Studying physical properties of CuInS2 absorber layers grown by spin coating method on different kinds of substrates

    Science.gov (United States)

    Amerioun, M. H.; Ghazi, M. E.; Izadifard, M.

    2018-03-01

    In this work, first the CuInS2 (CIS2) layers are deposited on Aluminum and polyethylene terephthalate (PET) as flexible substrates, and on glass and soda lime glass (SLG) as rigid substrates by the sol-gel method. Then the samples are analyzed by x-ray diffractomery (XRD) and atomic force microscope (AFM) to investigate the crystal structures and surface roughness of the samples. The I-V curve measurements and Seebeck effect setup are used to measure the electrical properties of the samples. The XRD data obtained for the CIS2 layers show that all the prepared samples have a single phase with a preferred orientation that is substrate-dependent. The samples grown on the rigid substrates had higher crystallite sizes. The results obtained for the optical measurements indicate the dependence of the band gap energy on the substrate type. The measured Seebeck coefficient showed that the carriers were of p-type in all the samples. According to the AFM images, the surface roughness also varied in the CIS2 layers with different substrates. In this regard, the type of substrate could be an important parameter for the final performance of the fabricated CIS2 cells.

  12. Enhanced photoelectrocatalytic degradation of 2,4-dichlorophenoxyacetic acid by CuInS2 nanoparticles deposition onto TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Liu Ronghua; Liu Yutang; Liu Chengbin; Luo Shenglian; Teng Yarong; Yang Lixia; Yang Renbin; Cai Qingyun

    2011-01-01

    Research highlights: → The photocatalytic application of CuInS 2 with a direct band gap of about 1.5 eV and a high absorption coefficient remains unknown. → We describe an impulse electrodeposition approach to deposit CuInS 2 nanoparticles in uniform size of about 20 nm onto the top surface of the highly oriented TiO 2 NT arrays while minimizing the clogging of the tube entrances. → The novel photocatalyst exhibits a highly visible-light photocatalytic degradation activity for the target organic pollutant. → Moreover, the stability of the modified TiO 2 NT is good. → Therefore, CuInS 2 nanoparticles modified TiO 2 NT photocatalysts have potential utility in practical purification of organic wastewater. - Abstract: Surface modification of TiO 2 nanotube (NT) arrays with CuInS 2 nanoparticles (NPs) for photocatalytic degradation of 2,4-dichlorophenoxyacetic acid (2,4-D) was reported. A pulse electrodeposition technique was used to prepare the CuInS 2 NPs, and the resulted CuInS 2 NPs, with a uniform size of about 20 nm, were found to deposit on the top surface of the highly oriented TiO 2 NT while without clogging the tube entrances. Compared with the unmodified TiO 2 NT, the CuInS 2 NPs modified TiO 2 NT (CuInS 2 -TiO 2 NT) showed significantly enhanced photocatalytic activity towards 2,4-D under visible light. After 160 min irradiation, the removal rate of 2,4-D is 100% by using CuInS 2 -TiO 2 NT, much higher than 65.2% by using the unmodified TiO 2 NT in photoelectrocatalytic process. The increased photodegradation efficiency mainly results from the improved photocurrent density as results of enhanced visible-light absorption and decreased hole-electron recombination due to the presence of narrow-band-gap p-type semiconductor CuInS 2 .

  13. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  14. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  15. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  16. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  17. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  18. New crystal structures in hexagonal CuInS2 nanocrystals

    Science.gov (United States)

    Shen, Xiao; Hernández-Pagan, Emil A.; Zhou, Wu; Puzyrev, Yevgeniy S.; Idrobo, Juan C.; MacDonald, Janet E.; Pennycook, Stephen J.; Pantelides, Sokrates T.

    2013-03-01

    CuInS2 is one of the best candidate materials for solar energy harvesting. Its nanocrystals with a hexagonal lattice structure that is different from the bulk chalcopyrite phase have been synthesized by many groups. The structure of these CuInS2 nanocrystals has been previously identified as the wurtzite structure in which the copper and indium atoms randomly occupy the cation sites. Using first-principles total energy and electronic structure calculations based on density functional theory, UV-vis absorption spectroscopy, X-ray diffraction, and atomic resolution Z-contrast images obtained in an aberration-corrected scanning transmission electron microscope, we show that CuInS2 nanocrystals do not form random wurtzite structure. Instead, the CuInS2 nanocrystals consist of several wurtzite- related crystal structures with ordered cation sublattices, some of which are reported for the first time here. This work is supported by the NSF TN-SCORE (JEM), by NSF (WZ), by ORNL's Shared Research Equipment User Program (JCI) sponsored by DOE BES, by DOE BES Materials Sciences and Engineering Division (SJP, STP), and used resources of the National Energy Research Scientific Computing Center, supported by the DOE Office of Science under Contract No. DE-AC02-05CH11231.

  19. Raman scattering in orthorhombic CuInS2 nanocrystals

    International Nuclear Information System (INIS)

    Dzhagan, V.M.; Valakh, M.Ya.; Litvinchuk, A.P.; Kruszynska, M.; Kolny-Olesiak, J.; Himcinschi, C.; Zahn, D.R.T.

    2014-01-01

    We report the results of non-resonant and resonant Raman scattering in orthorhombic nanocrystalline CuInS 2 semiconductor, supported by density functional first principle lattice dynamics calculations. A larger number of dominant phonon modes in comparison with standard tetragonal CuInS 2 phases is shown to be associated with peculiarities of cation sublattice ordering and is the ''fingerprint'' of the corresponding structural polymorph. Good overall agreement is found between theoretical and experimental phonon mode frequencies. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  1. Structural and optical properties of Zn doped CuInS 2 thin films

    Indian Academy of Sciences (India)

    Copper indium sulphide (CIS) films were deposited by spray pyrolysis onto glass ... The effects of Zn (0–5%)molecular weight compared with CuInS2 Source and ... candidates for use as doped acceptors to fabricate CuInS2-based solar cells.

  2. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  3. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  4. Capped CuInS2 quantum dots for H2 evolution from water under visible light illumination

    International Nuclear Information System (INIS)

    Li, Tzung-Luen; Cai, Cheng-Da; Yeh, Te-Fu; Teng, Hsisheng

    2013-01-01

    Highlights: ► Dispersed CuInS 2 quantum dots showed remarkable photosynthetic activity using visible light. ► Photogenerated electrons in CuInS 2 were effective in H 2 production from aqueous solution. ► The bifunctional capping reagent effectively transported photogenerated electrons for reaction. ► Ru-loaded CuInS 2 quantum dots showed a quantum efficiency of 4.7% in H 2 evolution. ► Attaching CuInS 2 to TiO 2 with CdS passivation achieved a quantum efficiency of 41%. - Abstract: This study demonstrates H 2 evolution from water decomposition catalyzed by capped CuInS 2 quantum dots (QDs) that are highly dispersed in a polysulfide aqueous solution. The CuInS 2 QDs, which are obtained from solvothermal synthesis, have a size of 4.3 nm and a band gap of 1.97 eV. For photosynthetic H 2 evolution in the aqueous solution, the QDs are capped with a multidentate ligand (3-mercaptopropionic acid), which has a thiol end for attaching the QDs and a hydrophilic carboxylic end for dispersion in water. The capped QDs exhibit low activity in catalyzing H 2 evolution under visible illumination. After photodepositing 0.5 wt.% Ru, the capped QDs are active in producing H 2 with illumination. This demonstrates that the photogenerated electrons travel through the capping reagent to generate deposited Ru, which subsequently serves as an electron trap for H 2 evolution. A heterostructure formed by attaching the capped QDs on TiO 2 nanoparticles, followed by coating CdS with photodeposition, exhibits a high quantum efficiency of 41% for H 2 evolution from the polysulfide solution. These results demonstrate the potential for photosynthesis and phototherapy in biologic in vivo or microfluidic systems based on this capped QD material.

  5. TEM EDS analysis of epitaxially-grown self-assembled indium islands

    Directory of Open Access Journals (Sweden)

    Jasmine Sears

    2017-05-01

    Full Text Available Epitaxially-grown self-assembled indium nanostructures, or islands, show promise as nanoantennas. The elemental composition and internal structure of indium islands grown on gallium arsenide are explored using Transmission Electron Microscopy (TEM Energy Dispersive Spectroscopy (EDS. Several sizes of islands are examined, with larger islands exhibiting high (>94% average indium purity and smaller islands containing inhomogeneous gallium and arsenic contamination. These results enable more accurate predictions of indium nanoantenna behavior as a function of growth parameters.

  6. Superconducting thin films of As-free pnictide LaPd{sub 1-x}Sb{sub 2} grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2013-07-01

    We use reactive molecular beam epitaxy as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaPd{sub 1-x}Sb{sub 2} were grown on (100) MgO substrates from elemental sources by simultaneous evaporation of high purity La, Pd and Sb metals by e-gun. LaPd{sub 1-x}Sb{sub 2} belongs to a novel class of pnictide superconductors with a peculiar pnictide square net layer. Previously, we have reported epitaxial growth of isostructural Bi based compounds. The substitution of Bi by Sb leads to thin films with metallic behavior and room temperature resistivity of about 85 μΩ cm. The highest observed transition temperature T{sub c} inLaPd{sub 1-x}Sb{sub 2} is 3.1 K and does not depend on x. We discuss strategies to increase T{sub c} in this pnictide subfamily.

  7. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  8. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  9. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  10. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  11. Investigation of growth and characterization of nanostructured CuIn5S8 thin films produced by glancing angle deposition

    International Nuclear Information System (INIS)

    Sinaoui, A.; Chaffar-Akkari, F.; Gallas, B.; Demaille, D.; Kanzari, M.

    2015-01-01

    Ternary chalcogenide of copper and indium (CuIn 5 S 8 ) thin films were grown by thermal evaporation method using GLancing Angle Deposition (GLAD) technique. The samples were prepared under different incident angles (α = 0°, 40°, 60° and 85° measured from the normal to the substrate surface) with a substrate rotation of 2 rpm. X-ray diffraction, scanning electron microscopy, and ultraviolet–visible-infrared spectra are employed to characterize the microstructure and optical properties of the CuIn 5 S 8 thin films deposited by this technique. Under the GLAD conditions, we demonstrate that with substrate rotation, the columns were grown vertically due to the shadowing symmetry. The optical constants of the deposited films were determined from the analysis of transmission and reflection data. The results show that the refractive index and the thickness were decreased as α rises from 0° to 85° while the porosity and the Urbach energy were increased with increasing of the incident angle. The minimum refractive index is found to be 2.03 for the helical CuIn 5 S 8 film deposited at an angle of 85° and the Urbach energy was found to increase from 0.29 to 0.5 eV as α rises from 0° to 85°. Such changes of the optical behaviors are correlated with changes of the microstructure, especially a porous architecture which is favored for high incident angle. These properties exhibit potential for use in applications such as photonic crystals, graded index optical filters, and birefrigent omnidirectional reflectors. - Highlights: • GLancing angle deposition technique was employed to prepare CuIn 5 S 8 thin films. • CuIn 5 S 8 films exhibit a spinel structure with a preferred orientation along 311. • With substrate rotation, the columns were grown vertically due to shadowing symmetry. • The refractive index decreases with increasing glancing angle deposition. • Variations of the optical behaviors were correlated to the highly porous structure

  12. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  13. Green synthesis of CuInS2/ZnS core-shell quantum dots by facile solvothermal route with enhanced optical properties

    Science.gov (United States)

    Jindal, Shikha; Giripunje, Sushama M.; Kondawar, Subhash B.; Koinkar, Pankaj

    2018-03-01

    We report an eco-friendly green synthesis of highly luminescent CuInS2/ZnS core-shell quantum dots (QDs) with average particle size ∼ 3.9 nm via solvothermal process. The present study embodies the intensification of CuInS2/ZnS QDs properties by the shell growth on the CuInS2 QDs. The as-prepared CuInS2 core and CuInS2/ZnS core-shell QDs have been characterized using a range of optical and structural techniques. By adopting a low temperature growth of CuInS2 core and high temperature growth of CuInS2/ZnS core-shell growth, the tuning of absorption and photoluminescence emission spectra were observed. Optical absorption and photoluminescence spectroscopy probe the effect of ZnS passivation on the electronic structure of the CuInS2 dots. In addition, QDs have been scrutinized using ultra violet photoelectron spectroscopy (UPS) to explore their electronic band structure. The band level positions of CuInS2 and CuInS2/ZnS QDs suffices the demand of non-toxic acceptor material for electronic devices. The variation in electronic energy levels of CuInS2 core with the coating of wide band gap ZnS shell influence the removal of trap assisted recombination on the surface of the core. QDs exhibited tunable emission from red to orange region. These studies reveal the feasibility of QDs in photovoltaic and light emitting diodes.

  14. Characterization of structural defects in SnSe2 thin films grown by molecular beam epitaxy on GaAs (111)B substrates

    Science.gov (United States)

    Tracy, Brian D.; Li, Xiang; Liu, Xinyu; Furdyna, Jacek; Dobrowolska, Margaret; Smith, David J.

    2016-11-01

    Tin selenide thin films have been grown by molecular beam epitaxy on GaAs (111)B substrates at a growth temperature of 150 °C, and a microstructural study has been carried out, primarily using the technique of transmission electron microscopy. The Se:Sn flux ratio during growth was systematically varied and found to have a strong impact on the resultant crystal structure and quality. Low flux ratios (Se:Sn=3:1) led to defective films consisting primarily of SnSe, whereas high flux ratios (Se:Sn>10:1) gave higher quality, single-phase SnSe2. The structure of the monoselenide films was found to be consistent with the Space Group Pnma with the epitaxial growth relationship of [011]SnSe// [ 1 1 bar 0 ] GaAs, while the diselenide films were consistent with the Space Group P 3 bar m1 , and had the epitaxial growth relationship [ 2 1 bar 1 bar 0 ]SnSe2// [ 1 1 bar 0 ] GaAs.

  15. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  16. Colloidal-chemistry based synthesis of quantized CuInS2/Se2 nanoparticles

    Directory of Open Access Journals (Sweden)

    Abazović Nadica D.

    2012-01-01

    Full Text Available Ternary chalcogenide nanoparticles, CuInS2 and CuInSe2, were synthesized in high- temperature boiling organic non-polar solvent. The X-ray diffraction analysis revealed that both materials have tetragonal (chalcopyrite crystal structure. Morphology of the obtained materials was revealed by using transmission electron microscopy. Agglomerated spherical CuInS2 nanoparticles with broad size distribution in the range from 2 to 20 nm were obtained. In the case of CuInSe2, isolated particles with spherical or prismatic shape in the size range from 10 to 25 nm were obtained, as well as agglomerates consisting of much smaller particles with diameter of about 2-5 nm. The particles with the smallest diameters of both materials exhibit quantum size effect.

  17. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  18. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  19. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  20. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  1. Epitaxially Grown Ultra-Flat Self-Assembling Monolayers with Dendrimers

    Directory of Open Access Journals (Sweden)

    Takane Imaoka

    2018-02-01

    Full Text Available Mono-molecular films formed by physical adsorption and dendrimer self-assembly were prepared on various substrate surfaces. It was demonstrated that a uniform dendrimer-based monolayer on the subnanometer scale can be easily constructed via simple dip coating. Furthermore, it was shown that an epitaxially grown monolayer film reflecting the crystal structure of the substrate (highly ordered pyrolytic graphite (HOPG can also be formed by aligning specific conditions.

  2. Heteroepitaxial growth of CuInS2 thin films on sapphire by radio frequency reactive sputtering

    International Nuclear Information System (INIS)

    He, Y.B.; Kriegseis, W.; Meyer, B.K.; Polity, A.; Serafin, M.

    2003-01-01

    Direct heteroepitaxial growth of uniform stoichiometric CuInS 2 (CIS) thin films on sapphire (0001) substrates has been achieved by radio frequency reactive sputtering. X-ray ω-2θ scans reveal that the sputtered layers grow in a (112) orientation with a chalcopyrite structure. A rocking curve full width at half maximum of about 0.05 deg. (180 arc sec) for the (112) peak demonstrates a nearly perfect out-of-plane arrangement of CIS (112) parallel sapphire (0001). X-ray diffraction Phi scans further illustrate an excellent in-plane ordering of CIS [1-bar10] parallel sapphire (101-bar0). The sputtered thin CIS epilayers had a smooth surface with a typical root-mean-square roughness of about 3.3 nm as evaluated by atomic force microscopy. The epitaxial growth of tetragonal CIS on hexagonal sapphire provides evidence that heteroepitaxial growth may be realized between structures of different symmetry, such as films of cubic or tetragonal structures on hexagonal substrates or vice versa

  3. Characterization of CuIn1-xAlxS2 thin films prepared by thermal evaporation

    International Nuclear Information System (INIS)

    Smaili, F.; Kanzari, M.; Rezig, B.

    2008-01-01

    Ingots containing single crystals of the quaternary alloys CuIn 1-x Al x S 2 (CIAS) were grown by a horizontal Bridgman method for compositions with x = 0, 0.2 and x = 0.4. (CIAS) thin films were prepared by thermal evaporation technique on to glass substrates. Structural and optical properties of the films were studied in function of the Al content. Band gap, and absorption coefficients were determined from the analysis of the optical spectra (transmittance and reflectance as a function of wavelength) recorded by a spectrophotometer. The samples have direct bandgap energies of 1.95 eV (x = 0), 2.06 eV (x = 0,2) and 2.1 eV (x = 0,4). These optical results were correlated with the structural analysis by X-Ray diffraction

  4. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  5. Microwave-assisted synthesis and photovoltaic measurements of CuInS2 nanoparticles prepared by using metal–organic precursors

    International Nuclear Information System (INIS)

    Hosseinpour-Mashkani, S. Mostafa; Mohandes, Fatemeh; Salavati-Niasari, Masoud; Venkateswara-Rao, K.

    2012-01-01

    Highlights: ► CuInS 2 nanoparticles were prepared using complexes via a microwave-assisted method. ► The effect of preparation parameters on the morphology of CuInS 2 was investigated. ► The as-deposited CdS/CuInS 2 films were used for the photovoltaic measurements. -- Abstract: In this work, CuInS 2 (CIS) nanoparticles have been synthesized with the aid of (1,8-diamino-3,6-dioxaoctan)copper(II) sulfate ([Cu(DADO)]SO 4 ) and bis(propylenediamine)copper(II) sulfate ([Cu(pn) 2 ]SO 4 ) complexes as copper precursor in the presence of microwave irradiation. Besides, L-cystine, InCl 3 , and sodium dodecyl sulfate (SDS) were applied as sulfur source, indium precursor, and capping agent, respectively. To investigate the effect of preparation parameters like microwave power and irradiation time on the morphology and particle size of CuInS 2 , the experiment was carried out at different conditions. The as-synthesized CuInS 2 nanoparticles were characterized by XRD, FT-IR, PL, SEM, TEM, and EDS. The XRD results showed that pure tetragonal CuInS 2 could be only obtained after annealing at 400 °C for 2 h. The SEM images indicated that with decreasing the microwave power and irradiation time, particle size of CuInS 2 nanoparticles decreased. To fabricate a solar cell, CdS film was directly deposited on top of the CIS film prepared by Doctor's blade method through chemical bath deposition. The as-deposited CdS/CuInS 2 films were used for the photovoltaic measurements.

  6. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  7. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  8. Static and dynamic magnetic properties of B2 ordered Co2MnAl film epitaxially grown on GaAs

    International Nuclear Information System (INIS)

    Liu, Jihong; Qiao, Shuang

    2015-01-01

    Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. However, on the premise of high polarization, the optimization of the magnetic damping constant is directly determined the critical current density for spin torque transfer switching and also the stability of spin polarization for spin injection transfer, thus research on damping constant is also very important. In this paper, we have systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by FMR and TR-MOKE measurements, and found that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. While, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may provide important information for Co 2 MnAl/GaAs heterostructure and its potential application in spintronics. - Graphical abstract: Co 2 MnAl, considering its potential 100% spin polarization and high Curie temperature, is expected to be one of the most promising materials for realizing half metallicity. In this paper, we have successfully grown the B2-ordered Co 2 MnAl film on GaAs (100) substrate and systematically investigated the magnetic damping constant in Co 2 MnAl film epitaxially grown on GaAs(100) substrate by employing both FMR and TR-MOKE measurements. Our results show that the damping constant of 0.023 extracted by FMR is comparable with that of TR-MOKE at low field. However, considering field-dependent spin orientation, we think that the field-dependent damping constant deduced by TR-MOKE may be more useful for Co 2 MnAl/GaAs heterostructure and its possible application in spintronics. - Highlights: • B2 ordered Co 2 MnAl was successfully prepared and studied by LMOKE and ROT-MOKE. • Static magnetic measurements show clear cubic anisotropy with K C of 5.0 × 10 4

  9. ZnSe passivation layer for the efficiency enhancement of CuInS2 quantum dots sensitized solar cells

    International Nuclear Information System (INIS)

    Peng, Zhuoyin; Liu, Yueli; Zhao, Yinghan; Chen, Keqiang; Cheng, Yuqing; Kovalev, Valery; Chen, Wen

    2014-01-01

    Highlights: • ZnSe is employed as passivation layer in CuInS 2 quantum dots sensitized solar cells. • Slight red-shift has been occurred in UV–vis absorption spectra with ZnSe coating. • CuInS 2 based solar cells coated by ZnSe have better efficiency than that of ZnS. • Higher rate of charge transport can be produced after coating with ZnSe. -- Abstract: The effect of ZnSe passivation layer is investigated in the CuInS 2 quantum dot sensitized solar cells, which is used to improve the photovoltaic performance. The CuInS 2 quantum dot sensitized TiO 2 photo-anodes are prepared by assembly linking technique, and then deposited by the ZnSe passivation layer using the successive ionic layer absorption and reaction technique. The optical absorption edge and photoluminescence peak have slightly red-shifted after the passivation layer coating. Under solar light illumination, the ZnSe passivation layer based CuInS 2 quantum dot sensitized solar cells have the higher photovoltaic efficiency of 0.95% and incident photon conversion efficiency response than that of pure CuInS 2 based solar cells and ZnS passivation layer based solar cells, as the electron injection rate becomes faster after coating with ZnSe passivation layer

  10. One-pot synthesis of CuInS2 nanocrystals using different anions to engineer their morphology and crystal phase.

    Science.gov (United States)

    Tang, Aiwei; Hu, Zunlan; Yin, Zhe; Ye, Haihang; Yang, Chunhe; Teng, Feng

    2015-05-21

    A simple one-pot colloidal method has been described to engineer ternary CuInS2 nanocrystals with different crystal phases and morphologies, in which dodecanethiol is chosen as the sulfur source and the capping ligands. By a careful choice of the anions in the metal precursors and manipulation of the reaction conditions including the reactant molar ratios and the reaction temperature, CuInS2 nanocrystals with chalcopyrite, zincblende and wurtzite phases have been successfully synthesized. The type of anion in the metal precursors has been found to be essential for determining the crystal phase and morphology of the as-obtained CuInS2 nanocrystals. In particular, the presence of Cl(-) ions plays an important role in the formation of CuInS2 nanoplates with a wurtzite-zincblende polytypism structure. In addition, the molar ratios of Cu to In precursors have a significant effect on the crystal phase and morphology, and the intermediate Cu2S-CuInS2 heteronanostructures are formed which are critical for the anisotropic growth of CuInS2 nanocrystals. Furthermore, the optical absorption results of the as-obtained CuInS2 nanocrystals exhibit a strong dependence on the crystal phase and size.

  11. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  12. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  13. Epitaxial single-crystal thin films of MnxTi1-xO2grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2-δ films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  14. Stimulated emission at 2.8 μm from Hg-based quantum well structures grown by photoassisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Giles, N.C.; Yang, Z.; Han, J.W.; Cook, J.W. Jr.; Schetzina, J.F.

    1990-01-01

    We report the first observation of stimulated emission from Hg-based quantum well structures in which the active region is a HgCdTe superlattice. The laser structures were grown on (100) CdZnTe substrates by photoassisted molecular beam epitaxy. Cleaved laser cavities were optically pumped using the 1.06 μm output from a continuous wave Nd:YAG laser. Stimulated emission cavity modes were seen at cw laser power densities as low as 3.4 kW/cm 2 and at temperatures ≥60 K

  15. Effects of Preparation Conditions on the CuInS2 Films Prepared by One-Step Electrodeposition Method

    Directory of Open Access Journals (Sweden)

    Rongfeng Guan

    2015-01-01

    Full Text Available CuInS2 thin films were prepared onto indium tin oxide (ITO substrates by sulfurization of electrodeposited CuxInySz precursor films under S atmosphere. The influences of deposition potential, Cu2+/In3+ ratio, sulfurization temperature, and sulfur content on the CuInS2 thin films were investigated. Phases and structures were characterized by powder X-ray diffraction and Raman spectroscopy; surface morphology was characterized by Scanning Electron Microscopy; optical and electrical properties were characterized by UV-Vis absorption and Mott-Schottky curves, respectively. As a result, the optimal well-crystallized CuInS2 films preparation parameters were determined to be deposition potential of −0.8 V, Cu2+/In3+ ratio of 1.4, sulfur content of 1 g, and the sulfurization temperature of 550°C for 1 h; CuInS2 thin films prepared by one-step electrodeposition present the p-type semiconductor, with thickness about 4-5 μm and their optical band gaps in the range of 1.53~1.55 eV.

  16. Physical properties and band structure of reactive molecular beam epitaxy grown oxygen engineered HfO{sub 2{+-}x}

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, 64287 Darmstadt (Germany)

    2012-12-01

    We have conducted a detailed thin film growth structure of oxygen engineered monoclinic HfO{sub 2{+-}x} grown by reactive molecular beam epitaxy. The oxidation conditions induce a switching between (111) and (002) texture of hafnium oxide. The band gap of oxygen deficient hafnia decreases with increasing amount of oxygen vacancies by more than 1 eV. For high oxygen vacancy concentrations, defect bands form inside the band gap that induce optical transitions and p-type conductivity. The resistivity changes by several orders of magnitude as a function of oxidation conditions. Oxygen vacancies do not give rise to ferromagnetic behavior.

  17. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  18. Multilayer epitaxial graphene grown on the (SiC 000 1-bar ) surface; structure and electronic properties

    International Nuclear Information System (INIS)

    Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D

    2010-01-01

    We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.

  19. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    Science.gov (United States)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  20. Photoluminescence study of epitaxially grown ZnSnAs2:Mn thin films

    International Nuclear Information System (INIS)

    Mammadov, E; Haneta, M; Toyota, H; Uchitomi, N

    2011-01-01

    The photoluminescence (PL) properties of heavily Mn-doped ZnSnAs 2 layers epitaxially grown on nearly lattice-matched semi-insulating InP substrates are studied. PL spectra are obtained for samples with Mn concentrations of 5, 12 and 24 mol% relative to the combined concentrations of Zn and Sn. A broad emission band centered at ∼ 1 eV is detected for Mn-doped layers at room temperature. The emission is a intense broad asymmetric line at low temperatures. The line is reconstructed by superposition of two bands with peak energies of ∼ 0.99 and 1.07 eV, similar to those reported for InP. These bands are superimposed onto a 1.14 eV band with well-resolved phonon structure for the layer doped with 12 % Mn. Recombination mechanism involving the split-off band of the ZnSnAs 2 is suggested. Temperature dependence of integrated intensities of the PL bands indicates to thermally activated emission with activation energies somewhat different from those found for InP. Mn substitution at cationic sites increases the concentration of holes which may act as recombination centers. Recombination to the holes bound to Mn ions with the ground state located below the top of the valence band has been proposed as a possible PL mechanism.

  1. Thermal stability of iron silicide nanowires epitaxially grown on Si(110) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Zhi-Qiang, E-mail: zouzhq@shanghaitech.edu.cn [School of Physical Science and Technology, ShanghaiTech University, 100 Haike Road, Pudong, Shanghai, 201210 (China); Li, Xu; Liu, Xiao-Yong; Shi, Kai-Juan; Guo, Xin-Qiu [Analytical and Testing Center, Shanghai Jiao Tong University, 800 Dongchuan Road, Shanghai 200240 (China)

    2017-03-31

    Highlights: • The α-FeSi{sub 2} nanowires epitaxially grown on Si(110) can be stable up to 750 °C. • The stable temperature of the nanowires is much lower than that of the bulk α-FeSi{sub 2} due to their small size and high relative surface area. • With increasing annealing temperature, the α-FeSi{sub 2} nanowires undergo an Ostwald ripening process and transform into large β-FeSi{sub 2} nanorods or three-dimensional nanocrystals. • The reduction in surface energy drives the transformation from metallic α-FeSi{sub 2} phase to semiconducting β-FeSi{sub 2} phase. - Abstract: Metallic α-FeSi{sub 2} nanowires (NWs) are epitaxially grown on Si(110) at 650 °C. Their evolution as a function of annealing temperature has been studied in situ by scanning tunneling microscopy. The NWs are stable up to 750 °C, which is much lower than that of the bulk α-FeSi{sub 2}. With further increasing the annealing temperature, some NWs begin to shrink in length and transform into wider and higher semiconducting β-FeSi{sub 2} nanorods or three-dimensional (3D) islands at 925 °C. The phase transformation is driven by the reduction in surface energy. On the other hand, some α-FeSi{sub 2} NWs begin to dissolve and become thinner until disappearing. The growth of the β-FeSi{sub 2} nanorods or 3D nanocrystals follows the Ostwald ripening mechanism, i.e., the large islands grow in size at the expense of the small ones. X-ray photoelectron spectroscopy study shows that the Fe 2p peaks of β-FeSi{sub 2} nanocrystals exhibit a negative shift of 0.2 eV with respect to the α-FeSi{sub 2} NWs.

  2. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  3. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  4. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  5. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  6. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  7. Origin of Spontaneous Core-Shell AIGaAs Nanowires Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Dubrovskii, V. G.; Shtrom, I. V.; Reznik, R. R.

    2016-01-01

    Based on the high-angle annular dark-field scanning transmission electron microscopy and energy dispersive X-ray spectroscopy studies, we unravel the origin of spontaneous core shell AlGaAs nanowires grown by gold-assisted molecular beam epitaxy. Our AlGaAs nanowires have a cylindrical core...

  8. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  9. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  10. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  11. Potential effect of CuInS2/ZnS core-shell quantum dots on P3HT/PEDOT:PSS heterostructure based solar cell

    Science.gov (United States)

    Jindal, Shikha; Giripunje, S. M.

    2018-07-01

    Nanostructured quantum dots (QDs) are quite promising in the solar cell application due to quantum confinement effect. QDs possess multiple exciton generation and large surface area. The environment friendly CuInS2/ZnS core-shell QDs were prepared by solvothermal method. Thus, the 3 nm average sized CuInS2/ZnS QDs were employed in the bulk heterojunction device and the active blend layer consisting of the P3HT and CuInS2/ZnS QDs was investigated. The energy level information of CuInS2/ZnS QDs as an electron acceptor was explored by ultra violet photoelectron spectroscopy. Bulk heterojunction hybrid device of ITO/PEDOT:PSS/P3HT: (CuInS2/ZnS QDs)/ZnO/Ag was designed by spin coating approach and its electrical characterization was investigated by solar simulator. Current density - voltage characteristics shows the enhancement in power conversion efficiency with increasing concentration of CuInS2/ZnS QDs in bulk heterojunction device.

  12. Estudio de la reaccion de sulfurizacion de precursores Cu/In para la formacion de capas delgadas policristalinas de CuInS2 para celulas solares

    OpenAIRE

    Barcones Campo, Beatriz; Álvarez García, Jacobo; Calvo-Barrio, L.; Pérez Rodríguez, Alejandro; Romano Rodríguez, Alberto; Morante i Lleonart, Joan Ramon; Scheer, R.; Klenk, R.; Pietzker, Ch.

    2004-01-01

    En este trabajo se presenta un estudio detallado de los procesos implicados en la sulfurización de capas metálicas de Cu-In para la fabricación de células solares de CuInS2. Con este objeto, se ha desarrollado un experimento de sulfurización parcial de las capas, que han sido sometidas posteriormente a un tratamiento de selenización. El estudio de estas estructuras mediante Espectroscopía Raman y Espectroscopía de Electrones Auger (AES) ha permitido conocer algunos de los detalles de la reacc...

  13. Structural characterization of ZnO films grown by molecular beam epitaxy on sapphire with MgO buffer

    International Nuclear Information System (INIS)

    Pecz, B.; El-Shaer, A.; Bakin, A.; Mofor, A.-C.; Waag, A.; Stoemenos, J.

    2006-01-01

    The structural characteristics of the ZnO film grown on sapphire substrate using a thin MgO buffer layer were studied using transmission electron microscopy and high-resolution x-ray diffraction. The growth was carried out in a modified plasma-molecular beam epitaxy system. The observed misfit dislocations were well confined at the sapphire overgrown interface exhibiting domain matching epitaxy, where the integral multiples of lattice constants match across the interface. The main extended defects in the ZnO film were the threading dislocations having a mean density of 4x10 9 cm -2 . The formation of the MgO buffer layer as well as the ZnO growth were monitored in situ by reflection high-energy electron diffraction. The very thin ∼1 nm, MgO buffer layer can partially interdiffuse with the ZnO as well as react with the Al 2 O 3 substrate forming an intermediate epitaxial layer having the spinel (MgO/Al 2 O 3 ) structure

  14. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  15. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  16. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  17. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  18. Thickness dependence of the strain, band gap and transport properties of epitaxial In{sub 2}O{sub 3} thin films grown on Y-stabilised ZrO{sub 2}(111)

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, K H L; Oropeza, F E; Egdell, R G [Department of Chemistry, Chemistry Research Laboratory, University of Oxford, Mansfield Road, Oxford OX1 3TA (United Kingdom); Lazarov, V K [Department of Materials, University of Oxford, Parks Road, Oxford OX1 3PH (United Kingdom); Veal, T D; McConville, C F [Department of Physics, University of Warwick, Coventry CV4 7AL (United Kingdom); Walsh, A, E-mail: Russell.egdell@chem.ox.ac.uk [Department of Chemistry, Kathleen Lonsdale Materials Chemistry, University College London, 20 Gordon Street, London WC1H 0AJ (United Kingdom)

    2011-08-24

    Epitaxial films of In{sub 2}O{sub 3} have been grown on Y-stabilised ZrO{sub 2}(111) substrates by molecular beam epitaxy over a range of thicknesses between 35 and 420 nm. The thinnest films are strained, but display a 'cross-hatch' morphology associated with a network of misfit dislocations which allow partial accommodation of the lattice mismatch. With increasing thickness a 'dewetting' process occurs and the films break up into micron sized mesas, which coalesce into continuous films at the highest coverages. The changes in morphology are accompanied by a progressive release of strain and an increase in carrier mobility to a maximum value of 73 cm{sup 2} V{sup -1} s{sup -1}. The optical band gap in strained ultrathin films is found to be smaller than for thicker films. Modelling of the system, using a combination of classical pair-wise potentials and ab initio density functional theory, provides a microscopic description of the elastic contributions to the strained epitaxial growth, as well as the electronic effects that give rise to the observed band gap changes. The band gap increase induced by the uniaxial compression is offset by the band gap reduction associated with the epitaxial tensile strain.

  19. Procesamiento químico de interfases semiconductoras tipo CuInS2 / Buffer para células solares de lámina delgada

    Directory of Open Access Journals (Sweden)

    Herrero, J.

    2004-04-01

    Full Text Available Some results are presented about the preparation of CuInS2 / buffer interfaces by chemical bath deposition. This type of interface has an interest for solar energy conversion by thin- film solar cells. It is shown that the deposition of buffer films with ZnSe composition onto CuInS2 is due to a combined electroless and chemical reaction process. Characterization of the CuInS2 / ZnSe structure is carried out with X-ray diffraction and microscope techniques (AFM, SEM. Solar cells of CuInS2 / ZnSe / ZnO type are characterized as a function of buffer layer deposition process.Se presentan resultados sobre la preparación mediante depósito químico de interfases CuInS2 / buffer. Estas interfases son de interés en la fabricación de células solares de lámina delgada. Se lleva a cabo el crecimiento de películas buffer de ZnSe en un baño químico, mediante a partir de reaccionesón electroless y química. La interfase CuInS2 / ZnSe resultante se caracteriza por medio de técnicas microscópicas (AFM, SEM. Se presentan resultados de células solares del tipo CuInS2 / ZnSe / ZnO, en función del tipo de depósito de la lámina buffer.

  20. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  1. Formation of uniform carrot-like Cu31S16-CuInS2 heteronanostructures assisted by citric acid at the oil/aqueous interface.

    Science.gov (United States)

    Li, Yongjie; Tang, Aiwei; Liu, Zhenyang; Peng, Lan; Yuan, Yi; Shi, Xifeng; Yang, Chunhe; Teng, Feng

    2018-01-07

    A simple two-phase strategy was developed to prepare Cu 31 S 16 -CuInS 2 heterostructures (HNS) at the oil/aqueous interface, in which the In(OH) 3 phase was often obtained in the products due to the reaction between indium ions and hydroxyl ions in the aqueous phase. To prevent the formation of the In(OH) 3 phase, citric acid was incorporated into the aqueous phase to assist in the synthesis of uniform carrot-like Cu 31 S 16 -CuInS 2 semiconductor HNS at the oil/aqueous interface for the first time. By manipulating the dosage of citric acid and Cu/In precursor ratios, the morphology of the Cu 31 S 16 -CuInS 2 HNS could be tailored from mushroom to carrot-like, and the presence of citric acid played a critical role in the synthesis of high-quality Cu 31 S 16 -CuInS 2 HNS, which inhibited the formation of the In(OH) 3 phase due to the formation of the indium(iii)-citric acid complex. The formation mechanism was studied by monitoring the morphology and phase evolution of the Cu 31 S 16 -CuInS 2 HNS with reaction time, which revealed that the Cu 31 S 16 seeds were first formed and then the cation-exchange reaction directed the subsequent anisotropic growth of the Cu 31 S 16 -CuInS 2 HNS.

  2. Small GSH-Capped CuInS2 Quantum Dots: MPA-Assisted Aqueous Phase Transfer and Bioimaging Applications.

    Science.gov (United States)

    Zhao, Chuanzhen; Bai, Zelong; Liu, Xiangyou; Zhang, Yijia; Zou, Bingsuo; Zhong, Haizheng

    2015-08-19

    An efficient ligand exchange strategy for aqueous phase transfer of hydrophobic CuInS2/ZnS quantum dots was developed by employing glutathione (GSH) and mercaptopropionic acid (MPA) as the ligands. The whole process takes less than 20 min and can be scaled up to gram amount. The material characterizations show that the final aqueous soluble samples are solely capped with GSH on the surface. Importantly, these GSH-capped CuInS2/ZnS quantum dots have small size (hydrodynamic diameter quantum dots, for instance, CuInSe2 and CdSe/ZnS quantum dots. We further demonstrated that GSH-capped quantum dots could be suitable fluorescence markers to penetrate cell membrane and image the cells. In addition, the GSH-capped CuInS2 quantum dots also have potential use in other fields such as photocatalysis and quantum dots sensitized solar cells.

  3. Hybrid density functional theory study of Cu(In1−xGaxSe2 band structure for solar cell application

    Directory of Open Access Journals (Sweden)

    Xu-Dong Chen

    2014-08-01

    Full Text Available Cu(In1−xGaxSe2 (CIGS alloy based thin film photovoltaic solar cells have attracted more and more attention due to its large optical absorption coefficient, long term stability, low cost and high efficiency. However, the previous theoretical investigation of this material with first principle calculation cannot fulfill the requirement of experimental development, especially the accurate description of band structure and density of states. In this work, we use first principle calculation based on hybrid density functional theory to investigate the feature of CIGS, with B3LYP applied in the CuIn1−xGaxSe2 stimulation of the band structure and density of states. We report the simulation of the lattice parameter, band gap and chemical composition. The band gaps of CuGaSe2, CuIn0.25Ga0.75Se2, CuIn0.5Ga0.5Se2, CuIn0.75Ga0.25Se2 and CuInSe2 are obtained as 1.568 eV, 1.445 eV, 1.416 eV, 1.275 eV and 1.205 eV according to our calculation, which agree well with the available experimental values. The band structure of CIGS is also in accordance with the current theory.

  4. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  5. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  6. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  7. Preparation of CuIn1-xGaxS2 (x = 0.5) flowers consisting of nanoflakes via a solvothermal method

    International Nuclear Information System (INIS)

    Liang Xiaojuan; Zhong Jiasong; Yang Fan; Hua Wei; Jin Huaidong; Liu Haitao; Sun Juncai; Xiang Weidong

    2011-01-01

    Highlights: → We report for the first time a small biomolecule-assisted route using L-cysteine as sulfur source and complexing agent to synthesis CuIn 0.5 Ga 0.5 S 2 crystals. → The possible mechanisms leading to CuIn 0.5 Ga 0.5 S 2 flowers consisting of nanoflakes were proposed. → In addition, the morphology, structure, and phase composition of the as-prepared CuIn 0.5 Ga 0.5 S 2 products were investigated in detail by XRD, FESEM, EDS, XPS, TEM (HRTEM) and SAED. - Abstract: CuIn 1-x Ga x S 2 (x = 0.5) flowers consisting of nanoflakes were successfully prepared by a biomolecule-assisted solvothermal route at 220 deg. C for 10 h, employing copper chloride, gallium chloride, indium chloride and L-cysteine as precursors. The biomolecule L-cysteine acting as sulfur source was found to play a very important role in the formation of the final product. The diameter of the CuIn 0.5 Ga 0.5 S 2 flowers was 1-2 μm, and the thickness of the flakes was about 15 nm. The obtained products were characterized by X-ray diffraction (XRD), energy dispersion spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), high-resolution transmission electron microscopy (HRTEM), selected area electron diffraction spectroscopy (SAED), and UV-vis absorption spectroscopy. The influences of the reaction temperature, reaction time, sulfur source and the molar ratio of Cu-to-L-cysteine (reactants) on the formation of the target compound were investigated. The formation mechanism of the CuIn 0.5 Ga 0.5 S 2 flowers consisting of flakes was discussed.

  8. VO2 Thermochromic Films on Quartz Glass Substrate Grown by RF-Plasma-Assisted Oxide Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Dong Zhang

    2017-03-01

    Full Text Available Vanadium dioxide (VO2 thermochromic thin films with various thicknesses were grown on quartz glass substrates by radio frequency (RF-plasma assisted oxide molecular beam epitaxy (O-MBE. The crystal structure, morphology and chemical stoichiometry were investigated systemically by X-ray diffraction (XRD, atomic force microscopy (AFM, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS analyses. An excellent reversible metal-to-insulator transition (MIT characteristics accompanied by an abrupt change in both electrical resistivity and optical infrared (IR transmittance was observed from the optimized sample. Remarkably, the transition temperature (TMIT deduced from the resistivity-temperature curve was reasonably consistent with that obtained from the temperature-dependent IR transmittance. Based on Raman measurement and XPS analyses, the observations were interpreted in terms of residual stresses and chemical stoichiometry. This achievement will be of great benefit for practical application of VO2-based smart windows.

  9. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  10. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  11. n-type dopants in (001) β-Ga2O3 grown on (001) β-Ga2O3 substrates by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Han, Sang-Heon; Mauze, Akhil; Ahmadi, Elaheh; Mates, Tom; Oshima, Yuichi; Speck, James S.

    2018-04-01

    Ge and Sn as n-type dopants in (001) β-Ga2O3 films were investigated using plasma-assisted molecular beam epitaxy. The Ge concentration showed a strong dependence on the growth temperature, whereas the Sn concentration remains independent of the growth temperature. The maximum growth temperature at which a wide range of Ge concentrations (from 1017 to 1020 cm-3) could be achieved was 675 °C while the same range of Sn concentration could be achieved at growth temperature of 750 °C. Atomic force microscopy results revealed that higher growth temperature shows better surface morphology. Therefore, our study reveals a tradeoff between higher Ge doping concentration and high quality surface morphology on (001) β-Ga2O3 films grown by plasma-assisted molecular beam epitaxy. The Ge doped films had an electron mobility of 26.3 cm2 V-1 s-1 at the electron concentration of 6.7 × 1017 cm-3 whereas the Sn doped films had an electron mobility of 25.3 cm2 V-1 s-1 at the electron concentration of 1.1 × 1018 cm-3.

  12. Disorder and defect formation mechanisms in molecular-beam-epitaxy grown silicon epilayers

    International Nuclear Information System (INIS)

    Akbari-Sharbaf, Arash; Baribeau, Jean-Marc; Wu, Xiaohua; Lockwood, David J.; Fanchini, Giovanni

    2013-01-01

    We investigate the role of disorder, stress and crystallite size in determining the density of defects in disordered and partially ordered silicon thin films deposited at low or moderate temperatures by molecular beam epitaxy. We find that the paramagnetic defect density measured by electron spin resonance (ESR) is strongly dependent on the growth temperature of the films, decreasing from ∼ 2 · 10 19 cm −3 at 98 °C to ∼ 1 · 10 18 cm −3 at 572 °C. The physical nature of the defects is strongly dependent on the range of order in the films: ESR spectra consistent with dangling bonds in an amorphous phase are observed at the lowest temperatures, while the ESR signal gradually becomes more anisotropic as medium-range order improves and the stress level (measured both by X-ray diffraction and Raman spectroscopy) is released in more crystalline films. Anisotropic ESR spectra consistent with paramagnetic defects embedded in an epitaxial phase are observed at the highest growth temperature (572 °C). - Highlights: ► Disordered Si epilayers were grown by molecular beam epitaxy. ► Growth has been carried out at temperatures T = 98 °C–514 °C. ► A correlation between defect density and disorder in the films has been found. ► Lack of medium range order and stress cause the formation of defects at low T. ► At high T, defects are associated to grain boundaries and oriented stacking faults

  13. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  14. Thioglycolic acid-capped CuInS2/ZnS quantum dots as fluorescent probe for cobalt ion detection

    International Nuclear Information System (INIS)

    Zi, Lili; Huang, Yu; Yan, Zhengyu; Liao, Shenghua

    2014-01-01

    A novel sensing fluorescent probe based on the fluorescence quenching of the thioglycolic acid-capped CuInS 2 /ZnS quantum dots (CuInS 2 /ZnS/TGA QDs) was established for cobalt ions detection. The fluorescence quenching of CuInS 2 /ZnS/TGA QDs was due to the increasing surface deficiency and the inner-filter effect, which were attributed to the reaction between Co 2+ and sulfur bonds on the surface of QDs. The quenching curve could be fitted by a typical Stern–Volmer-type equation, with a linear relationship between the quenching efficiency and the concentration of cobalt ions in the range of 0.3012–90.36 μmol L −1 . And the detection limit (S/N=3) for Co 2+ was 0.16 μmol L −1 . Therefore, the established probe provided a simple, rapid, cheap and sensitive method for Co 2+ detection. In a word, this method can be used to detect Co 2+ in the environment. -- Highlights: • The CuInS2/ZnS QDs were used for the first time as a fluorescent probe for Co 2+ detection. • The dramatic color change could be observed when Co 2+ was added into the QDs solution. • The quenching of QDs was due to the increasing surface deficiency and the inner-filter effect. • This rapid, cheap and sensitive method was applied to the detection of Co 2+ in simulated water

  15. Composition-dependent photoluminescence properties of CuInS_2/ZnS core/shell quantum dots

    International Nuclear Information System (INIS)

    Hua, Jie; Du, Yuwei; Wei, Qi; Yuan, Xi; Wang, Jin; Zhao, Jialong; Li, Haibo

    2016-01-01

    CuInS_2/ZnS (CIS/ZnS) core/shell quantum dots (QDs) with various Cu/In ratios were synthesized using the hot-injection method, and their photoluminescence (PL) properties were investigated by measuring steady-state and time-resolved PL spectroscopy. The emission peak of the CIS/ZnS QDs were tuned from 680 to 580 nm by decreasing the Cu/In precursor ratio from 1/1 to 1/9. As the Cu/In ratio decreases, the PL lifetimes and PL quantum yields (QYs) of CIS/ZnS core/shell QDs increased firstly and then decreased. Two dominant radiative recombination processes were postulated to analyze composition-dependent PL properties, including the recombination from a quantized conduction band to deep defects state and donor-acceptor pair (DAP) recombination. The decrease of PL efficiency resulted from high density defects and traps, which formed at the interface between CIS core and ZnS shell due to the large off-stoichiometry composition. The PL intensity and peak energy for CIS/ZnS core/shell QDs as a function of temperature were also provided. The thermal quenching further confirmed that the PL emission of CIS/ZnS QDs did not come from the recombination of excitons but from the recombination of many kinds of intrinsic defects inside the QDs as emission centers.

  16. Preparation of YBa2Cu3O7-δ epitaxial thin films by pulsed ion-beam evaporation

    International Nuclear Information System (INIS)

    Sorasit, S.; Yoshida, G.; Suzuki, T.; Suematsu, H.; Jiang, W.; Yatsui, K.

    2001-01-01

    Thin films of YBa 2 Cu 3 O 7-δ (Y-123) grown epitaxially have been successfully deposited by ion-beam evaporation (IBE). The c-axis oriented YBa 2 Cu 3 O 7-δ thin films were successfully deposited on MgO and SrTiO 3 substrates. The Y-123 thin films which were prepared on the SrTiO 3 substrates were confirmed to be epitaxially grown, by X-ray diffraction analysis. The instantaneous deposition rate of the Y-123 thin films was estimated as high as 4 mm/s. (author)

  17. A Novel Method for Preparation of Zn-Doped CuInS2 Solar Cells and Their Photovoltaic Performance

    Directory of Open Access Journals (Sweden)

    Cheng-Hsiung Peng

    2013-01-01

    Full Text Available In this study, a novel method was proposed to synthesize high quality Zn-doped CuInS2 nanocrystals under high frequency magnetic field at ambient conditions. The magnetic Zn-doping gave superparamagnetic heating of the resulting nanocrystals via magnetic induction, causing an accelerating growth rate of the doped CuInS2 under ambient conditions faster than conventional autoclave synthesis. Shape evolution of the Zn-doped CuInS2 nanocrystals from initially spherical to pyramidal, to cubic, and finally to a bar geometry was detected as a function of time of exposure to magnetic induction. These colloidal solvents with different shaped nanocrystals were further used as “nanoink” to fabricate a simple thin film solar device; the best efficiency we obtained of these crystals was 1.01% with a 1.012 μm thickness absorber layer (bar geometry. The efficiency could be promoted to 1.44% after the absorber was thickened to 2.132 μm.

  18. P-N junction solar cell grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hazrati Fard, M.

    2001-01-01

    Growth of GaAs epilayers by Molecular Beam Epitaxy was accomplished for the first time in Iran. The layers were grown on GaAs (001) substrates (p+ wafer) with Si impurity for p n junction solar cell fabrication at a rate of nearly one micron per hour and 0.25 micron per quarter. Crystalline quality of grown layers had been monitored during growth by Reflection High Energy Electron Diffraction system. Doping profile and layer thickness was assessed by electrochemical C-V profiling method. Then Hall measurements were conducted on small samples both in room temperature and liquid nitrogen temperature so giving average carrier concentration and compensation ratio. The results as like: V oc , I sc , F F, η were comparable with other laboratory reports. information for obtaining good and repeatable growths was collected. Therefore, the conditions of repeatable quality growth p n junction solar cells onto GaAs (001) substrates were determined

  19. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  20. Photovoltaic performance of bithiazole-bridged dyes-sensitized solar cells employing semiconducting quantum dot CuInS2 as barrier layer material.

    Science.gov (United States)

    Guo, Fuling; He, Jinxiang; Li, Jing; Wu, Wenjun; Hang, Yandi; Hua, Jianli

    2013-10-15

    In this work, the quantum dot CuInS2 layer was deposited on TiO2 film using successive ionic layer absorption and reaction (SILAR) method, and then two bithiazole-bridged dyes (BTF and BTB) were sensitized on the CuInS2/TiO2 films to form dye/CuInS2/TiO2 photoanodes for DSSCs. It was found that the quantum dots CuInS2 as an energy barrier layer not only could effectively improve open-circuit voltage (Voc) of solar cell, but also increase short-circuit photocurrent (Jsc) compared to the large decrease in Jsc of ZnO as energy barrier layer. The electrochemical impedance spectroscopy (EIS) measurement showed that the CuInS2 formed a barrier layer to suppress the recombination from injection electron to the electrolyte and improve open-circuit voltage. Finally, the open-circuit voltage increased about 22 and 27mV for BTF and BTB-/CuInS2/TiO2-based cells, the overall conversion efficiencies also reached to 7.20% and 6.74%, respectively. Copyright © 2013 Elsevier Inc. All rights reserved.

  1. Some Characteristics of r.f. Sputtered CuInS2 Thin Films

    International Nuclear Information System (INIS)

    Samaan, A.N.Y.; Al-Saffar, I.S.; Wasim, S.M.; Hill, A.E.; Armour, D.G.; Tomlinson, R.D.

    1983-01-01

    Electrical data from sputtered and annealed p-type CuInS 2 thin films have been obtained over a range of temperatures. An analysis of hole mobility vs. temperature data indicates that the charge carriers are predominantly scattered by neutral and ionized impurities and by acoustic-mode vibrations

  2. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  3. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  4. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  5. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  6. High quality long-wavelength lasers grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine

    International Nuclear Information System (INIS)

    Miller, B.I.; Young, M.G.; Oron, M.; Koren, U.; Kisker, D.

    1990-01-01

    High quality long-wavelength InGaAsP/InP lasers were grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine (TBA) as a substitute for AsH 3 . Electrical and photoluminescence measurements on InGaAs and InGaAsP showed that TBA-grown material was at least as good as AsH 3 material in terms of suitability for lasers. From two wafers grown by TBA, current thresholds I th as low as 11 mA were obtained for a 2-μm-wide semi-insulating blocking planar buried heterostructure laser lasing near 1.3 μm wavelength. The differential quantum efficiencies η D were as high as 21%/facet with a low internal loss α=21 cm -1 . In addition I th as low as 18 mA and η D as high as 18% have been obtained for multiplequantum well lasers at 1.54 μm wavelength. These results show that TBA might be used to replace AsH 3 without compromising on laser performance

  7. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  8. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  9. Characteristics of CuInSe2 thin films grown by the selenization method

    International Nuclear Information System (INIS)

    Kim, Sang Deok; Kim, Hyeong Joon; Adurodija, Frederick Ojo; Yoon, Kyeong Hoon; Song, Jin Soo

    1999-01-01

    CuInSe 2 thin films were formed from a selenization of co-sputtered Cu-In alloy layers which consisted of only two phases, CuIn 2 and Cu 11 In 9 . A linear dependence of the Cu-In alloy film composition on the Cu/In sputtering power was found. The metallic layers were selenized in vacuum or at 1 atm. A small number of Cu-Se and In-Se compounds was observed during the early stage of selenization, and single-phase CuInSe 2 was more easily formed in vacuum than at atmospheric pressure. Therefore, CuInSe 2 films selenized in vacuum showed larger grain sizes, smoother surfaces, and denser microstructures than those selenized at 1 atm

  10. MBE-grown Si and Si1−xGex quantum dots embedded within epitaxial Gd2O3 on Si(111) substrate for floating gate memory device

    International Nuclear Information System (INIS)

    Manna, S; Aluguri, R; Katiyar, A; Ray, S K; Das, S; Laha, A; Osten, H J

    2013-01-01

    Si and Si 1−x Ge x quantum dots embedded within epitaxial Gd 2 O 3 grown by molecular beam epitaxy have been studied for application in floating gate memory devices. The effect of interface traps and the role of quantum dots on the memory properties have been studied using frequency-dependent capacitance–voltage and conductance–voltage measurements. Multilayer quantum dot memory comprising four and five layers of Si quantum dots exhibits a superior memory window to that of single-layer quantum dot memory devices. It has also been observed that single-layer Si 1−x Ge x quantum dots show better memory characteristics than single-layer Si quantum dots. (paper)

  11. Characterization of CuInS2 thin films prepared by chemical bath deposition and their implementation in a solar cell

    International Nuclear Information System (INIS)

    Lugo, S.; López, I.; Peña, Y.; Calixto, M.; Hernández, T.; Messina, S.

    2014-01-01

    CuInS 2 thin films were formed by the sequential deposition of In 2 S 3 –CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS 2 thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In 2 S 3 , respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10 −3 Ω −1 cm −1 for the samples of 15 and 24 h of In 2 S 3 deposition bath, respectively. The obtained CuInS 2 films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO 2 :F/CdS/Sb 2 S 3 /CuInS 2 /PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In 2 S 3 deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V oc ) of 530 mV, short circuit current density (J sc ) of 2.43 mA cm −2 , and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In 2 S 3 bath, η = 0.43% was measured with the following parameters: V oc = 330 mV, J sc = 4.78 mA cm −2 and FF = 0.27. - Highlights: • CuInS 2 films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS 2 thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method

  12. Energy transfer in aggregated CuInS2/ZnS core-shell quantum dots deposited as solid films

    International Nuclear Information System (INIS)

    Gardelis, S; Georgiadou, D; Travlos, A; Nassiopoulou, A G; Fakis, M; Droseros, N

    2017-01-01

    We report on the morphology and optical properties of CuInS 2 /ZnS core-shell quantum dots in solid films by means of AFM, SEM, HRTEM, steady state and time-resolved photoluminescence (PL) spectroscopy. The amount of aggregation of the CuInS 2 /ZnS QDs was controlled by changing the preparation conditions of the films. A red-shift of the PL spectrum of CuInS 2 /ZnS core-shell quantum dots, deposited as solid films on silicon substrates, is observed upon increasing the amount of aggregation. The presence of larger aggregates was found to lead to a larger PL red-shift. Besides, as the degree of aggregation increased, the PL decay became slower. We attribute the observed PL red-shift to energy transfer from the smaller to the larger dots within the aggregates, with the emission being realized via a long decay recombination mechanism (100–200 ns), the origin of which is discussed. (paper)

  13. Ln{sup 3+}:KLu(WO{sub 4}){sub 2}/KLu(WO{sub 4}){sub 2} epitaxial layers: Crystal growth and physical characterisation

    Energy Technology Data Exchange (ETDEWEB)

    Silvestre, O.; Pujol, M.C.; Sole, R.; Bolanos, W.; Carvajal, J.J.; Massons, J.; Aguilo, M. [Fisica i Cristal.lografia de Materials (FiCMA), Universitat Rovira i Virgili, Campus Sescelades c/Marcel.li Domingo, s/n E-43007 Tarragona (Spain); Diaz, F. [Fisica i Cristal.lografia de Materials (FiCMA), Universitat Rovira i Virgili, Campus Sescelades c/Marcel.li Domingo, s/n E-43007 Tarragona (Spain)], E-mail: f.diaz@urv.cat

    2008-01-15

    Monoclinic epitaxial layers of single doped KLu{sub 1-x}Ln{sub x}(WO{sub 4}){sub 2} (Ln{sup 3+} = Yb{sup 3+} and Tm{sup 3+}) have been grown on optically passive KLuW substrates by liquid phase epitaxy (LPE) technique using K{sub 2}W{sub 2}O{sub 7} as solvent. The ytterbium content in the layer is in the range of 0.05 < x < 0.75 atomic substitution and the studied thulium concentrations are 0.05 < x < 0.10. The grown epitaxies are free of macroscopic defects and only in highly ytterbium-doped epilayers do some cracks or inclusions appear. The refractive indices of the epilayers were determined. The absorption and emission cross sections of ytterbium and thulium in KLuW are characterised and laser generation results are presented and discussed.

  14. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  15. Epitaxial growth and magnetic properties of Fe4-xMnxN thin films grown on MgO(0 0 1) substrates by molecular beam epitaxy

    Science.gov (United States)

    Anzai, Akihito; Takata, Fumiya; Gushi, Toshiki; Toko, Kaoru; Suemasu, Takashi

    2018-05-01

    Epitaxial Fe4-xMnxN (x = 0, 1, 2, 3, and 4) thin films were successfully grown on MgO(0 0 1) single-crystal substrates by molecular beam epitaxy, and their crystalline qualities and magnetic properties were investigated. It was found that the lattice constants of Fe4-xMnxN obtained from X-ray diffraction measurement increased with the Mn content. The ratio of the perpendicular lattice constant c to the in-plane lattice constant a of Fe4-xMnxN was found to be about 0.99 at x ⩾ 2. The magnetic properties evaluated using a vibrating sample magnetometer at room temperature revealed that all of the Fe4-xMnxN films exhibited ferromagnetic behavior regardless of the value of x. In addition, the saturation magnetization decreased non-linearly as the Mn content increased. Finally, FeMn3N and Mn4N exhibited perpendicular anisotropy and their uniaxial magnetic anisotropy energies were 2.2 × 105 and 7.5 × 105 erg/cm3, respectively.

  16. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  17. The determination of extinction coefficient of CuInS2, and ZnCuInS3 multinary nanocrystals.

    Science.gov (United States)

    Qin, Lei; Li, Dongze; Zhang, Zhuolei; Wang, Kefei; Ding, Hong; Xie, Renguo; Yang, Wensheng

    2012-10-21

    A pioneering work for determining the extinction coefficient of colloidal semiconductor nanocrystals (NCs) has been cited over 1500 times (W. Yu, W. Guo, X. G. Peng, Chem. Mater., 2003, 15, 2854-2860), indicating the importance of calculating NC concentration for further research and applications. In this study, the size-dependent nature of the molar extinction coefficient of "greener" CuInS(2) and ZnCuInS(3) NCs with emission covering the whole visible to near infrared (NIR) is presented. With the increase of NC size, the resulting quantitative values of the extinction coefficients of ternary CuInS(2) and quaternary ZnCuInS(3) NCs are found to follow a power function with exponents of 2.1 and 2.5, respectively. Obviously, a larger value of extinction coefficient is observed in quaternary NCs for the same size of particles. The difference of the extinction coefficient from both samples is clearly demonstrated due to incorporating ZnS with a much larger extinction coefficient into CuInS(2) NCs.

  18. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  19. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  20. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  1. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  2. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  3. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  4. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  6. Photoluminescence of epitactical and polycrystalline CuInS2 layers for thin-film solar cells

    International Nuclear Information System (INIS)

    Eberhardt, J.

    2007-01-01

    The present thesis deals with one- and polycrystalline CuInS 2 absorber layers for thin-film solar cells and especially with their optical and structural characterization. By means of detailed temperature- and power-dependent photoluminescence measurements in epitactical and polycrystalline absorber layers different radiative transitions could be analyzed and identified. The spectra were dominated by broad luminescence bands of deep perturbing levels. The implantation of hydrogen at low energies led to a passivation of these perturbing levels. On the base of the optical studies on epitactical and polycrystalline absorber layers a new improved defect model for CuInS 2 could be developed. The model contains two donor and two acceptor levels with following ionization energies: D-1=46 meV, D-2=87 meV, A-1=70 meV, and A-2=119 meV

  7. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  8. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  9. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  10. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  11. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  12. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  13. A High-Yield Synthesis of Chalcopyrite CuInS2 Nanoparticles with Exceptional Size Control

    Directory of Open Access Journals (Sweden)

    Chivin Sun

    2009-01-01

    Full Text Available We report high-yield and efficient size-controlled syntheses of Chalcopyrite CuInS2 nanoparticles by decomposing molecular single source precursors (SSPs via microwave irradiation in the presence of 1,2-ethanedithiol at reaction temperatures as low as 100°C and times as short as 30 minutes. The nanoparticles sizes were 1.8 nm to 10.8 nm as reaction temperatures were varied from 100°C to 200°C with the bandgaps from 2.71 eV to 1.28 eV with good size control and high yields (64%–95%. The resulting nanoparticles were analyzed by XRD, UV-Vis, ICP-OES, XPS, SEM, EDS, and HRTEM. Titration studies by 1H NMR using SSP 1 with 1,2-ethanedithiol and benzyl mercaptan were conducted to elucidate the formation of Chalcopyrite CuInS2 nanoparticles.

  14. Electrodeposition of Cu-In alloys for preparing CuInS sub 2 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Herrero, J; Ortega, J [Inst. de Energias Renovables (CIEMAT), Madrid (Spain)

    1990-01-01

    Copper-indium alloys were prepared by electroplating from citric acid (C{sub 6}H{sub 8}O{sub 7}.H{sub 2}O) baths onto Ti substrate. Formation of the alloys was carried out by direct codeposition of the elements and by sequential electrodeposition of copper and indium. Studies of the alloy formation by electrochemical measurements and X-ray diffraction were performed. The presence of Cu{sub 7}In{sub 4} in direct deposit as well as in sequentially electrodeposited material was observed during the alloy formation. The as-deposited layers were heated in H{sub 2}S. X-ray diffraction showed the annealed layers to be CuInS{sub 2} with the chalcopyrite structure, where the CuIn{sub 5}S{sub 8} phase was included during the annealing process. Photoelectrochemical characterization of the samples allowed us to determine the photoconductivity which is related with the Cu/In ratio in the samples. The energy gap for CuInS{sub 2} photoelectrodes in polysulphide solution was 1.57 Ev. (orig.).

  15. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  16. Optical Properties of a Quantum Dot-Ring System Grown Using Droplet Epitaxy.

    Science.gov (United States)

    Linares-García, Gabriel; Meza-Montes, Lilia; Stinaff, Eric; Alsolamy, S M; Ware, M E; Mazur, Y I; Wang, Z M; Lee, Jihoon; Salamo, G J

    2016-12-01

    Electronic and optical properties of InAs/GaAs nanostructures grown by the droplet epitaxy method are studied. Carrier states were determined by k · p theory including effects of strain and In gradient concentration for a model geometry. Wavefunctions are highly localized in the dots. Coulomb and exchange interactions are studied and we found the system is in the strong confinement regime. Microphotoluminescence spectra and lifetimes were calculated and compared with measurements performed on a set of quantum rings in a single sample. Some features of spectra are in good agreement.

  17. Characterization of as-grown and heavily irradiated GaN epitaxial structures by photoconductivity and photoluminescence

    International Nuclear Information System (INIS)

    Gaubas, E.; Jurs e-dot nas, S.; Tomasiunas, R.; Vaitkus, J.; Zukauskas, A.; Blue, A.; Rahman, M.; Smith, K.M.

    2005-01-01

    The influence of radiation defects on photoconductivity transients and photoluminescence (PL) spectra have been examined in semi-insulating GaN epitaxial layers grown on bulk n-GaN/sapphire substrates. Defects induced by 10-keV X-ray irradiation with a dose of 600Mrad and 100-keV neutrons with fluences of 5x10 14 and 10 16 cm -2 have been revealed through contact photoconductivity and microwave absorption transients. The amplitude of the initial photoconductivity decay is significantly reduced by the radiation defect density. A simultaneous decrease with radiation-induced defect density is also observed in the steady-state PL intensity of yellow, blue and ultraviolet bands peaked at 2.18, 2.85, and 3.42eV, respectively. The decrease of the PL intensity is accompanied by an increase of asymptotic decay lifetime, which is due to excess carrier multi-trapping. The decay can be described by the stretched exponential approximation exp[-(t/τ) α ] with different values of α in as-grown material (α∼0.7) and irradiated samples (α∼0.3). The value of the fracton dimension d s of the disordered structure, evaluated as d s =2α/(1-α), changes from 4.7 to 0.86 for as-grown and irradiated material, respectively, implying percolative carrier motion on an infinite cluster of dislocations net in the as-grown material and cluster fragmentation into finite fractons after irradiation

  18. Characteristics of CuInSe sub 2 thin films grown by the selenization method

    CERN Document Server

    Kim, S D; Adurodija, F O; Yoon, K H; Song, J S

    1999-01-01

    CuInSe sub 2 thin films were formed from a selenization of co-sputtered Cu-In alloy layers which consisted of only two phases, CuIn sub 2 and Cu sub 1 sub 1 In sub 9. A linear dependence of the Cu-In alloy film composition on the Cu/In sputtering power was found. The metallic layers were selenized in vacuum or at 1 atm. A small number of Cu-Se and In-Se compounds was observed during the early stage of selenization, and single-phase CuInSe sub 2 was more easily formed in vacuum than at atmospheric pressure. Therefore, CuInSe sub 2 films selenized in vacuum showed larger grain sizes, smoother surfaces, and denser microstructures than those selenized at 1 atm.

  19. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  20. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  1. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  2. Synthesis of single phase chalcopyrite CuIn1−xGaxSe2 (0 ≤ x ≤ 1) nanoparticles by one-pot method

    International Nuclear Information System (INIS)

    Han, Zhaoxia; Zhang, Dawei; Chen, Qinmiao; Hong, Ruijin; Tao, Chunxian; Huang, Yuanshen; Ni, Zhengji; Zhuang, Songlin

    2014-01-01

    Graphical abstract: - Highlights: • A facile and rapid one-pot synthesis method is presented. • The effects of various Ga contents are investigated. • Single phase chalcopyrite CuIn 1−x Ga x Se 2 nanoparticles can be easily synthesized. • The phase formation sequence is from CuSe to CuGaSe 2 , then to CuIn 1−x Ga x Se 2 . • The possible reaction mechanism of CuIn 1−x Ga x Se 2 nanoparticles is proposed. - Abstract: Single phase chalcopyrite and near stoichiometric CuIn 1−x Ga x Se 2 (0 ≤ x ≤ 1) nanoparticles were successfully synthesized by using a facile and rapid one-pot method. The effects of various Ga contents on crystal phase, morphology, element composition and absorption spectrum of the as-synthesized CuIn 1−x Ga x Se 2 nanoparticles were investigated in detail. The XRD and Raman patterns indicated that the as-synthesized nanoparticles had a single phase chalcopyrite structure, and the diffraction peaks shifted toward larger diffraction angles or higher frequencies with increasing Ga content. The FE-SEM images showed that the as-synthesized nanoparticles were polydispersed in both size and shape, and the nanoparticles with higher Ga content were more prone to aggregate. The Vis–IR absorption spectra showed strong absorption in the entire visible light region. The estimated band gap increased from 1.00 eV to 1.68 eV as Ga content increasing

  3. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  4. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  5. Properties of epitaxial Ba2YCu3O7-x films on LaAlO3(001) grown using optimized conditions

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.; Carlson, D.J.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (001) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by codeposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Compositional deviations greater than ± 1% result in the degradation of film quality. Important annealing parameters include the ambient, annealing temperature, oxidation temperature, and duration of the anneal. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). The optimized films have relatively smooth morphology with χ min c > 90 K, ΔT c > 10 6 A/cm 2 in essentially zero magnetic field at 77 K

  6. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  7. Time-resolved X-ray diffraction study on superconducting YBa{sub 2}Cu{sub 3}O{sub 7} epitaxially grown on SrTiO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Luebcke, A.

    2007-07-01

    In this PhD thesis time-resolved X-ray diffraction in optical pump - X-ray probe scheme was applied for the first time to a High-Temperature Superconductor in the superconducting state. The aim was to study the possible lattice response to optical Cooper pair breaking. As sample a thin YBa{sub 2}Cu{sub 3}O{sub 7} film with a superconducting transition temperature of T{sub c}=90 K, epitaxially grown on a SrTiO{sub 3} single crystal was used. (orig.)

  8. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  9. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  10. Effect of Structural Stress on the Laser Quality of Highly Doped Yb:KY(WO4)2/KY(WO4)2 and Yb:KLu(WO4)2/KLu(WO4)2 Epitaxial Structures

    International Nuclear Information System (INIS)

    Carvajal, J.; Raghothamachar, B.; Silvestre, O.; Chen, H.; Pujol, M.; Petrov, V.; Dudley, M.; Aguilo, M.; Diaz, F.

    2009-01-01

    In this communication we demonstrate how the difference in laser performance of two highly doped (20 at %) epitaxial layers of Yb-doped KY(WO4)2 (KYW) grown on a KYW substrate and Yb-doped KLu(WO4)2 (KLuW) grown on a KLuW substrate, respectively, is related to the presence of structural stress in the epilayers, investigated by synchrotron white beam X-ray topography. From the results obtained, it is clear that the samples that show a larger amount of structural stress, Yb:KYW/KYW epitaxies, lead to lower efficiency in laser operation, giving a direct correlation between the existence and magnitude of such structural stress and the loss in efficiency of laser performance in such epitaxial layers which, from a spectroscopical point of view, are otherwise equivalent.

  11. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  12. Growth and properties of CuInS2 thin films

    International Nuclear Information System (INIS)

    Agarwal, M.K.; Patel, P.D.; Chaki, Sunil H.; Lakshminarayana, D.

    1998-01-01

    Single phase copper indium disulphide (CuInS 2 ) thin films of thickness between 60 nm and 650 nm with the chalcopyrite structure are obtained on NaCl and glass substrates by flash evaporation. The films were found to be n-type semiconducting. The influence of the substrate temperature on the crystallinity, conductivity, activation energy and optical band gap was studied. An improvement in the film properties could be achieved up to a temperature of 523 K at a molybdenum source temperature of 1873 K. (author)

  13. Group III nitride-arsenide long wavelength lasers grown by elemental source molecular beam epitaxy

    International Nuclear Information System (INIS)

    Coldren, C. W.; Spruytte, S. G.; Harris, J. S.; Larson, M. C.

    2000-01-01

    Elemental source molecular beam epitaxy was used to grow InGaNAs quantum well samples, edge-emitting laser diodes, and vertical-cavity laser diodes on GaAs substrates. The quantum well samples exhibited an as-grown room temperature photoluminescence peak beyond 1310 nm which both increased dramatically in intensity and blueshifted with thermal annealing. Edge emitting laser diodes had threshold current densities as low as 450 and 750 A/cm 2 for single and triple quantum well active regions, respectively, and emitted light at 1220-1250 nm. The vertical cavity laser diodes emitted light at 1200 nm and had threshold current densities of 3 kA/cm 2 and efficiencies of 0.066 W/A. (c) 2000 American Vacuum Society

  14. Control of chemical bonding of the ZnO surface grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ogata, K.; Komuro, T.; Hama, K.; Koike, K.; Sasa, S.; Inoue, M.; Yano, M.

    2004-01-01

    Toward the fabrication of enzyme modified field effect transistors (EnFETs) as one of organic/inorganic hybridized structures, surface bonding of the ZnO grown by molecular beam epitaxy was controlled by ex situ treatments. Angle resolved X-ray photoelectron spectroscopy (XPS) measurement revealed that O-H bonds exist at the surface of ZnO. It was found that the number of O-H bond could be changed with reversibility using plasma and thermal treatments

  15. Exploitation of inimitable properties of CuInS2 quantum dots for energy conversion in bulk heterojunction hybrid solar cell

    Science.gov (United States)

    Jindal, Shikha; Giripunje, Sushama M.

    2017-11-01

    Quantum dots (QDs) are the suitable material for solar cell devices owing to its distinctive optical, electrical and electronic properties. Currently, the most efficient devices have employed the toxic QDs which cause destructive impact on environment. In the present article, we have used environment benign CuInS2 QDs as an acceptor material in bulk heterojunction device of P3HT and QDs. The energy level positions corroborated from UPS spectra substantiates the acceptor property of CuInS2. We scrutinized the hybrid solar cell by tailoring the acceptor content in active layer. The increased acceptor content intensifies the performance of device. The enhancement in photovoltaic parameters is mainly due to the fast dissociation and extraction of photogenerated excitons which occurs with the larger wt% of acceptor QDs. Current density-voltage characteristics describes the greater V oc and I sc in the 60 wt% CuInS2 QDs based solar cell as compared to the low wt% of QDs in the active layer.

  16. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Dynamical x-ray diffraction studies of interfacial strain in superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vandenberg, J.M.; Chu, S.N.G.; Hamm, R.A.; Panish, M.B.; Ritter, D.; Mancrander, A.T.

    1992-01-01

    This paper reports on dynamical X-ray diffraction studies that have been carried out for lattice-matched InGaAs/InP superlattices grown by modified molecular beam epitaxy (MBE) techniques. The (400) X-ray satellite pattern, which is predominantly affected by the strain modulation, was analyzed. The strain and thickness of the actual layers including the presence of strained interfacial regions were determined

  18. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  19. Deep level defects in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Farzana, Esmat; Ahmadi, Elaheh; Speck, James S.; Arehart, Aaron R.; Ringel, Steven A.

    2018-04-01

    Deep level defects were characterized in Ge-doped (010) β-Ga2O3 layers grown by plasma-assisted molecular beam epitaxy (PAMBE) using deep level optical spectroscopy (DLOS) and deep level transient (thermal) spectroscopy (DLTS) applied to Ni/β-Ga2O3:Ge (010) Schottky diodes that displayed Schottky barrier heights of 1.50 eV. DLOS revealed states at EC - 2.00 eV, EC - 3.25 eV, and EC - 4.37 eV with concentrations on the order of 1016 cm-3, and a lower concentration level at EC - 1.27 eV. In contrast to these states within the middle and lower parts of the bandgap probed by DLOS, DLTS measurements revealed much lower concentrations of states within the upper bandgap region at EC - 0.1 - 0.2 eV and EC - 0.98 eV. There was no evidence of the commonly observed trap state at ˜EC - 0.82 eV that has been reported to dominate the DLTS spectrum in substrate materials synthesized by melt-based growth methods such as edge defined film fed growth (EFG) and Czochralski methods [Zhang et al., Appl. Phys. Lett. 108, 052105 (2016) and Irmscher et al., J. Appl. Phys. 110, 063720 (2011)]. This strong sensitivity of defect incorporation on crystal growth method and conditions is unsurprising, which for PAMBE-grown β-Ga2O3:Ge manifests as a relatively "clean" upper part of the bandgap. However, the states at ˜EC - 0.98 eV, EC - 2.00 eV, and EC - 4.37 eV are reminiscent of similar findings from these earlier results on EFG-grown materials, suggesting that possible common sources might also be present irrespective of growth method.

  20. Effects of the substrate temperature on the properties of CuIn5S8 thin films

    International Nuclear Information System (INIS)

    Gannouni, M.; Kanzari, M.

    2011-01-01

    Structural, optical and electrical properties of CuIn 5 S 8 thin films grown by thermal evaporation have been studied relating the effects of substrate heating conditions of these properties. The CuIn 5 S 8 thin films were carried out at substrate temperatures in the temperature range 100-300 deg. C. The effects of heated substrate on their physico-chemical properties were investigated using X-ray diffraction (XRD), energy-dispersive X-ray spectroscopy (EDX), optical transmission and hot probe method. X-ray diffraction revealed that the films are strong preferred orientation along the (3 1 1) plane upon substrate temperature 200 deg. C and amorphous for the substrate temperatures below 200 deg. C. No secondary phases are observed for all the films. The composition is greatly affected by heated substrate. From the optical transmission and reflection, an important absorption coefficient exceeds 10 5 cm -1 at 800 nm was found. As increasing the substrate temperature, the optical energy band gap decreases from 1.70 eV for the unheated films to 1.25 eV for the deposited films at 300 deg. C. It was found that CuIn 5 S 8 thin film is an n-type semiconductor at 250 deg. C.

  1. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  2. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  3. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  4. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  5. Oxygen and minority carrier lifetimes in N-and P-type AL0.2GA0.8AS grown by metal organics vapor phase epitaxy

    International Nuclear Information System (INIS)

    Zahraman, Khaled; Leroux, M.; Gibart, P.; Zaidi, M.A.; Bremond, G.; Guillot, G.

    2000-01-01

    author.The minority carrier lifetimes in Al x Ga 1-x As grown by Metal-Organics Vapor Phase Epitaxy (MOVPE) is generally lower than in GaAs. This is believed to be due to oxygen incorporation in the layers. We describe a study of radiative and non radiative minority carriers lifetimes in n-and p-type Al 0.2 Ga 0.8 As as a function of growth parameters, in correlation with oxygen concentration measurements and deep level transient spectroscopy (DLTS) studies. Long non radiative lifetimes and low oxygen contents are achieved using temperature growth. A main minority hole lifetime killer appears to be 0.4 eV deep O related electron trap detected by DLTS at concentrations three orders of magnitude lower than the atomic oxygen one. Record lifetimes in MOVPE grown n-and p-type Al 0.2 Ga 0.8 As are obtained. An Al 0.85 Ga 0.15 As/Al 0.2 Ga 0.8 As surface recombination velocity lower than 4.5x10 3 cm.s -1 is measured

  6. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  7. Water Splitting over Epitaxially Grown InGaN Nanowires on-Metallic Titanium/Silicon Template: Reduced Interfacial Transfer Resistance and Improved Stability

    KAUST Repository

    Ebaid, Mohamed

    2018-03-09

    Water splitting using InGaN-based photocatalysts may have a great contribution in future renewable energy production systems. Among the most important parameters to solve are those related to substrate lattice-matching compatibility. Here, we directly grow InGaN nanowires (NWs) on a metallic Ti/Si template, for improving water splitting performance compared to a bare Si substrate. The open circuit potential of the epitaxially grown InGaN NWs on metallic Ti was almost two times that of those grown on Si substrate. The interfacial transfer resistance was also reduced significantly after introducing the metallic Ti interlayer. An applied-bias-photon-to-current conversion efficiency of 2.2% and almost unity Faradic efficiency for hydrogen generation were achieved using this approach. The InGaN NWs grown on Ti showed improved stability of hydrogen generation under continuous operation conditions, when compared to those grown on Si, emphasizing the role of the semiconductor-on-metal approach in enhancing the overall efficiency of water splitting catalysts.

  8. Growth and magnetotransport properties of epitaxial films of the layered perovskite La2-2xSr1+2xMn2O7

    International Nuclear Information System (INIS)

    Philipp, J.B.; Alff, L.; Gross, R.; Klein, J.; Recher, C.

    2002-01-01

    Epitaxial thin films of the bilayered perovskite La 2-2x Sr 1+2x Mn 2 O 7 (x=0.3, 0.4) have been grown by laser molecular beam epitaxy on NdGaO 3 substrates. Magnetotransport measurements with the current in the ab-plane and along the c-axis direction showed an intrinsic c-axis tunneling magnetoresistance effect associated with nonlinear current-voltage-characteristics for the x=0.3 compound. Besides the colossal magnetoresistance effect around the Curie temperature T C , at temperatures below about 40 K an additional high-field magnetoresistance was found most likely due to a strain and disorder induced re-entrant spin glass state in both the x=0.3 and 0.4 compounds. Our experiments show that the substrate induced coherency strain in the high quality epitaxial films results in magnetotransport properties that show markedly different behavior from those of single crystals. (orig.)

  9. High-resolution hydrogen profiling in AlGaN/GaN heterostructures grown by different epitaxial methods

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez-Posada Flores, F; Redondo-Cubero, A; Bengoechea, A; Brana, A F; Munoz, E [Instituto de Sistemas Optoelectronicos y Microtecnologia (ISOM) and Dpto. IngenierIa Electronica (DIE), ETSI de Telecomunicacion, Universidad Politecnica de Madrid, E-28040 Madrid (Spain); Gago, R [Centro de Micro-Analisis de Materiales, Universidad Autonoma de Madrid, E-28049 Madrid (Spain); Jimenez, A [Dpto. Electronica, Escuela Politecnica Superior, Universidad de Alcala, E-28805 Alcala de Henares, Madrid (Spain); Grambole, D, E-mail: fposada@die.upm.e [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Dresden-Rossendorf, PF 51019, D-01314 Dresden (Germany)

    2009-03-07

    Hydrogen (H) incorporation into AlGaN/GaN heterostructures used in high electron mobility transistors, grown by different methods, is studied by high-resolution depth profiling. Samples grown on sapphire and Si(1 1 1) substrates by molecular-beam epitaxy and metal-organic vapour phase epitaxy; involving H-free and H-containing precursors, were analysed to evaluate the eventual incorporation of H into the wafer. The amount of H was measured by means of nuclear reaction analysis (NRA) using the {sup 1}H({sup 15}N,{alpha}{gamma}){sup 12}C reaction up to a depth of {approx}110 nm into the heterostructures. Interestingly, the H profiles are similar in all the samples analysed, with an increasing H content towards the surface and a negligible H incorporation into the GaN layer (0.24 {+-} 0.08 at%) or at the AlGaN/GaN interface. Therefore, NRA shows that H uptake is not related to the growth process or technique employed and that H contamination may be due to external sources after growth. The eventual correlation between topographical defects on the AlGaN surface and the H concentration are also discussed.

  10. Epitaxially grown zinc-blende structured Mn doped ZnO nanoshell on ZnS nanoparticles

    International Nuclear Information System (INIS)

    Limaye, Mukta V.; Singh, Shashi B.; Date, Sadgopal K.; Gholap, R.S.; Kulkarni, Sulabha K.

    2009-01-01

    Zinc oxide in the bulk as well as in the nanocrystalline form is thermodynamically stable in the wurtzite structure. However, zinc oxide in the zinc-blende structure is more useful than that in the wurtzite structure due to its superior electronic properties as well as possibility of efficient doping. Therefore, zinc oxide shell is grown epitaxially on zinc sulphide core nanoparticles having zinc-blende structure. It is shown that doping of manganese could be achieved in zinc oxide nanoshell with zinc-blende structure

  11. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  12. X-ray and scanning electron microscopic investigation of porous silicon and silicon epitaxial layers grown on porous silicon

    International Nuclear Information System (INIS)

    Wierzchowski, W.; Pawlowska, M.; Nossarzewska-Orlowska, E.; Brzozowski, A.; Wieteska, K.; Graeff, W.

    1998-01-01

    The 1 to 5 μm thick layers of porous silicon and epitaxial layers grown on porous silicon were studied by means of X-ray diffraction methods, realised with a wide use of synchrotron source and scanning microscopy. The results of x-ray investigation pointed the difference of lateral periodicity between the porous layer and the substrate. It was also found that the deposition of epitaxial layer considerably reduced the coherence of porous fragments. A number of interface phenomena was also observed in section and plane wave topographs. The scanning electron microscopic investigation of cleavage faces enabled direct evaluation of porous layer thickness and revealed some details of their morphology. The scanning observation of etched surfaces of epitaxial layers deposited on porous silicon revealed dislocations and other defects not reasonable in the X-ray topographs. (author)

  13. Electrochemical deposition of thin nano-structured layers of CuInS2 for photovoltaic cells

    International Nuclear Information System (INIS)

    Cayzac, R.; Boulc'h, F.; Knauth, P.

    2006-01-01

    In this work, it has been shown that the electrochemical deposition seems to be a promising synthesis technique because the thickness of the layers and their morphology are well adapted to the photovoltaic application. The example of CuInS 2 has been taken. (O.M.)

  14. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  15. Comparative study of polar and semipolar (112¯2) InGaN layers grown by metalorganic vapour phase epitaxy

    International Nuclear Information System (INIS)

    Dinh, Duc V.; Zubialevich, V. Z.; Oehler, F.; Kappers, M. J.; Humphreys, C. J.; Alam, S. N.; Parbrook, P. J.; Caliebe, M.; Scholtz, F.

    2014-01-01

    InGaN layers were grown simultaneously on (112 ¯ 2) GaN and (0001) GaN templates by metalorganic vapour phase epitaxy. At higher growth temperature (≥750 °C), the indium content ( ¯ 2) and (0001) InGaN layers was similar. However, for temperatures less than 750 °C, the indium content of the (112 ¯ 2) InGaN layers (15%–26%) were generally lower than those with (0001) orientation (15%–32%). The compositional deviation was attributed to the different strain relaxations between the (112 ¯ 2) and (0001) InGaN layers. Room temperature photoluminescence measurements of the (112 ¯ 2) InGaN layers showed an emission wavelength that shifts gradually from 380 nm to 580 nm with decreasing growth temperature (or increasing indium composition). The peak emission wavelength of the (112 ¯ 2) InGaN layers with an indium content of more than 10% blue-shifted a constant value of ≈(50–60) nm when using higher excitation power densities. This blue-shift was attributed to band filling effects in the layers.

  16. CuInS2 thin films obtained through the annealing of chemically deposited In2S3-CuS thin films

    International Nuclear Information System (INIS)

    Pena, Y.; Lugo, S.; Calixto-Rodriguez, M.; Vazquez, A.; Gomez, I.; Elizondo, P.

    2011-01-01

    In this work, we report the formation of CuInS 2 thin films on glass substrates by heating chemically deposited multilayers of copper sulfide (CuS) and indium sulfide (In 2 S 3 ) at 300 and 350 deg. C in nitrogen atmosphere at 10 Torr. CIS thin films were prepared by varying the CuS layer thickness in the multilayers with indium sulfide. The XRD analysis showed that the crystallographic structure of the CuInS 2 (JCPDS 27-0159) is present on the deposited films. From the optical analysis it was estimated the band gap value for the CIS film (1.49 eV). The electrical conductivity varies from 3 x 10 -8 to 3 Ω -1 cm -1 depending on the thickness of the CuS film. CIS films showed p-type conductivity.

  17. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  18. Transport and magnetic properties of Pr1-x Ca x MnO3 epitaxial films grown on LaAlO3 substrates

    International Nuclear Information System (INIS)

    Maniwa, A.; Okano, K.; Ohkubo, I.; Kumigashira, H.; Oshima, M.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2007-01-01

    We have measured physical properties of Pr 1- x Ca x MnO 3 (PCMO) epitaxial thin films with different hole concentrations (x=0.2, 0.3, 0.4, and 0.5) grown on LaAlO 3 (1 0 0) substrates by laser molecular beam epitaxy technique. The temperature dependence of the resistivity shows insulating behavior in all temperature regions and the resistivity itself monotonously decreases as x increases. This insulating nature of PCMO films is similar to that of bulk PCMO crystals. However, we did not find any indication of the resistivity anomaly associated with the onset of charge ordering irrespective of x. These results suggest that the compressive strain strongly suppresses charge-ordered states in PCMO

  19. Chirped-pulse manipulated carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs

    International Nuclear Information System (INIS)

    Lee, Chao-Kuei; Lin, Yuan-Yao; Lin, Sung-Hui; Lin, Gong-Ru; Pan, Ci-Ling

    2014-01-01

    Chirped pulse controlled carrier dynamics in low-temperature molecular-beam-epitaxy grown GaAs are investigated by degenerate pump-probe technique. Varying the chirped condition of excited pulse from negative to positive increases the carrier relaxation time so as to modify the dispersion and reshape current pulse in time domain. The spectral dependence of carrier dynamics is analytically derived and explained by Shockley-Read Hall model. This observation enables the new feasibility of controlling carrier dynamics in ultrafast optical devices via the chirped pulse excitations

  20. Epitaxial (100)-oriented Mo/V superlattice grown on MgO(100) by dcMS and HiPIMS

    International Nuclear Information System (INIS)

    Shayestehaminzadeh, S.; Magnusson, R.L.; Gislason, H.P.; Olafsson, S.

    2013-01-01

    Epitaxial (100)-oriented Mo/V superlattices have been grown by High Power Impulse Magnetron Sputtering (HiPIMS) and dc Magnetron Sputtering (dcMS) on single-crystalline MgO(100) substrates at growth temperatures ranging from 30 °C to 600 °C. Superlattice bilayer period of Mo/V around 12/12 monolayers and 15 repeat periods was studied. This study aims to investigate the effect of the HiPIMS process on reducing the growth temperature of Mo/V superlattices using the high energy ionized Mo, V species in the HiPIMS plasma. In one case, the Mo layer was only grown with the HiPIMS process and V layer grown using the dcMS process while in another both layers were grown with the HiPIMS process. The as-deposited films were characterized by X-ray reflection and diffraction techniques. The dcMS process was found to give superior superlattice growth at high growth temperatures while a mixed Mo HiPIMS and V dcMS process gives better result at lower growth temperatures (300 °C). Room temperature growth reveals that neither the mixed Mo HiPIMS and V dcMS process nor the pure HiPIMS for both materials can produce better result compared to the pure dcMS process, which gives a relatively better result. - Highlights: • Epitaxial (100)-oriented Mo/V superlattices have been grown by HiPIMS and dcMS on MgO(100) for various temperatures. • The study was aimed to investigate the effect of ionized HiPIMS process onlowering the growth temperature. • The dcMS process was found to give superior superlattice growth at high growth temperature. • The mixed Mo HiPIMS and V dcMS process gives best result at lower growth temperatures

  1. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  2. Transport Measurements and Synchrotron-Based X-Ray Absorption Spectroscopy of Iron Silicon Germanide Grown by Molecular Beam Epitaxy

    Science.gov (United States)

    Elmarhoumi, Nader; Cottier, Ryan; Merchan, Greg; Roy, Amitava; Lohn, Chris; Geisler, Heike; Ventrice, Carl, Jr.; Golding, Terry

    2009-03-01

    Some of the iron-based metal silicide and germanide phases have been predicted to be direct band gap semiconductors. Therefore, they show promise for use as optoelectronic materials. We have used synchrotron-based x-ray absorption spectroscopy to study the structure of iron silicon germanide films grown by molecular beam epitaxy. A series of Fe(Si1-xGex)2 thin films (2000 -- 8000å) with a nominal Ge concentration of up to x = 0.04 have been grown. X-ray absorption near edge structure (XANES) and extended x-ray absorption fine structure (EXAFS) measurements have been performed on the films. The nearest neighbor co-ordination corresponding to the β-FeSi2 phase of iron silicide provides the best fit with the EXAFS data. Temperature dependent (20 coefficient was calculated. Results suggest semiconducting behavior of the films which is consistent with the EXAFS results.

  3. Structural properties of Bi{sub 2−x}Mn{sub x}Se{sub 3} thin films grown via molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Babakiray, Sercan; Johnson, Trent A.; Borisov, Pavel; Holcomb, Mikel B.; Lederman, David, E-mail: david.lederman@mail.wvu.edu [Department of Physics and Astronomy, West Virginia University, Morgantown, West Virginia 26506-6315 (United States); Marcus, Matthew A. [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Tarafder, Kartick [Department of Physics, BITS-Pilani Hyderabad Campus, Secunderabad, Andhra Pradesh 500078 (India)

    2015-07-28

    The effects of Mn doping on the structural properties of the topological insulator Bi{sub 2}Se{sub 3} in thin film form were studied in samples grown via molecular beam epitaxy. Extended x-ray absorption fine structure measurements, supported by density functional theory calculations, indicate that preferential incorporation occurs substitutionally in Bi sites across the entire film volume. This finding is consistent with x-ray diffraction measurements which show that the out of plane lattice constant expands while the in plane lattice constant contracts as the Mn concentration is increased. X-ray photoelectron spectroscopy indicates that the Mn valency is 2+ and that the Mn bonding is similar to that in MnSe. The expansion along the out of plane direction is most likely due to weakening of the Van der Waals interactions between adjacent Se planes. Transport measurements are consistent with this Mn{sup 2+} substitution of Bi sites if additional structural defects induced by this substitution are taken into account.

  4. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  5. InAs/GaAs quantum dot lasers with InGaP cladding layer grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Yeh, N.-T.; Liu, W.-S.; Chen, S.-H.; Chiu, P.-C.; Chyi, J.-I.

    2002-01-01

    This letter presents the lasing properties of InAs/GaAs quantum dot lasers with InGaP cladding layers grown by solid-source molecular-beam epitaxy. These Al-free lasers exhibit a threshold current density of 138 A/cm 2 , an internal loss of 1.35 cm -1 , and an internal quantum efficiency of 31% at room temperature. At a low temperature, a very high characteristic temperature of 425 K and very low threshold current density of 30 A/cm 2 are measured

  6. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  7. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  8. An investigation on silar Cu(In1-xAlx)Se2 thin films

    International Nuclear Information System (INIS)

    Dhanam, M.; Kavitha, B.; Velumani, S.

    2010-01-01

    Cu(In 1-x Al x )Se 2 [CIAS] thin films were prepared for the first time by successive ionic layer adsorption and reaction [SILAR] method with two different dipping cycles. The thickness of the films was measured by gravimetric technique. The structural, morphological, compositional, optical transition and electrical investigation of SILAR CIAS thin films with respect to two different dipping cycles have been discussed in this paper.

  9. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  10. Origin of green luminescence in ZnO thin film grown by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Heo, Y.W.; Norton, D.P.; Pearton, S.J.

    2005-01-01

    The properties of ZnO films grown by molecular-beam epitaxy are reported. The primary focus was on understanding the origin of deep-level luminescence. A shift in deep-level emission from green to yellow is observed with reduced Zn pressure during the growth. Photoluminescence and Hall measurements were employed to study correlations between deep-level/near-band-edge emission and carrier density. With these results, we suggest that the green emission is related to donor-deep acceptor (Zn vacancy V Zn - ) and the yellow to donor-deep acceptor (oxygen vacancy, O i - )

  11. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  12. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  13. Effects of swift heavy ion irradiation on La0.5Pr0.2Sr0.3MnO3 epitaxial thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Markna, J.H.; Parmar, R.N.; Rana, D.S.; Ravi Kumar; Misra, P.; Kukreja, L.M.; Kuberkar, D.G.; Malik, S.K.

    2007-01-01

    We report the observation of room temperature insulator to metal transition and magnetoresistance characteristics of Swift Heavy Ions (SHIs) irradiated La 0.5 Pr 0.2 Sr 0.3 MnO 3 (LPSMO) epitaxial thin films grown on single crystal (1 0 0) SrTiO 3 substrates using Pulsed Laser Deposition. The epitaxial nature and crystallanity of the films was confirmed from the structural and magnetoresistance characteristics. Irradiation with the 200 MeV Ag 15+ ions at a fluence of about 5 x 10 11 ions/cm 2 showed suppression in the resistivity by ∼68% and 31% for the films with 50 nm and 100 nm thickness respectively. The possible reasons for this suppression could be either release of strain in the films in the dead layer at the interface of film-substrate or Swift Heavy Ions induced annealing which in turn affects the Mn-O-Mn bond angle thereby favoring the Zener double exchange. Field Coefficient of Resistance (FCR) values for both films, determined from R-H data and magnetoresistance data, showed a marginal enhancement after irradiation

  14. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  15. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations, by X-ray diffraction, high-resolution transmission electron microscopy, selected area electron diffraction and Raman spectra, reveal that single crystalline rutile TiO 2 shells can be epitaxially grown on SnO 2 NWs with an atomically sharp interface at low temperature (250 °C). The growth behavior of the TiO 2 shells highly depends on the surface orientations and the geometrical shape of the core SnO 2 NW cross-section. Atomically smooth surfaces are found for growth on the {110} surface. Rough surfaces develop on {100} surfaces due to (100) - (1 × 3) reconstruction, by introducing steps in the [010] direction as a continuation of {110} facets. Lattice mismatch induces superlattice structures in the TiO 2 shell and misfit dislocations along the interface. Conformal epitaxial growth has been observed for SnO 2 NW cores with an octagonal cross-section ({100} and {110} surfaces). However, for a rectangular core ({101} and {010} surfaces), the shell also derives an octagonal shape from the epitaxial growth, which was explained by a proposed model based on ALD kinetics. The surface steps and defects induced by the lattice mismatch likely lead to improved photoluminescence (PL) performance for the yellow emission. Compared to the pure SnO 2 NWs, the PL spectrum of the core-shell nanostructures exhibits a stronger emission peak, which suggests potential applications in optoelectronics. © The Royal Society of Chemistry 2012.

  16. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  17. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  18. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  19. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  20. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  1. Epitaxial growth and electronic structure of a layered zinc pnictide semiconductor, β-BaZn2As2

    International Nuclear Information System (INIS)

    Xiao, Zewen; Ran, Fan-Yong; Hiramatsu, Hidenori; Matsuishi, Satoru; Hosono, Hideo; Kamiya, Toshio

    2014-01-01

    BaZn 2 As 2 is expected for a good p-type semiconductor and has two crystalline phases of an orthorhombic α phase and a higher-symmetry tetragonal β phase. Here, we report that high-quality epitaxial films of the tetragonal β-BaZn 2 As 2 were grown on single-crystal MgO (001) substrates by a reactive solid-phase epitaxy technique. Out-of-plane and in-plane epitaxial relationships between the film and the substrate were BaZn 2 As 2 (00 l)//MgO (001) and BaZn 2 As 2 [200]//MgO [200], respectively. The full-widths at half maximum were 0.082° for a 008 out-of-plane rocking curve and 0.342° for a 200 in-plane rocking curve. A step-and-terrace structure was observed by atomic force microscopy. The band gap of β-BaZn 2 As 2 was evaluated to be around 0.2 eV, which is much smaller than that of a family compound LaZnOAs (1.5 eV). Density functional theory calculation using the Heyd–Scuseria–Ernzerhof hybrid functionals supports the small band gap. - Highlights: • High-quality epitaxial β-BaZn 2 As 2 films were obtained. • The band gap of β-BaZn 2 As 2 was evaluated to around 0.2 eV. • Hybrid Heyd–Scuseria–Ernzerhof calculation supports the small band gap

  2. Reduction of buffer layer conduction near plasma-assisted molecular-beam epitaxy grown GaN/AlN interfaces by beryllium doping

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Glaser, E.R.; Shanabrook, B.V.; Roussos, J.A.

    2002-01-01

    Beryllium doping of epitaxial GaN layers is used to reduce leakage currents through interfacial or buffer conducting layers grown by plasma-assisted molecular-beam epitaxy on SiC. Capacitance-voltage measurements of Schottky barrier test structures and dc pinch-off characteristics of unintentionally doped GaN high-electron-mobility transistors indicate that these leakage currents are localized near the GaN/AlN interface of our AlGaN/GaN/AlN device structures. Insertion of a 2000 Aa Be:GaN layer at the interface reduces these currents by three orders of magnitude

  3. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  4. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  5. Spin wave and percolation studies in epitaxial La{sub 2/3}Sr{sub 1/3}MnO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ettayfi, A. [LPMMAT, Faculté des Sciences Ain chock, Université Hassan II de Casablanca, B.P. 5366 Casablanca (Morocco); Moubah, R., E-mail: reda.moubah@hotmail.fr [LPMMAT, Faculté des Sciences Ain chock, Université Hassan II de Casablanca, B.P. 5366 Casablanca (Morocco); Hlil, E.K. [Institut Néel, CNRS, Université Joseph Fourier, BP 166, 38042 Grenoble Cedex 9 (France); Colis, S.; Lenertz, M.; Dinia, A. [Institut de Physique et Chimie des Matériaux de Strasbourg (IPCMS), UMR 7504 UDS-CNRS (UDS-ECPM), 23 rue du Loess, BP 43, F-67034 Strasbourg Cedex 2 (France); Lassri, H. [LPMMAT, Faculté des Sciences Ain chock, Université Hassan II de Casablanca, B.P. 5366 Casablanca (Morocco)

    2016-07-01

    We investigate the magnetic and transport properties of high quality La{sub 2/3}Sr{sub 1/3}MnO{sub 3} thin films grown by pulsed laser deposition. X-ray diffraction shows that the deposited films are epitaxial with the expected pseudo-cubic structure. Using the spin wave theory, the temperature dependence of magnetization was satisfactory modeled at low temperature, in which several fundamental magnetic parameters were obtained (spin wave stiffness, exchange constants, Fermi wave-vector, Mn–Mn interatomic distance). The transport properties were studied via the temperature dependence of electrical resistivity [ρ(T)], which shows a peak at Curie temperature due to metal to insulator transition. The percolation theory was used to simulate ρ(T) in both the ferromagnetic and paramagnetic phases. Reasonable agreement with the experimental data is reported. - Highlights: • The magnetic and transport properties of epitaxial La{sub 2/3}Sr{sub 1/3}MnO{sub 3} thin films are investigated. • The M(T) curve was modeled at low temperature, and several magnetic parameters were obtained using spin wave theory. • The percolation theory was used to simulate ρ(T) in both the ferromagnetic and paramagnetic phases.

  6. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  7. GaAsP/InGaP HBTs grown epitaxially on Si substrates: Effect of dislocation density on DC current gain

    Science.gov (United States)

    Heidelberger, Christopher; Fitzgerald, Eugene A.

    2018-04-01

    Heterojunction bipolar transistors (HBTs) with GaAs0.825P0.175 bases and collectors and In0.40Ga0.60P emitters were integrated monolithically onto Si substrates. The HBT structures were grown epitaxially on Si via metalorganic chemical vapor deposition, using SiGe compositionally graded buffers to accommodate the lattice mismatch while maintaining threading dislocation density at an acceptable level (˜3 × 106 cm-2). GaAs0.825P0.175 is used as an active material instead of GaAs because of its higher bandgap (increased breakdown voltage) and closer lattice constant to Si. Misfit dislocation density in the active device layers, measured by electron-beam-induced current, was reduced by making iterative changes to the epitaxial structure. This optimized process culminated in a GaAs0.825P0.175/In0.40Ga0.60P HBT grown on Si with a DC current gain of 156. By considering the various GaAsP/InGaP HBTs grown on Si substrates alongside several control devices grown on GaAs substrates, a wide range of threading dislocation densities and misfit dislocation densities in the active layers could be correlated with HBT current gain. The effect of threading dislocations on current gain was moderated by the reduction in minority carrier lifetime in the base region, in agreement with existing models for GaAs light-emitting diodes and photovoltaic cells. Current gain was shown to be extremely sensitive to misfit dislocations in the active layers of the HBT—much more sensitive than to threading dislocations. We develop a model for this relationship where increased base current is mediated by Fermi level pinning near misfit dislocations.

  8. Scintillation efficiency and X-ray imaging with the RE-Doped LuAG thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Tous, Jan; Blazek, Karel; Kucera, Miroslav; Nikl, Martin; Mares, Jiri A.

    2012-01-01

    Very thin scintillator imaging plates have recently become of great interest. In high resolution X-ray radiography, very thin scintillator layers of about 5–20 μm are used to achieve 2D-spatial resolutions below 1 μm. Thin screens can be prepared by mechanical polishing from single crystals or by epitaxial growth on single-crystal substrates using the Liquid Phase Epitaxy technique (LPE). Other types of screens (e.g. deposited powder) do no reach required spatial resolutions. This work compares LPE-grown YAG and LuAG scintillator films doped with different rare earth ions (Cerium, Terbium and Europium). Two different fluxes were used in the LPE growth procedure. These LPE films are compared to YAG:Ce and LuAG:Ce screens made from bulk single crystals. Relative light yield was detected by a highly sensitive CCD camera. Scintillator screens were excited by a micro-focus X-ray source and the generated light was gathered by the CCD camera’s optical system. Scintillator 2D-homogeneity is examined in an X-ray imaging setup also using the CCD camera.

  9. Inhomogeneous Si-doping of gold-seeded InAs nanowires grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rolland, Chloe; Coinon, Christophe; Wallart, Xavier; Leturcq, Renaud [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Caroff, Philippe [Institute of Electronics Microelectronics and Nanotechnology, UMR CNRS 8520, ISEN Department, Avenue Poincare, CS60069, 59652 Villeneuve d' Ascq Cedex (France); Department of Electronic Materials Engineering, Research School of Physics and Engineering, The Australian National University, Canberra, ACT 0200 (Australia)

    2013-06-03

    We have investigated in situ Si doping of InAs nanowires grown by molecular beam epitaxy from gold seeds. The effectiveness of n-type doping is confirmed by electrical measurements showing an increase of the electron density with the Si flux. We also observe an increase of the electron density along the nanowires from the tip to the base, attributed to the dopant incorporation on the nanowire facets whereas no detectable incorporation occurs through the seed. Furthermore, the Si incorporation strongly influences the lateral growth of the nanowires without giving rise to significant tapering, revealing the complex interplay between axial and lateral growth.

  10. Near-bandgap optical properties of pseudomorphic GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    D' Costa, Vijay Richard, E-mail: vdcosta@asu.edu; Wang, Wei; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore)

    2016-08-14

    We investigated the compositional dependence of the near-bandgap dielectric function and the E{sub 0} critical point in pseudomorphic Ge{sub 1-x}Sn{sub x} alloys grown on Ge (100) substrate by molecular beam epitaxy. The complex dielectric functions were obtained using spectroscopic ellipsometry from 0.5 to 4.5 eV at room temperature. Analogous to the E{sub 1} and E{sub 1}+Δ{sub 1} transitions, a model consisting of the compositional dependence of relaxed alloys along with the strain contribution predicted by the deformation potential theory fully accounts for the observed compositional dependence in pseudomorphic alloys.

  11. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  13. Opto-electronic characterization of polycrystalline CuInS2 and Cu(In,Ga)S2 absorber layers by photoluminescence

    International Nuclear Information System (INIS)

    Heidemann, Florian

    2011-01-01

    Photoluminescence (PL) is an established method to characterize the optoelectronic properties of solar cell absorber layers. With the help of Planck's generalized law it is in principle possible to determine the quasi-Fermi level splitting - which is the upper limit of the open circuit voltage V oc - and the absorption coefficient of a solar cell before its actual completion. For large-scale measurements (mm/cm regime) this is valid for absorber layers with lateral homogeneous properties, however it is not directly transferable to polycrystalline semiconductors due to laterally fluctuating opto-electronic and structural parameters. The lateral fluctuations in opto-electronic properties of polycrystalline Cu(In 1-ξ Ga ξ )S 2 have been analyzed (e.g. with respect to fluctuations in quasi-Fermi level splitting, optical band-gap and sub band-gap absorbance) by measuring laterally and spectrally resolved PL on the μm-scale and providing the transition towards macroscopic PL measurements on the mm-scale. To give a comprehensive characterization, surface roughness and optical properties have been studied and methods for feature extraction have been applied. On the microscopic scale variations in the quasi-Fermi level splitting Δ x,y E Fnp of about 38 meV (CuInS 2 ) and 53 meV (Cu(In,Ga)S 2 ) have been found. From local absorbance spectra extracted from PL measurements on Cu(In,Ga)S 2 fluctuations in the optical band-gap E opt with a full width at half maximum of FWHM E opt ∼80 meV could be extracted, whereas band-gap fluctuations in CuInS 2 are found to be negligible. Thus band-gap fluctuations seem to be mainly caused by a varying gallium (Ga) content. Furthermore, regions with higher E opt and with it a potential higher Ga content, show a higher quasi-Fermi level splitting. As a major limiting factor for the local quasi-Fermi level splitting E Fnp the local density of deep defects could be identified. Due to low luminescence yields of Cu(In 1-ξ Ga ξ )S 2 under

  14. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  15. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  16. Unusual strain in homoepitaxial CdTe(001) layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Heinke, H.; Waag, A.; Moeller, M.O.; Regnet, M.M.; Landwehr, G. [Physikalisches Institut, Univ. Wuerzburg (Germany)

    1994-01-01

    For homoepitaxial CdTe(001) films grown by molecular beam epitaxy onto CdTe(001) substrates, a difference between the lattice constants of the substrate and the layer was systematically observed using high resolution X-ray diffraction. Reciprocal space maps point out an unusual strain state of such layers which is indicated by the position of their reciprocal lattice points. They lie in a section of reciprocal space which is usually forbidden by elasticity theory. The strain is laterally anisotropic leading to a monoclinic symmetry of the thin films. The lateral strain is depth dependent. Possible reasons for the formation of the unusual strain are discussed, and a correlation of the unusual strain with the growth conditions is attempted

  17. Si Incorporation in InP Nanowires Grown by Au-Assisted Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Lorenzo Rigutti

    2009-01-01

    Full Text Available We report on the growth, structural characterization, and conductivity studies of Si-doped InP nanowires grown by Au-assisted molecular beam epitaxy. It is shown that Si doping reduces the mean diffusion length of adatoms on the lateral nanowire surface and consequently reduces the nanowire growth rate and promotes lateral growth. A resistivity as low as 5.1±0.3×10−5 Ω⋅cm is measured for highly doped nanowires. Two dopant incorporation mechanisms are discussed: incorporation via catalyst particle and direct incorporation on the nanowire sidewalls. The first mechanism is shown to be less efficient than the second one, resulting in inhomogeneous radial dopant distribution.

  18. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  19. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  20. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  1. Photoluminescence investigation of type-II GaSb/GaAs quantum dots grown by liquid phase epitaxy

    Science.gov (United States)

    Wang, Yang; Hu, Shuhong; Xie, Hao; Lin, Hongyu; lu, Hongbo; Wang, Chao; Sun, Yan; Dai, Ning

    2018-06-01

    GaSb quantum dots (QDs) with an areal density of ∼1 × 1010 cm-2 are successfully grown by the modified (rapid slider) liquid phase epitaxy technique. The morphology of the QDs has been investigated by scanning electron microscope (SEM) and atom force microscope (AFM). The power-dependence and temperature-dependence photoluminescence (PL) spectra have been studied. The bright room-temperature PL suggests a good luminescence quality of GaSb QDs/GaAs matrix system. The type-II alignment of the GaSb QDs/GaAs matrix system is verified by the blue-shift of the QDs peak with the increase of excitation power. From the temperature-dependence PL spectra, the activation energy of QDs is determined to be 111 meV.

  2. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  3. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  4. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  5. Improving stability of photoluminescence of ZnSe thin films grown by molecular beam epitaxy by incorporating Cl dopant

    International Nuclear Information System (INIS)

    Wang, J. S.; Shen, J. L.; Chen, W. J.; Tsai, Y. H.; Wang, H. H.; Yang, C. S.; Chen, R. H.; Tsai, C. D.

    2011-01-01

    This investigation studies the effect of chlorine (Cl) dopant in ZnSe thin films that were grown by molecular beam epitaxy on their photoluminescence (PL) and the stability thereof. Free excitonic emission was observed at room-temperature in the Cl-doped sample. Photon irradiation with a wavelength of 404 nm and a power density of 9.1 W/cm 2 has a much stronger effect on PL degradation than does thermal heating to a temperature of 150 deg. C. Additionally, this study shows that the generation of nonradiative centers by both photon irradiation and thermal heating can be greatly inhibited by incorporating Cl dopant.

  6. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  7. Electrical transport in n-type ZnMgSSe grown by molecular beam epitaxy on GaAs

    International Nuclear Information System (INIS)

    Marshall, T.; Petruzzello, J.A.; Herko, S.P.

    1994-01-01

    Significant progress in improving the Performance of blue-green II-VI semiconductor injection lasers has come about from advances in the epitaxial growth and doping of ZnMgSSe on GaAs substrates. This paper investigates electrical transport and its relation to structural quality in n-type Zn 1-y Mg y S x Se 1-x epilayers doped with Cl, grown by molecular beam epitaxy. The composition parameters x and y vary from about 0.12-0.18 and 0.08-0.15, respectively. The quaternary epilayers studied are lattice-matched (or nearly so) to the GaAs substrate. Temperature-dependent Hall-effect measurements are performed on seven n-type ZnMgSSe:Cl epilayers, and a technique is presented whereby the resulting mobility-vs-temperature data is compared with data for ZnSe to obtain a structural figure of merit that is useful in characterizing the quaternary epilayer. 29 refs., 4 figs

  8. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  9. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  10. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  11. Strain-Mediated Interlayer Coupling Effects on the Excitonic Behaviors in an Epitaxially Grown MoS2/WS2 van der Waals Heterobilayer.

    Science.gov (United States)

    Pak, Sangyeon; Lee, Juwon; Lee, Young-Woo; Jang, A-Rang; Ahn, Seongjoon; Ma, Kyung Yeol; Cho, Yuljae; Hong, John; Lee, Sanghyo; Jeong, Hu Young; Im, Hyunsik; Shin, Hyeon Suk; Morris, Stephen M; Cha, SeungNam; Sohn, Jung Inn; Kim, Jong Min

    2017-09-13

    van der Waals heterostructures composed of two different monolayer crystals have recently attracted attention as a powerful and versatile platform for studying fundamental physics, as well as having great potential in future functional devices because of the diversity in the band alignments and the unique interlayer coupling that occurs at the heterojunction interface. However, despite these attractive features, a fundamental understanding of the underlying physics accounting for the effect of interlayer coupling on the interactions between electrons, photons, and phonons in the stacked heterobilayer is still lacking. Here, we demonstrate a detailed analysis of the strain-dependent excitonic behavior of an epitaxially grown MoS 2 /WS 2 vertical heterostructure under uniaxial tensile and compressive strain that enables the interlayer interactions to be modulated along with the electronic band structure. We find that the strain-modulated interlayer coupling directly affects the characteristic combined vibrational and excitonic properties of each monolayer in the heterobilayer. It is further revealed that the relative photoluminescence intensity ratio of WS 2 to MoS 2 in our heterobilayer increases monotonically with tensile strain and decreases with compressive strain. We attribute the strain-dependent emission behavior of the heterobilayer to the modulation of the band structure for each monolayer, which is dictated by the alterations in the band gap transitions. These findings present an important pathway toward designing heterostructures and flexible devices.

  12. AlGaAs and AlGaAs/GaAs/AlGaAs nanowires grown by molecular beam epitaxy on silicon substrates

    DEFF Research Database (Denmark)

    Cirlin, G E; Reznik, R R; Shtrom, I V

    2017-01-01

    The data on growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on different (1 1 1) substrates by Au-assisted molecular beam epitaxy are presented. The influence of nanowires growth conditions on structural and optical properties is studied in detail...

  13. Fabrication of CuInS2/ZnS quantum dots-based white light-emitting diodes with high color rendering index

    Science.gov (United States)

    Hsiao, Chih-Chun; Su, Yu-Sheng; Chung, Shu-Ru

    2017-09-01

    Among solid-state lighting technology, phosphor-converted white light-emitting diodes (pc-WLEDs) are excellent candidates to replace incandescent lamps for their merit of high energy conservation, long lifetime, high luminous efficiency as well as polarized emissions. Semiconductor quantum dots (QDs) are emerging color tunable emissive light converters. They have shown significant promise as light emitters, as solar cells, and in biological imaging. It has been demonstrated that the pc-WLED devices integrated with red emissive ZnCdSe QDs show improved color rendering index of device. However, cadmium-based QDs have limited future owing to the well-known toxicity. Recently, non-cadmium luminescence materials, i.e. CuInS2-based QDs, are investigated as desirable low toxic alternatives. Particularly, CuInS2-based QDs exhibit very broad emissions spectra with full width at half maximum (FWHM) of 100-120 nm, large Stokes shifts of 200 300 meV and finely-tunable emissions. In order to adjust emission wavelengths and improved quantum yield (QY), CuInS2/ZnS (CIS/ZnS) core/shell structure was introduced. Therefore, CIS/ZnS QDs have been extensively investigated and be used as color converter in solid-state lighting. Synthesis and application of CuInS2/ZnS core/shell QDs are conducted using a hot injection route. CIS/ZnS core/shell QDs with molar ratio of Cu:In equal to 1:4 are prepared. For WLED fabrication, the CIS/ZnS QD is dispersed in toluene first, and then it is blended with transparent acrylic-based UV resin. Subsequently, the commercial green-emitting Lu3Al5O12: Ce3+ (LuAG) phosphors are mixed with QDs-resin mixture. After that, the QDs-phosphors-resin mixtures are put in the oven at 140 °C for 1 h to evaporate the toluene. Subsequently, the homogeneous QDs-phosphors-resin mixture is dropped on the top of a blue LED chip (InGaN). Then, the device is cured by 400 W UV light to form WLED. The emission wavelength of CIS/ZnS QD exhibits yellow region of 552 nm with QY

  14. Solid state reactions and diffusion processes during rapid thermal processing of Cu-In-S based semiconductors

    International Nuclear Information System (INIS)

    Enzenhofer, T.

    2007-01-01

    In this thesis thin layers of the system Cu-In-S were studied for the photovoltaic application by means of structural and electro-optical procedures. The effect of small quantities of group II elements on the absorber and component properties could be explained by a widely appointed study. The motivation to insert extraneous elements into CuInS 2 absorber layers results from the too low zero-current voltage of CuInS 2 based solar cells. It could be shown that by addition of small quantities of Zn and/or Mg ( 2 solar cells aimed efficiencies, which were also determined for the reference system. As limiting factor in the doped system the zero-current has been proved. The intensive analysis of the absorber properties showed the the extraneous atoms effect in the bulk and on the surface different modifications

  15. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  16. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    Science.gov (United States)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  17. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  18. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  19. Raman Scattering analysis of InGaAs and AlGaAs superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Oeztuerk, N.; Bahceli, S.

    2010-01-01

    InGaAs/GaAs and AlGaAs/GaAs multiple quantum well structures were grown by molecular beam epitaxy and investigated by X-ray diffraction and micro Raman spectroscopy. Phonon modes are investigated in backscattering from (001) surface. In the measured micro Raman spectrum for both structure, phonon peaks can be resolved for GaAs. These are longitudinal optical (LO) mode at 293 cm - 1 and 294 cm - 1 for InGaAs and AlGaAs, respectively.

  20. Elaboration et caracterisation de couches minces de CuInS2 deposees par la pyrolyse par pulverisation ultrasonique a base de transducteur

    Science.gov (United States)

    Petuenju, Eric Nguwuo

    The present thesis study is part of the work of The Laboratory of New Materials for Energy and Electrochemistry systems (LaNoMat) that search new techniques to elaborate new materials for photovoltaic solar applications. This aims contribute to the development of the exploitation of solar energy into electrical energy by the maximum of the population throughout the world. This work deals with the determination of CuInS2 thin film deposition parameters by ultrasonic spray pyrolysis method for applications in the technology of three dimensional (3D) solar cells. The structure of the band gap of CuInS2 (a semiconductor material with a direct bandgap of 1.55 eV) makes it an excellent candidate for the role of the absorber in thin film technology for solar photovoltaic applications. 3D solar photovoltaic technology requires the production of a p-n junction with n and p-type semiconductors to make networks. The production and growth of such networks depends on the creation of thin films which have the characteristics of an ultrathin nanocomposite or extremely thin absorber (typically a few tens of nanometers) or which act as a quantum dot. To allow the emergence of 3D photovoltaic technology, it is important to develop methods for the growth of thin layers of materials such as CuInS 2, which are potentially interesting for this purpose. But the development of methods for thin film deposition, for the reasons of competition and accessibility, must be considered as an important factor in the context of the development of three-dimensional photovoltaic solar cells at low cost (production costs: of the order of 0,5 a 0,3$US/Watt-peak) (Beard et al., 2014). To do this it is necessary to use materials manufacturing technology readily available and inexpensive, and allowing to have materials on large surface, such as pyrolysis which allows to reduce costs by a factor of 100 compared to the crystallogenesis. Pyrolysis is defined as a process for decomposing one or more compounds

  1. Deep levels in a-plane, high Mg-content MgxZn1−xO epitaxial layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gür, Emre; Tabares, G.; Hierro, A.; Arehart, A.; Ringel, S. A.; Chauveau, J. M.

    2012-01-01

    Deep level defects in n-type unintentionally doped a-plane Mg x Zn 1−x O, grown by molecular beam epitaxy on r-plane sapphire were fully characterized using deep level optical spectroscopy (DLOS) and related methods. Four compositions of Mg x Zn 1−x O were examined with x = 0.31, 0.44, 0.52, and 0.56 together with a control ZnO sample. DLOS measurements revealed the presence of five deep levels in each Mg-containing sample, having energy levels of E c − 1.4 eV, 2.1 eV, 2.6 V, and E v + 0.3 eV and 0.6 eV. For all Mg compositions, the activation energies of the first three states were constant with respect to the conduction band edge, whereas the latter two revealed constant activation energies with respect to the valence band edge. In contrast to the ternary materials, only three levels, at E c − 2.1 eV, E v + 0.3 eV, and 0.6 eV, were observed for the ZnO control sample in this systematically grown series of samples. Substantially higher concentrations of the deep levels at E v + 0.3 eV and E c − 2.1 eV were observed in ZnO compared to the Mg alloyed samples. Moreover, there is a general invariance of trap concentration of the E v + 0.3 eV and 0.6 eV levels on Mg content, while at least and order of magnitude dependency of the E c − 1.4 eV and E c − 2.6 eV levels in Mg alloyed samples.

  2. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  3. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  4. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  5. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  6. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  7. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  8. Demonstration of β-(Al x Ga1- x )2O3/β-Ga2O3 modulation doped field-effect transistors with Ge as dopant grown via plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Koksaldi, Onur S.; Zheng, Xun; Mates, Tom; Oshima, Yuichi; Mishra, Umesh K.; Speck, James S.

    2017-07-01

    β-(Al x Ga1- x )2O3/β-Ga2O3 heterostructures were grown via plasma-assisted molecular beam epitaxy. The β-(Al x Ga1- x )2O3 barrier was partially doped by Ge to achieve a two-dimensional electron gas (2DEG) in Ga2O3. The formation of the 2DEG was confirmed by capacitance-voltage measurements. The impact of Ga-polishing on both the surface morphology and the reduction of the unintentionally incorporated Si at the growth interface was investigated using atomic force microscopy and secondary-ion mass spectrometry. Modulation doped field-effect transistors were fabricated. A maximum current density of 20 mA/mm with a pinch-off voltage of -6 V was achieved on a sample with a 2DEG sheet charge density of 1.2 × 1013 cm-2.

  9. Direct observation of fatigue in epitaxially grown Pb(Zr,Ti)O3 thin films using second harmonic piezoresponse force microscopy

    Science.gov (United States)

    Murari, Nishit M.; Hong, Seungbum; Lee, Ho Nyung; Katiyar, Ram. S.

    2011-08-01

    Here, we present a direct observation of fatigue phenomena in epitaxially grown Pb(Zr0.2Ti0.8)O3 (PZT) thin films using second harmonic piezoresponse force microscopy (SH-PFM). We observed strong correlation between the SH-PFM amplitude and phase signals with the remnant piezoresponse at different switching cycles. The SH-PFM results indicate that the average fraction of switchable domains decreases globally and the phase delays of polarization switching differ locally. In addition, we found that the fatigue developed uniformly over the whole area without developing region-by-region suppression of switchable polarization as in polycrystalline PZT thin films.

  10. Optical investigation of atomic steps in ultra-thin InGaAs/InP quantum wells grown by vapor levitation epitaxy

    International Nuclear Information System (INIS)

    Morais, P.C.

    1988-09-01

    Ultra-thin InGaAs/InP single-quantum-well structures, grown by chloride transport vapor levitation epitaxy, have been investigated by low temperature photoluminescence (PL). Well resolved peaks are observed in the PL spectra which we attribute to monolayer (a/2=2.93 A) variations in quantum well (QW) thickness. Separate peak positions for QW thicknesses corresponding to 2-6 monolayers have been determined, providing an unambiguous thickness calibration for spectral shifts due to quantum confinement. The PL peak corresponding to two monolayers occurs at 1.314 eV corresponding to an energy shift of 524 meV. Experimental data agree very well with a simple effective-mass theory. (author) [pt

  11. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  12. InGaAs/InP, quantum wells and quantum wires grown by vapor levitation epitaxy using chloride transport

    International Nuclear Information System (INIS)

    Cox, H.M.; Morais, P.C.; Hwang, D.M.; Bastos, P.; Gmitter, T.J.; Nazar, L.; Worlock, J.M.; Yablonovitch, E.; Hummel, S.G.

    1988-09-01

    A variety of InGaAs/InP quantum structures have been grown by vapor levitation epitaxy (VLE) and investigated by low temperature photoluminescence (PL). Excellent long-range uniformity of QW peak positions across a two-inch diameter wafer is achieved. Monolayer thickness variations in single QW's are used to establish an essentially unambiguous correlation of QW thickness with energy upshift for ultra-thin quantum wells. PL evidence is presented of the growth, for the first time by any technique, of an InGaAs/InP QW of single monolayer thickness (2.93 (angstrom)). Quantum wires were fabricated entirely by VLE as thin as one monolayer and estimated to be three unit cells wide. (author) [pt

  13. Thickness dependence of magnetic anisotropy and intrinsic anomalous Hall effect in epitaxial Co{sub 2}MnAl film

    Energy Technology Data Exchange (ETDEWEB)

    Meng, K.K., E-mail: kkmeng@ustb.edu.cn [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Miao, J.; Xu, X.G. [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Zhao, J.H. [State Key Laboratory of Superlattices and Microstructures, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Jiang, Y. [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China)

    2017-04-04

    We have investigated the thickness dependence of magnetic anisotropy and intrinsic anomalous Hall effect (AHE) in single-crystalline full-Heusler alloy Co{sub 2}MnAl (CMA) grown by molecular-beam epitaxy on GaAs(001). The magnetic anisotropy is the interplay of uniaxial and the fourfold anisotropy, and the corresponding anisotropy constants have been deduced. Considering the thickness of CMA is small, we ascribe it to the influence from interface stress. The AHE in CMA is found to be well described by a proper scaling. The intrinsic anomalous conductivity is found to be smaller than the calculated one and is thickness dependent, which is ascribed to the influence of chemical ordering by affecting the band structure and Fermi surface. - Highlights: • Single-crystalline full-Heusler alloy Co{sub 2}MnAl grown by molecular-beam epitaxy. • Uniaxial and the fourfold magnetic anisotropies in Heusler alloys. • Anomalous Hall effect in Heusler alloys. • The intrinsic contributions modified by chemical ordering.

  14. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  15. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  16. Characterization of low Al content Al{sub x}Ga{sub 1-x}N epitaxial films grown by atmospheric-pressure MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Toure, A.; Halidou, I.; Benzarti, Z.; Bchetnia, A.; El Jani, B. [Faculte des Sciences, Unite de Recherche sur les Hetero-Epitaxies et Applications, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-Chimie des Materiaux, Faculte des Sciences de Monastir, Unite de Service Commun de Recherche ' ' High Resolution X-ray Diffractometer' ' , 5019 Monastir (Tunisia)

    2012-05-15

    Al{sub x}Ga{sub 1-x}N epitaxial films grown on GaN/sapphire by atmospheric-pressure metalorganic vapor phase epitaxy (AP-MOVPE) using trimethylgallium (TMG) and trimethylaluminum (TMA) as group III precursors have been studied. Two groups of samples were grown. The aluminum (Al) solid composition of Al{sub x}Ga{sub 1-x}N was varied in the range from 0.03 to 0.20 by changing the molar flow ratio [TMA/(TMA + TMG)]. The effect of TMA flow rate, respectively, TMG flow rate, on the growth rate, and Al solid composition is discussed. The structural properties of the alloys have been investigated by high-resolution X-ray diffraction (HRXRD). The optical properties of these samples were investigated by photoluminescence (PL). It is found that on increasing Al solid composition, via an increase of the TMA flow rate, the structural quality is deteriorated and the growth efficiency decreases. On the other hand, when the TMG flow rate is reduced, a decrease of the full width at half-maximum (FWHM) is observed with Al content. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials.

    Science.gov (United States)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-08

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO 2 epitaxial growth and BaTiO 3 conversion. Through the TiO 2 epitaxial growth on FTO substrate, (001) oriented TiO 2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO 2 NBA was conducted to enlarge the surface area for effective Ba 2+ ion diffusion during the perovskite conversion process from TiO 2 to BaTiO 3 . The final structure of perovskite BaTiO 3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO 3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  18. Highly piezoelectric BaTiO3 nanorod bundle arrays using epitaxially grown TiO2 nanomaterials

    Science.gov (United States)

    Jang, Seon-Min; Yang, Su Chul

    2018-06-01

    Low-dimensional piezoelectric nanostructures such as nanoparticles, nanotubes, nanowires, nanoribbons and nanosheets have been developed for potential applications as energy harvesters, tunable sensors, functional transducers and low-power actuators. In this study, lead-free BaTiO 3 nanorod bundle arrays (NBA) with highly piezoelectric properties were successfully synthesized on fluorine-doped tin oxide (FTO) substrate via a two-step process consisting of TiO2 epitaxial growth and BaTiO3 conversion. Through the TiO2 epitaxial growth on FTO substrate, (001) oriented TiO2 nanostructures formed vertically-aligned NBA with a bundle diameter of 80 nm and an aspect ratio of six. In particular, chemical etching of the TiO2 NBA was conducted to enlarge the surface area for effective Ba2+ ion diffusion during the perovskite conversion process from TiO2 to BaTiO3. The final structure of perovskite BaTiO3 NBA was found to exhibit a feasible piezoelectric response of 3.56 nm with a clear phase change of 180° from the single BaTiO3 bundle, by point piezoelectric forced microscopy (PFM) analysis. Consequently, highly piezoelectric NBA could be a promising nanostructure for various nanoscale electronic devices.

  19. The effect of Se/Te ratio on transient absorption behavior and nonlinear absorption properties of CuIn0.7Ga0.3(Se1-xTex)2 (0 ≤ x ≤ 1) amorphous semiconductor thin films

    Science.gov (United States)

    Karatay, Ahmet; Küçüköz, Betül; Çankaya, Güven; Ates, Aytunc; Elmali, Ayhan

    2017-11-01

    The characterization of the CuInSe2 (CIS), CuInGaSe (CIGS) and CuGaSe2 (CGS) based semiconductor thin films are very important role for solar cell and various nonlinear optical applications. In this paper, the amorphous CuIn0.7Ga0.3(Se1-xTex)2 semiconductor thin films (0 ≤ x ≤ 1) were prepared with 60 nm thicknesses by using vacuum evaporation technique. The nonlinear absorption properties and ultrafast transient characteristics were investigated by using open aperture Z-scan and ultrafast pump-probe techniques. The energy bandgap values were calculated by using linear absorption spectra. The bandgap values are found to be varying from 0.67 eV to 1.25 eV for CuIn0.7Ga0.3Te2, CuIn0.7Ga0.3Se1.6Te0.4, CuIn0.7Ga0.3Se0.4Te1.6 and CuIn0.7Ga0.3Se2 thin films. The energy bandgap values decrease with increasing telluride (Te) doping ratio in mixed CuIn0.7Ga0.3(Se1-xTex)2 films. This affects nonlinear characteristics and ultrafast dynamics of amorphous thin films. Ultrafast pump-probe experiments indicated that decreasing of bandgap values with increasing the Te amount switches from the excited state absorption signals to ultrafast bleaching signals. Open aperture Z-scan experiments show that nonlinear absorption properties enhance with decreasing bandgaps values for 65 ps pulse duration at 1064 nm. Highest nonlinear absorption coefficient was found for CuIn0.7Ga0.3Te2 thin film due to having the smallest energy bandgap.

  20. Epitaxial structure and electronic property of β-Ga2O3 films grown on MgO (100) substrates by pulsed-laser deposition

    Science.gov (United States)

    Wakabayashi, Ryo; Yoshimatsu, Kohei; Hattori, Mai; Ohtomo, Akira

    2017-10-01

    We investigated heteroepitaxial growth of Si-doped Ga2O3 films on MgO (100) substrates by pulsed-laser deposition as a function of growth temperature (Tg) to find a strong correlation between the structural and electronic properties. The films were found to contain cubic γ-phase and monoclinic β-phase, the latter of which indicated rotational twin domains when grown at higher Tg. The formation of the metastable γ-phase and twin-domain structure in the stable β-phase are discussed in terms of the in-plane epitaxial relationships with a square MgO lattice, while crystallinity of the β-phase degraded monotonically with decreasing Tg. The room-temperature conductivity indicated a maximum at the middle of Tg, where the β-Ga2O3 layer was relatively highly crystalline and free from the twin-domain structure. Moreover, both crystallinity and conductivity of β-Ga2O3 films on the MgO substrates were found superior to those on α-Al2O3 (0001) substrates. A ratio of the conductivity, attained to the highest quantity on each substrate, was almost three orders of magnitude.

  1. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  2. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  3. A novel aptamer functionalized CuInS2 quantum dots probe for daunorubicin sensing and near infrared imaging of prostate cancer cells

    International Nuclear Information System (INIS)

    Lin, Zihan; Ma, Qiang; Fei, Xiaofang; Zhang, Hao; Su, Xingguang

    2014-01-01

    Graphical abstract: - Highlights: • The daunorubicin (DNR)-loaded MUC1 aptamer-NIR CuInS 2 QDs conjugates were developed. • DNR can intercalate into the double-stranded CG sequence of the MUC1 (CGA) 7 –QDs. The aptamer-QDs can sense DNR by the change of photoluminescence intensity of QDs. • The probe can image and sense the delivery of DNR to targeted prostate tumor cell. - Abstract: In this paper, a novel daunorubicin (DNR)-loaded MUC1 aptamer-near infrared (NIR) CuInS 2 quantum dot (DNR–MUC1–QDs) conjugates were developed, which can be used as a targeted cancer imaging and sensing system. After the NIR CuInS 2 QDs conjugated with the MUC1 aptamer–(CGA) 7 , DNR can intercalate into the double-stranded CG sequence of the MUC1–QDs. The incorporation of multiple CG sequences within the stem of the aptamers may further increase the loading efficiency of DNR on these conjugates. DNR–MUC1–QDs can be used to target prostate cancer cells. We evaluated the capacity of MUC1–CuInS 2 QDs for delivering DNR to cancer cells in vitro, and its binding affinity to MUC1-positive and MUC1-negative cells. This novel aptamer functionalized QDs bio-nano-system can not only deliver DNR to the targeted prostate cancer cells, but also can sense DNR by the change of photoluminescence intensity of CuInS 2 QDs, which concurrently images the cancer cells. The quenched fluorescence intensity of MUC1–QDs was proportional to the concentration of DNR in the concentration ranges of 33–88 nmol L −1 . The detection limit (LOD) for DNR was 19 nmol L −1 . We demonstrate the specificity and sensitivity of this DNR–MUC1–QDs probe as a cancer cell imaging, therapy and sensing system in vitro

  4. Effects of SiO2 encapsulation and laser processing on single CdTe/ZnTe quantum dots grown on Si (001) substrates

    International Nuclear Information System (INIS)

    Lee, Hong Seok; Rastelli, Armando; Schmidt, Oliver G.; Kim, Tae Whan; Lee, In Won

    2011-01-01

    Micro-photoluminescence (μ-PL) measurements are carried out to investigate the effects of SiO 2 encapsulation and laser processing of single CdTe/ZnTe quantum dots (QDs) grown on Si (001) substrates by using molecular beam epitaxy and atomic layer epitaxy. After laser processing, the μ-PL peak shift for the 200-nm SiO 2 capped single QD is larger than that of the as-grown sample. The large μ-PL peak shift in the 200-nm SiO 2 capped sample is related to the compressive stress induced by the ZnTe cap layer during laser processing. These results indicate that SiO 2 encapsulation and laser processing represent effective methods for achieving local wavelength tuning in single QDs.

  5. Structural, electrical and luminescent characteristics of ultraviolet light emitting structures grown by hydride vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    A.Y. Polyakov

    2017-03-01

    Full Text Available Electrical and luminescent properties of near-UV light emitting diode structures (LEDs prepared by hydride vapor phase epitaxy (HVPE were studied. Variations in photoluminescence and electroluminescence efficiency observed for LEDs grown under nominally similar conditions could be attributed to the difference in the structural quality (dislocation density, density of dislocations agglomerates of the GaN active layers, to the difference in strain relaxation achieved by growth of AlGaN/AlGaN superlattice and to the presence of current leakage channels in current confining AlGaN layers of the double heterostructure.

  6. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R.; Storm, David F.; Meyer, David J.; Zhang, Weidong; Brown, Elliott R.

    2016-01-01

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm 2 and a peak-to-valley current ratio of ≈1.15 across different sizes.

  7. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Storm, David F.; Meyer, David J. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Zhang, Weidong; Brown, Elliott R. [Departments of Physics and Electrical Engineering, Wright State University, Dayton, Ohio 45435 (United States)

    2016-08-22

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  8. High-quality AlGaN/GaN grown on sapphire by gas-source molecular beam epitaxy using a thin low-temperature AlN layer

    Energy Technology Data Exchange (ETDEWEB)

    Jurkovic, M.J.; Li, L.K.; Turk, B.; Wang, W.I.; Syed, S.; Simonian, D.; Stormer, H.L.

    2000-07-01

    Growth of high-quality AlGaN/GaN heterostructures on sapphire by ammonia gas-source molecular beam epitaxy is reported. Incorporation of a thin AlN layer grown at low temperature within the GaN buffer is shown to result in enhanced electrical and structural characteristics for subsequently grown heterostructures. AlGaN/GaN structures exhibiting reduced background doping and enhanced Hall mobilities (2100, 10310 and 12200 cm{sup 2}/Vs with carrier sheet densities of 6.1 x 10{sup 12} cm{sup {minus}2}, and 5.8 x 10{sup 12} cm{sup {minus}2} at 300 K, 77 K, and 0.3 K, respectively) correlate with dislocation filtering in the thin AlN layer. Magnetotransport measurements at 0.3 K reveal well-resolved Shubnikov-de Haas oscillations starting at 3 T.

  9. Demonstration of high-responsivity epitaxial β-Ga2O3/GaN metal–heterojunction-metal broadband UV-A/UV-C detector

    Science.gov (United States)

    Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.

    2018-06-01

    We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.

  10. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  11. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  12. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  13. Impact of extended defects on recombination in CdTe heterostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zaunbrecher, Katherine N. [Department of Physics, Colorado State University, Fort Collins, Colorado 80523 (United States); National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Kuciauskas, Darius; Dippo, Pat; Barnes, Teresa M. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States); Swartz, Craig H.; Edirisooriya, Madhavie; Ogedengbe, Olanrewaju S.; Sohal, Sandeep; Hancock, Bobby L.; LeBlanc, Elizabeth G.; Jayathilaka, Pathiraja A. R. D.; Myers, Thomas H. [Materials Science, Engineering and Commercialization Program, Texas State University, San Marcos, Texas 78666 (United States)

    2016-08-29

    Heterostructures with CdTe and CdTe{sub 1-x}Se{sub x} (x ∼ 0.01) absorbers between two wider-band-gap Cd{sub 1-x}Mg{sub x}Te barriers (x ∼ 0.25–0.3) were grown by molecular beam epitaxy to study carrier generation and recombination in bulk materials with passivated interfaces. Using a combination of confocal photoluminescence (PL), time-resolved PL, and low-temperature PL emission spectroscopy, two extended defect types were identified and the impact of these defects on charge-carrier recombination was analyzed. The dominant defects identified by confocal PL were dislocations in samples grown on (211)B CdTe substrates and crystallographic twinning-related defects in samples on (100)-oriented InSb substrates. Low-temperature PL shows that twin-related defects have a zero-phonon energy of 1.460 eV and a Huang-Rhys factor of 1.50, while dislocation-dominated samples have a 1.473-eV zero-phonon energy and a Huang-Rhys factor of 1.22. The charge carrier diffusion length near both types of defects is ∼6 μm, suggesting that recombination is limited by diffusion dynamics. For heterostructures with a low concentration of extended defects, the bulk lifetime was determined to be 2.2 μs with an interface recombination velocity of 160 cm/s and an estimated radiative lifetime of 91 μs.

  14. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  15. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  16. Accompanying growth and room-temperature ferromagnetism of η-Mn3N2 thin films by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yu, Fengmei; Liu, Yajing; Yang, Mei; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2013-01-01

    η-phase manganese nitride films have been grown on LaAlO 3 (100) and LaSrAlO 4 (001) substrates by using plasma-assisted molecular beam epitaxy. On the basis of reflective high energy electron diffraction, X-ray diffraction, and X-ray photoemission spectroscopy, it is confirmed that two types of η-Mn 3 N 2 with different lattice constants coexist in the films due to the lattice mismatches between the Mn 3 N 2 films and the substrates. Magnetic properties of the films were characterized by a superconducting quantum interference device magnetometer at room temperature. The Mn 3 N 2 films on LaAlO 3 substrate were found to have room-temperature ferromagnetism. Two potential interaction mechanisms are proposed regarding the origin of the observed ferromagnetism. - Highlights: ► The films of two types of η-Mn 3 N 2 have been grown by molecular beam epitaxy. ► Mn 3 N 2 A and Mn 3 N 2 B coexisted in the films on LaAlO 3 and LaSrAlO 4 . ► The room-temperature ferromagnetism of the Mn 3 N 2 films on LaAlO 3 was obtained

  17. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  18. Schottky barrier height of Ni to β-(AlxGa1-x)2O3 with different compositions grown by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Ahmadi, Elaheh; Oshima, Yuichi; Wu, Feng; Speck, James S.

    2017-03-01

    Coherent β-(AlxGa1-x)2O3 films (x = 0, 0.038, 0.084, 0.164) were grown successfully on a Sn-doped β-Ga2O3 (010) substrate using plasma-assisted molecular beam epitaxy. Atom probe tomography, transmission electron microscopy, and high resolution x-ray diffraction were used to verify the alloy composition and high quality of the films. Schottky diodes were then fabricated using Ni as the Schottky metal. Capacitance-voltage measurements revealed a very low (current-voltage (I-V) measurements performed at temperatures varying from 300 K to 500 K on the Schottky diodes. These measurements revealed that the apparent Schottky barrier height could have similar values for different compositions of β-(AlxGa1-x)2O3. We believe this is attributed to the lateral fluctuation in the alloy’s composition. This results in a lateral variation in the barrier height. Therefore, the average Schottky barrier height extracted from I-V measurements could be similar for β-(AlxGa1-x)2O3 films with different compositions.

  19. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  20. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  1. Unusual photoluminescence properties of vertically aligned InN nanorods grown by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Shen, C.H.; Chen, H.Y.; Lin, H.W.; Wu, C.Y.; Gwo, S.; Klochikhin, A.A.; Davydov, V.Yu.

    2007-01-01

    We report the unusual photoluminescence (PL) properties of vertically aligned InN nanorod arrays grown on Si(111) with a Si 3 N 4 buffer layer. The optimum growth conditions of InN nanorods are obtained by controlling the III/V ratio and the growth temperature. Structural characterization by X-ray diffraction and scanning electron microscopy indicates that individual nanorods are wurtzite InN single crystals with the growth direction along the c-axis. Near-infrared PL from InN nanorods is clearly observed at room temperature. However, in comparison to the PL from InN epitaxial films, the PL from InN nanorods is significantly lower in efficiency and exhibit anomalous temperature dependence. We propose that these unusual PL properties are results of considerable structural disorder (especially for the low-temperature grown InN nanorods) and strong surface electron accumulation effect. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Some properties of Ga-As-Alsub(x)Gasub(1-x)As heterojunction grown by low temperature liquid phase epitaxy

    International Nuclear Information System (INIS)

    Yu Lisheng; Liu Hongxun; Zhang Bei; Wang Shumin

    1986-03-01

    GaAs-Alsub(x)Gasub(1-x)As heterojunction was grown by liquid phase epitaxy at low growth temperature 650-700 deg. C. The series resistance of heterojunction with DH laser structure was measured. Doping properties of Mg in GaAs and Alsub(x)Gasub(1-x)As were investigated. It is found that impurity concentration of Mg as high as 10 18 cm -3 can be doped easily. The Shubnikov-de-Haas oscillation was observed in GaAs-N Alsub(0.35)Gasub(0.65)As heterointerface. It is demonstrated that in these heterointerfaces there exists 2DEG with some contribution from 3D electron of N-AlGaAs layer. (author)

  3. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  4. Epitaxial growth of new half-metallic ferromagnet 'zinc-blende CrAs' and the substrate temperature dependence

    International Nuclear Information System (INIS)

    Mizuguchi, Masaki; Akinaga, Hiro; Manago, Takashi; Ono, Kanta; Oshima, Masaharu; Shirai, Masafumi

    2002-01-01

    Epitaxial zinc-blende CrAs thin films were grown at two different temperatures. CrAs (2 nm) grown at 200 deg. C formed plateau-shapes, whereas CrAs (2 nm) grown at 300 deg. C formed dispersed dots. The thin film grown at 200 deg. C showed ferromagnetic behavior at room temperature, and the Curie temperature was estimated to be over 400 K

  5. Investigation of the growth of In2O3 on Y-stabilized ZrO2(100) by oxygen plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bourlange, A.; Payne, D.J.; Palgrave, R.G.; Foord, J.S.; Egdell, R.G.; Jacobs, R.M.J.; Schertel, A.; Hutchison, J.L.; Dobson, P.J.

    2009-01-01

    Thin films of In 2 O 3 have been grown on Y-stabilised ZrO 2 (100) substrates by oxygen plasma assisted molecular beam epitaxy over a range of substrate temperatures between 650 o C and 900 o C. Growth at 650 o C leads to continuous but granular films and complete extinction of substrate core level structure in X-ray photoelectron spectroscopy. However with increasing substrate temperature the films break up into a series of discrete micrometer sized islands. Both the continuous and the island films have excellent epitaxial relationship with the substrate as gauged by X-ray diffraction and selected area electron diffraction and lattice imaging in high resolution transmission electron microscopy.

  6. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  7. Spectroscopic ellipsometry analysis of GaAs1-xNx layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ben Sedrine, N.; Rihani, J.; Stehle, J.L.; Harmand, J.C.; Chtourou, R.

    2008-01-01

    In this work, we present the effect of nitrogen incorporation on the dielectric function of GaAsN samples, grown by molecular beam epitaxy (MBE) followed by a rapid thermal annealing (for 90 s at 680 deg. C). The GaAs 1-x N x samples with N content up to 1.5% (x = 0.0%, 0.1%, 0.5%, 1.5%), are investigated using room temperature spectroscopic ellipsometry (SE). The optical transitions in the spectral region around 3 eV are analyzed by fitting analytical critical point line shapes to the second derivative of the dielectric function. It was found that the features associated with E 1 and E 1 + Δ 1 transitions are blue-shifted and become less sharp with increasing nitrogen incorporation, in contrast to the case of E 0 transition energy in GaAs 1-x N x . An increase of the split-off Δ 1 energy with nitrogen content was also obtained, in agreement to results found with MOVPE GaAs 1-x N x grown samples

  8. SIMS studies of CI- doped Zn Se epilayers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gard, F.S.; Riley, J.D.; Lekey, R.; Usher, B.F.; Prine, K.

    2004-01-01

    Chlorine is one of the most used species to produce n-type zinc selenium epilayers. In this paper, we present secondary ion mass spectrometry profiles of a series of chlorine-doped zinc selenium samples, which were grown in a molecular beam epitaxy chamber. These profiles have been used to examine the limitation of secondary ion mass spectrometry analysis of narrow chlorine-delta layers. In order to covert secondary ion mass spectrometry raw data to quantified data, the depth profile from a chlorine-implanted standard sample has been used to estimate the u seful ion yield o f chlorine and thus the instrument sensitivity for chlorine in a zinc selenium matrix. The u seful ion yield a nd detection limit of chlorine in the zinc selenium host matrix were calculated to be 4.7 X 10 -17 atoms/ cm 3 , respectively

  9. Above-bandgap optical properties of biaxially strained GeSn alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Richard D’Costa, Vijay, E-mail: elevrd@nus.edu.sg; Wang, Wei; Zhou, Qian; Yeo, Yee-Chia, E-mail: eleyeoyc@nus.edu.sg [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583 (Singapore); Soon Tok, Eng [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2014-01-13

    The complex dielectric function of biaxially strained Ge{sub 1−x}Sn{sub x} (0 ≤ x ≤ 0.17) alloys grown on Ge (100) has been determined by spectroscopic ellipsometry from 1.2 to 4.7 eV. The effect of substitutional Sn incorporation and the epitaxial strain on the energy transitions E{sub 1}, E{sub 1} + Δ{sub 1}, E{sub 0}′, and E{sub 2} of GeSn alloys is investigated. Our results indicate that the strained GeSn alloys show Ge-like electronic bandstructure with all the transitions shifted downward due to the alloying of Sn. The strain dependence of E{sub 1} and E{sub 1} + Δ{sub 1} transitions is explained using the deformation potential theory, and values of −5.4 ± 0.4 eV and 3.8 ± 0.5 eV are obtained for the hydrostatic and shear deformation potentials, respectively.

  10. Double-heterostructure PbSnTe lasers grown by molecular-beam epitaxy with cw operation up to 114 K

    International Nuclear Information System (INIS)

    Walpole, J.N.; Calawa, A.R.; Harman, T.C.; Groves, S.H.

    1976-01-01

    Double-heterostructure Pb/sub 1-x/Sn/sub x/Te lasers with active regions of Pb 0 . 782 Sn 0 . 218 Te have been grown by molecular-beam epitaxy which operate cw up to heat-sink temperatures of 114 0 K. Temperature tuning of the emission from 15.9 to 8.54 μm wavelength is obtained, with emission at 77 0 K near 11.5 μm. The current-voltage characteristics show an abrupt change in slope at threshold, indicating high incremental internal quantum efficiency

  11. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  12. Electrical properties of GaAsN film grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Nishimura, K.; Suzuki, H.; Saito, K.; Ohshita, Y.; Kojima, N.; Yamaguchi, M.

    2007-01-01

    The local vibrational modes (LVMs) observed by Fourier transform infrared (FTIR) spectroscopy in GaAsN films grown by chemical beam epitaxy (CBE) was studied, and the influence of the nitrogen-hydrogen bond (N-H) concentration on the hole concentration was investigated. The absorption peak around 936 cm -1 is suggested to be the second harmonic mode of the substitutional N, N As , LVM around 469 cm -1 . The absorption peak around 960 cm -1 is suggested to be the wagging mode of the N-H, where the stretch mode is observed around 3098 cm -1 . The hole concentration linearly increases with increasing N-H concentration, and the slope increases with increasing growth temperature. It indicates that the hole concentration in GaAsN film is determined by both the number of the N-H and unknown defect, such as impurities, vacancies, and interstitials. This defect concentration increases with increasing growth temperature, suggesting that it is determined by Arrhenius type reaction

  13. Low-temperature liquid-phase epitaxy and optical waveguiding of rare-earth-ion-doped KY(WO4)2 thin layers

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Utke, I.; Ehrentraut, D.; Apostolopoulos, V.; Pollnau, Markus; Garcia-Revilla, S.; Valiente, B.

    2004-01-01

    Crystalline $KY(WO_{4})_{2}$ thin layers doped with different rare-earth ions were grown on b-oriented, undoped $KY(WO_{4})_{2}$ substrates by liquid-phase epitaxy employing a low-temperature flux. The ternary chloride mixture of NaCl, KCl, and CsCl with a melting point of 480°C was used as a

  14. In-situ studies of the recrystallization process of CuInS2 thin films by energy dispersive X-ray diffraction

    International Nuclear Information System (INIS)

    Thomas, D.; Mainz, R.; Rodriguez-Alvarez, H.; Marsen, B.; Abou-Ras, D.; Klaus, M.; Genzel, Ch.; Schock, H.-W.

    2011-01-01

    Recrystallization processes during the sulfurization of CuInS 2 (CIS) thin films have been studied in-situ using energy dispersive X-ray diffraction (EDXRD) with synchrotron radiation. In order to observe the recrystallization isolated from other reactions occurring during film growth, Cu-poor, small grained CIS layers covered with CuS on top were heated in a vacuum chamber equipped with windows for synchrotron radiation in order to analyze the grain growth mechanism within the CIS layer. In-situ monitoring of the grain size based on diffraction line profile analysis of the CIS-112 reflection was utilized to interrupt the recrystallization process at different points. Ex-situ studies by electron backscatter diffraction (EBSD) and energy dispersive X-ray spectroscopy (EDX) performed on samples of intermediate recrystallization states reveal that during the heat treatment Cu and In interdiffuse inside the layer indicating the importance of the mobility of these two elements during CuInS 2 grain growth.

  15. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  16. AlGaN nanocolumns and AlGaN/GaN/AlGaN nanostructures grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ristic, J.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Calleja, E. [Departamento de Ingenieria Electronica, ETSI Telecomunicacion, Universidad Politecnica de Madrid, Ciudad Universitaria, 28040 Madrid (Spain); Sanchez-Paramo, J.; Calleja, J.M. [Departamento de Fisica de Materiales, Universidad Autonoma de Madrid, Cantoblanco, 28049 Madrid (Spain); Jahn, U.; Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-12-01

    This work reports on the characterization of hexagonal, single crystal AlGaN nanocolumns with diameters in the range of 30 to 100 nm grown by molecular beam epitaxy on Si(111) substrates. The change of the flux ratio between the Al and the total III-element controls the alloy composition. The Al composition trend versus the Al flux is consistent both with the E{sub 2} phonon energy values measured by inelastic light scattering and the luminescence emission peaks position. High quality low dimensional AlGaN/GaN/AlGaN heterostructures with five GaN quantum discs, 2 and 4 nm thick, embedded into the AlGaN columns, were designed in order to study the quantum confinement effects. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  17. Formation of grown-in defects in molecular beam epitaxial Ga(In)NP: Effects of growth conditions and postgrowth treatments

    International Nuclear Information System (INIS)

    Dagnelund, D.; Buyanova, I. A.; Wang, X. J.; Chen, W. M.; Utsumi, A.; Furukawa, Y.; Wakahara, A.; Yonezu, H.

    2008-01-01

    Effects of growth conditions and post-growth treatments, such as presence of N ions, N 2 flow, growth temperature, In alloying, and postgrowth rapid thermal annealing (RTA), on formation of grown-in defects in Ga(In)NP prepared by molecular beam epitaxy are studied in detail by the optically detected magnetic resonance (ODMR) technique. Several common residual defects, such as two Ga-interstitial defects (i.e., Ga i -A and Ga i -B) and two unidentified defects with a g factor around 2 (denoted by S1 and S2), are closely monitored. Bombardment of impinging N ions on grown sample surface is found to facilitate formation of these defects. Higher N 2 flow is shown to have an even more profound effect than a higher number of ions in introducing these defects. Incorporation of a small amount of In (e.g., 5.1%) in GaNP seems to play a minor role in the formation of the defects. In GaInNP with 45% of In; however, the defects were found to be abundant. Effect of RTA on the defects is found to depend on initial configurations of Ga i -related defects formed during the growth. In the alloys where the Ga i -A and Ga i -B defects are absent in the as-grown samples (i.e., GaNP grown at a low temperature of 460 deg. C), the concentrations of the two Ga i defects are found to increase after postgrowth RTA. This indicates that the defects originally introduced in the as-grown alloys have been transformed into the more thermally stable Ga i -A and Ga i -B during RTA. On the other hand, when the Ga i -A and Ga i -B are readily abundant (e.g., at higher growth temperatures (≥500 deg. C), RTA leads to a slight reduction of the Ga i -A and Ga i -B ODMR signals. The S2 defect is also shown to be thermally stable upon the RTA treatment

  18. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  19. Emission control of InGaN nanocolumns grown by molecular-beam epitaxy on Si(111) substrates

    International Nuclear Information System (INIS)

    Albert, S.; Bengoechea-Encabo, A.; Sanchez-Garcia, M. A.; Calleja, E.; Lefebvre, P.; Jahn, U.; Trampert, A.

    2011-01-01

    This work studies the effect of the growth temperature on the morphology and emission characteristics of self-assembled InGaN nanocolumns grown by plasma assisted molecular beam epitaxy. Morphology changes are assessed by scanning electron microscopy, while emission is measured by photoluminescence. Within the growth temperature range of 750 to 650 deg. C, an increase in In incorporation for decreasing temperature is observed. This effect allows tailoring the InGaN nanocolumns emission line shape by using temperature gradients during growth. Depending on the gradient rate, span, and sign, broad emission line shapes are obtained, covering the yellow to green range, even yielding white emission.

  20. Oriented epitaxial TiO2 nanowires for water splitting

    Science.gov (United States)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  1. The effect of metal-rich growth conditions on the microstructure of Sc{sub x}Ga{sub 1-x}N films grown using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, H.C.L.; Moram, M.A. [Department of Materials, Imperial College London (United Kingdom); Goff, L.E. [Department of Materials, Imperial College London (United Kingdom); Department of Physics, University of Cambridge (United Kingdom); Barradas, N.P. [CTN - Centro de Ciencias e Tecnologias Nucleares, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Alves, E. [IPFN - Instituto de Plasmas e Fusao Nuclear, Lisboa (Portugal); Laboratorio de Aceleradores e Tecnologias de Radiacao, Instituto Superior Tecnico, Universidade de Lisboa, Bobadela LRS (Portugal); Pereira, S. [CICECO and Department of Physics, Universidade de Aveiro (Portugal); Beere, H.E.; Farrer, I.; Nicoll, C.A.; Ritchie, D.A. [Department of Physics, University of Cambridge (United Kingdom)

    2015-12-15

    Epitaxial Sc{sub x}Ga{sub 1-x}N films with 0 ≤ x ≤ 0.50 were grown using molecular beam epitaxy under metal-rich conditions. The Sc{sub x}Ga{sub 1-x}N growth rate increased with increasing Sc flux despite the use of metal-rich growth conditions, which is attributed to the catalytic decomposition of N{sub 2} induced by the presence of Sc. Microstructural analysis showed that phase-pure wurtzite Sc{sub x}Ga{sub 1-x}N was achieved up to x = 0.26, which is significantly higher than that previously reported for nitrogen-rich conditions, indicating that the use of metal-rich conditions can help to stabilise wurtzite phase Sc{sub x}Ga{sub 1-x}N. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Structural disorder of natural BimSen superlattices grown by molecular beam epitaxy

    Science.gov (United States)

    Springholz, G.; Wimmer, S.; Groiss, H.; Albu, M.; Hofer, F.; Caha, O.; Kriegner, D.; Stangl, J.; Bauer, G.; Holý, V.

    2018-05-01

    The structure and morphology of BimSen epitaxial layers with compositions ranging from Bi2Se3 to the Bi1Se1 grown by molecular beam epitaxy with different flux compositions are investigated by transmission electron microscopy, high-resolution x-ray diffraction, and atomic force microscopy. It is shown that the lattice structure changes significantly as a function of the beam flux composition, i.e., Se/BiSe flux ratio that determines the stoichiometry of the layers. A perfect Bi2Se3 phase is formed only with a sufficiently high additional Se flux, whereas Bi1Se1 is obtained when only a BiSe compound source without additional Se is used. For intermediate values of the excess Se flux during growth, Bi2Se3 -δ layers are obtained with the Se deficit δ varying between 0 and 1. This Se deficit is accommodated by incorporation of additional Bi-Bi double layers into the Bi2Se3 structure that otherwise exclusively consists of Se-Bi-Se-Bi-Se quintuple layers. While a periodic insertion of such Bi double layers would result in the formation of natural BimSen superlattices, we find that this Bi double-layer insertion is rather stochastic with a high degree of disorder depending on the film composition. Therefore, the structure of such epilayers is better described by a one-dimensional paracrystal model, consisting of disordered sequences of quintuple and double layers rather than by strictly periodic natural superlattices. From detailed analysis of the x-ray diffraction data, we determine the dependence of the lattice parameters a and c and distances of the individual (0001) planes dj as a function of composition, evidencing that only the in-plane lattice parameter a shows a linear dependence on composition. The simulation of the diffraction curves with the random stacking paracrystal model yields an excellent agreement with the experimental data and it brings quantitative information on the randomness of the stacking sequence, which is compared to growth modeling using Monte

  3. Temperature dependence of optical transitions in Al xGa1-xAs/GaAs quantum well structures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Caballero-Rosas, A.; Mejia-Garcia, C.; Contreras-Puente, G.; Lopez-Lopez, M.

    2005-01-01

    Quantum well (QW) structures of Al x Ga 1-x As/GaAs were characterized by photoluminescence technique as a function of the temperature between 10 and 300 K. The structures were grown on a 500 nm thick GaAs buffer layer with Molecular Beam Epitaxy technique. We have studied the properties of in-situ Cl 2 -etched GaAs surfaces and overgrown QW structures as a function of the etching temperature (70 and 200 deg. C). Several models were used to fit the experimental points. Best fit to experimental points was obtained with the Paessler model

  4. High-temperature operation of self-assembled GaInNAs/GaAsN quantum-dot lasers grown by solid-source molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Liu, C.Y.; Yoon, S.F.; Sun, Z.Z.; Yew, K.C.

    2006-01-01

    Self-assembled GaInNAs/GaAsN single layer quantum-dot (QD) lasers grown using solid-source molecular-beam epitaxy have been fabricated and characterized. Temperature-dependent measurements have been carried out on the GaInNAs QD lasers. The lowest obtained threshold current density in this work is ∼1.05 kA/cm 2 from a GaInNAs QD laser (50x1700 μm 2 ) at 10 deg. C. High-temperature operation up to 65 deg. C was also demonstrated from an unbonded GaInNAs QD laser (50x1060 μm 2 ), with high characteristic temperature of 79.4 K in the temperature range of 10-60 deg. C

  5. Structural atomic-scale analysis of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A substrate

    NARCIS (Netherlands)

    Keizer, J.G.; Jo, M.; Mano, T.; Noda, T.; Sakoda, K.; Koenraad, P.M.

    2011-01-01

    We report the structural analysis at the atomic scale of GaAs/AlGaAs quantum wires and quantum dots grown by droplet epitaxy on a (311)A-oriented substrate. The shape, interfaces, and composition of these nanostructures and their surrounding matrix are investigated. We show that quantum wires can be

  6. Investigations of solvents and various sulfur sources influence on the shape-controlled synthesis of CuInS2 nanocrystals

    International Nuclear Information System (INIS)

    Kruszynska, Marta; Borchert, Holger; Parisi, Jürgen; Kolny-Olesiak, Joanna

    2011-01-01

    CuInS 2 (CIS) nanocrystals were successfully synthesized through a hot-injection technique employing a reaction of copper (I) acetate and indium (III) acetate with tert-dodecanethiol as a source of sulfur, and trioctylphosphine oxide and 1-dodecanethiol were used as ligands. The reaction medium was a mixture of two solvents: oleylamine and 1-octadecene. Varying the ratio between both solvents leads to the formation of wurtzite CuInS 2 particles with shapes ranging from triangular to rod-shaped with length up to 50 nm. Oleylamine turned out to influence the reaction condition in two opposite ways: by leading to monomer depletion before the injection of the sulfur precursor, and at the same time increasing the activity of the monomers remaining in solution. By changing the sulfur source from tert-dodecanethiol to sulfur dissolved in oleylamine, triangular particles with zinc blend structure and a smaller size (∼5 nm) were synthesized. The final materials were characterized by powder X-ray diffraction (XRD), transmission electron microscopy (TEM), energy dispersive X-ray analysis (EDX), and absorption spectroscopy (UV–Vis).

  7. Crystalline structure and XMCD studies of Co40Fe40B20 grown on Bi2Te3, BiTeI and Bi2Se3

    OpenAIRE

    Kaveev, A. K.; Sokolov, N. S.; Suturin, S. M.; Zhiltsov, N. S.; Golyashov, V. A.; Tereshchenko, O. E.; Prosvirin, I. P.; Kokh, K. A.; Sawada, M.

    2018-01-01

    Epitaxial films of Co40Fe40B20 (further - CoFeB) were grown on Bi2Te3(001) and Bi2Se3(001) substrates by laser molecular beam epitaxy (LMBE) technique at 200-400C. Bcc-type crystalline structure of CoFeB with (111) plane parallel to (001) plane of Bi2Te3 was observed, in contrast to polycrystalline CoFeB film formed on Bi2Se3(001) at RT using high-temperature seeding layer. Therefore, structurally ordered ferromagnetic thin films were obtained on the topological insulator surface for the firs...

  8. Electrodeposited CuInS2 Using Dodecylbenzene Sulphonic Acid As a Suspending Agent for Thin Film Solar Cell

    Directory of Open Access Journals (Sweden)

    Shaker Ebrahim

    2012-01-01

    Full Text Available CulnS2 thin films were electrochemically deposited onto fluoride-doped tin oxide (FTO substrate in presence of dodecylbenzene sulphonic acid to adjust pH of the solution and as a suspending agent for the sulfur. Cyclic voltammetry and chronoamperometry were carried out to determine the optimum pH. The composition, crystallinity, and optical properties of the compounds synthesized were studied by energy dispersive X-ray (EDX, X-ray diffraction, and UV-Visible spectra. It was found that the increasing of pH shifts the electrodeposited voltage toward more negative and lowers the deposition current. It was concluded that CuInS2 with atomic stoichiometric ratio was prepared at pH equal to 1.5 and 150 ml of 0.1 M sodium thiosulphate, 5 ml of 0.1 M indium chloride, and 5 ml of 0.1 M cupper chloride. The energy gaps were calculated to be 1.95 and 2.2 eV for CuInS2 prepared at 1.5 and 2.5 of pH, respectively. It was found that sc, oc, and are 1.02×10−4 A/cm2, 0.52 V, and 1.3×10−2%, respectively, for FTO/CuInS2/ZnO/FTO heterojunction solar cell.

  9. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  10. High efficiency green/yellow and red InGaN/AlGaN nanowire light-emitting diodes grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M.R. Philip

    2017-06-01

    Full Text Available We report on the achievement of high efficiency green, yellow, and red InGaN/AlGaN dot-in-a-wire nanowire light-emitting diodes grown on Si(111 by molecular beam epitaxy. The peak emission wavelengths were altered by varying the growth conditions, including the substrate temperature, and In/Ga flux ratio. The devices demonstrate relatively high (>40% internal quantum efficiency at room temperature, relative to that measured at 5 K. Moreover, negligible blue-shift in peak emission spectrum associated with no efficiency droop was measured when injection current was driven up to 556 A/cm2.

  11. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  12. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  13. Anisotropic magnetotransport in epitaxial La2/3Ca1/3MnO3 thin films grown by dc-sputtering

    International Nuclear Information System (INIS)

    Moran, O.; Saldarriaga, W.; Prieto, P.; Baca, E.

    2005-01-01

    We have conducted a comprehensive study of the in-plane/out-of-plane magnetic and magnetotransport properties on (001)-oriented La 2/3 Ca 1/3 MnO 3 films epitaxially grown on single crystal (001)-SrTiO 3 substrates by dc-sputtering at high oxygen pressure. The films grew under tensile strain imposed by the lattice mismatch with the substrate. SQUID magnetometry indicated the presence of magnetocrystalline anisotropy at temperatures below the ferromagnetic Curie temperature T C with the easy plane being the film plane. Resistance measurements in magnetic field strengths of up to 6 T, applied both normal and parallel to the film plane, evidenced a distinctive dependence of the resistivity below T C on the angle of the applied field with respect to the plane of the film. During these measurements, transport current and applied magnetic field was all along maintained perpendicular to each other. Neither low-field magnetoresistance (LFMR) nor large magnetoresistance hysteresis were observed on these samples, suggesting that the tensile strain in the first monolayers has been partially released. Additionally, by rotating the sample 360 around an axis parallel to film plane, in magnetic fields ≥2 T, a quadratic sinusoidal dependence of the magnetoresistance (MR) on the polar angle θ was observed. These results can be consistently interpreted in frame of a generalized version of the theory of anisotropic magnetoresistance in transition-metal ferromagnets. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Three-dimensional mesoscale heterostructures of ZnO nanowire arrays epitaxially grown on CuGaO2 nanoplates as individual diodes.

    Science.gov (United States)

    Forticaux, Audrey; Hacialioglu, Salih; DeGrave, John P; Dziedzic, Rafal; Jin, Song

    2013-09-24

    We report a three-dimensional (3D) mesoscale heterostructure composed of one-dimensional (1D) nanowire (NW) arrays epitaxially grown on two-dimensional (2D) nanoplates. Specifically, three facile syntheses are developed to assemble vertical ZnO NWs on CuGaO2 (CGO) nanoplates in mild aqueous solution conditions. The key to the successful 3D mesoscale integration is the preferential nucleation and heteroepitaxial growth of ZnO NWs on the CGO nanoplates. Using transmission electron microscopy, heteroepitaxy was found between the basal planes of CGO nanoplates and ZnO NWs, which are their respective (001) crystallographic planes, by the observation of a hexagonal Moiré fringes pattern resulting from the slight mismatch between the c planes of ZnO and CGO. Careful analysis shows that this pattern can be described by a hexagonal supercell with a lattice parameter of almost exactly 11 and 12 times the a lattice constants for ZnO and CGO, respectively. The electrical properties of the individual CGO-ZnO mesoscale heterostructures were measured using a current-sensing atomic force microscopy setup to confirm the rectifying p-n diode behavior expected from the band alignment of p-type CGO and n-type ZnO wide band gap semiconductors. These 3D mesoscale heterostructures represent a new motif in nanoassembly for the integration of nanomaterials into functional devices with potential applications in electronics, photonics, and energy.

  15. Hydrothermal synthesis of a photovoltaic material based on CuIn0.5Ga0.5Se2

    Science.gov (United States)

    Castellanos Báez, Y. T.; Fuquen Peña, D. A.; Gómez-Cuaspud, J. A.; Vera-López, E.; Pineda-Triana, Y.

    2017-12-01

    The present work report, the synthesis and characterization of the CuIn0.5Ga0.5Se2 system (abbreviated CIGS), by the implementation of a hydrothermal route, in order to obtain a solid with appropriate properties in terms of surface, morphological and texture properties for potential applications in the design of photovoltaic cells. The synthesis was carried out using the corresponding stoichiometric quantities (Cu:In:Ga:Se 1:0.5:0.5:2), which were mixed in a Teflon vessel under stirring conditions. The homogeneous solution was treated in a steel autoclave at 300°C for 72 hours at the end of which the resulting material was characterized by X-Ray Diffraction (XRD) and Rietveld refinement. The results of the structural characterization allowed to confirm the obtaining of a chalcopyrite type structure, with a I-42 d (122) structure and cell parameters a=0.570, b=0.570, c=1.140nm, α=90, β=90, γ=90° oriented along (1 0 4) facet, detecting the presence of a secondary phases, related with CuInSe and CuIn metallic selenides, derived from synthesis process. The structural refinement allowing to validate the obtaining of a nanometric crystalline material (10-20nm) for potential applications in field of photovoltaic technology.

  16. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  17. Fundamental absorption edge in CuIn5Se8 and CuGa3Se5 single crystals

    International Nuclear Information System (INIS)

    Leon, M.; Merino, J.M.; Levcenko, S.; Nateprov, A.; Tezlevan, V.; Arushanov, E.; Syrbu, N.N.

    2006-01-01

    Optical absorption spectra of CuIn 5 Se 8 and CuGa 3 Se 5 single crystals have been investigated. The energy gap E g for CuIn 5 Se 8 (CuGa 3 Se 5 ) was found to be varied from 1.27(1.79) to 1.21(1.71) eV in the temperature range between 10 and 300 K. The temperature dependence of E g was studied by means of the Einstein model and the Paessler model. The Einstein temperature {222(267)K}, the Debye temperature {310(380)K}, a dimensionless constant related to the electron-phonon coupling {1.62(2.65)} as well as an effective energy {20 (24) meV} and a cut-off phonon energy {35(39) meV} have been estimated for CuIn 5 Se 8 (CuGa 3 Se 5 ). It was also found that the major contribution of phonons to the shift of E g versus temperature in CuIn 5 Se 8 (CuGa 3 Se 5 ) is mainly from optical phonons. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  18. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  19. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  20. Characteristics of AlN/GaN nanowire Bragg mirror grown on (001) silicon by molecular beam epitaxy

    KAUST Repository

    Heo, Junseok

    2013-10-01

    GaN nanowires containing AlN/GaN distributed Bragg reflector (DBR) heterostructures have been grown on (001) silicon substrate by molecular beam epitaxy. A peak reflectance of 70% with normal incidence at 560 nm is derived from angle resolved reflectance measurements on the as-grown nanowire DBR array. The measured peak reflectance wavelength is significantly blue-shifted from the ideal calculated value. The discrepancy is explained by investigating the reflectance of the nanoscale DBRs with a finite difference time domain technique. Ensemble nanowire microcavities with In0.3Ga 0.7N nanowires clad by AlN/GaN DBRs have also been characterized. Room temperature emission from the microcavity exhibits considerable linewidth narrowing compared to that measured for unclad In0.3Ga0.7N nanowires. The resonant emission is characterized by a peak wavelength and linewidth of 575 nm and 39 nm, respectively. © 2013 AIP Publishing LLC.

  1. Synthesis Characterization and Decomposition Studies of tris[N-N-dibenzyidithocarbaso)Indium (III) Chemical Spray Deposition of Polycrystalline CuInS2 on Copper Films

    Science.gov (United States)

    Hehemann, David G.; Lau, J. Eva; Harris, Jerry D.; Hoops, Michael D.; Duffy, Norman V.

    2005-01-01

    This paper presents the results of the synthesis characterization and decomposition studies of tris[N-N-dibenzyidithocarbaso)Indium (III) with chemical spray deposition of polycrystalline CuInS2 on Copper Films.

  2. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  3. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  4. Analysis of sulphurisation processes of electrodeposited S-rich CuIn (S,Se){sub 2} layers for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Izquierdo-Roca, V.; Fontane, X. [EME/CERMAE/IN2UB, Departament d' Electronica, Universitat de Barcelona, C. Marti i Franques 1, 08028 Barcelona (Spain); Calvo-Barrio, L. [EME/CERMAE/IN2UB, Departament d' Electronica, Universitat de Barcelona, C. Marti i Franques 1, 08028 Barcelona (Spain); Lab. Analisis de Superficies, SCT, Univ. Barcelona, C. Lluis Sole i Sabaris 1-3, 08028 Barcelona (Spain); Perez-Rodriguez, A. [EME/CERMAE/IN2UB, Departament d' Electronica, Universitat de Barcelona, C. Marti i Franques 1, 08028 Barcelona (Spain)], E-mail: perez-ro@el.ub.es; Morante, J.R. [EME/CERMAE/IN2UB, Departament d' Electronica, Universitat de Barcelona, C. Marti i Franques 1, 08028 Barcelona (Spain); Alvarez-Garcia, J. [Centre de Recerca i Investigacio de Catalunya (CRIC), Travessera de Gracia 108, 08012 Barcelona (Spain); Duault, F.; Parissi, L.; Bermudez, V. [IRDEP, Institute of Research and Development of Photovoltaic Energy (UMR 71714, CNRS/EDF/ENSCP), 6 Quai Watier - BP 49, 78401 Chatou cedex (France)

    2009-02-02

    This work reports a microstructural analysis of S-rich CuIn (S,Se){sub 2} layers produced by electrodeposition of CuInSe{sub 2} precursors followed by annealing at 500 deg. C under sulphurising conditions, as function of the annealing time (t{sub ann}). The characterisation of the layers by Raman scattering (RS) and Scanning Electron Microscopy (SEM) techniques has allowed to observe a strong dependence of the layer microstructure and the secondary phases synthesised during the sulphurising step on the annealing parameters. The experimental data show the existence of two distinct regimes: For t{sub ann} < 20 min, increasing t{sub ann} leads to a significant improvement of the crystalline quality of the absorbers. For longer annealing times, the changes observed in the frequency of the main CuIn (S,Se){sub 2} A{sub 1} mode in the Raman spectra have been attributed to a higher incorporation of S in the chalcopyrite lattice. The characterisation of devices fabricated with these absorbers has allowed to analyse the impact of the microstructural features on the parameters of the solar cells, observing the existence of a strong correlation between the solar cell parameters and the spectral features of the main Raman mode.

  5. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V. [Departamento de Fisica Aplicada y Electromagnetismo, Universitat de Valencia, C/Dr. Moliner 50, 46100 Burjassot (Spain)

    2005-02-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including {theta}-2{theta} scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. X-ray characterization of CdO thin films grown on a-, c-, r- and m-plane sapphire by metalorganic vapour phase-epitaxy

    International Nuclear Information System (INIS)

    Zuniga-Perez, J.; Martinez-Tomas, C.; Munoz-Sanjose, V.

    2005-01-01

    CdO thin films have been grown on a-plane (11 anti 20), c-plane (0001), r-plane (01 anti 12) and m-plane (10 anti 10) sapphire substrates by metalorganic vapour-phase epitaxy (MOVPE). The effects of different substrate orientations on the structural properties of the films have been analyzed by means of X-ray diffraction, including θ-2θ scans, pole figures and rocking curves. (111), (001) and (110) orientations are found on a-, r-, and m-sapphire respectively, while films deposited on c-plane exhibit an orientation in which no low-index crystal plane is parallel to the sample surface. The recorded pole figures have allowed determining the epitaxial relationships between films and substrates, as well as the presence or absence of extended defects. The rocking curves indicate that high quality thin films, in terms of tilt and twist, can be obtained on r-, c- and m-plane sapphire, while further improvement is needed over the a-orientation. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  8. Properties of MIS structures based on graded-gap HgCdTe grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadookh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Sidorov, Yu. G.; Vasiliev, V. V.

    2008-01-01

    The effect of near-surface graded-gap layers on the electrical characteristics of MIS structures fabricated based on heteroepitaxial Hg 1-x Cd x Te films grown by molecular beam epitaxy with a two-layer SiO 2 /Si 3 N 4 insulator and anodic oxide film is studied experimentally. It is shown that a larger modulation of capacitance (depth and width of the valley) is observed compared with the structures without the graded-gap layer. The field dependences of photovoltage of MIS structures with the graded-gap layers had a classical form and were characterized by a drop only in the enrichment region. For the structures without the graded-gap layer with x = 0.22, a drop in the voltage dependence of the photocurrent is observed in the region of pronounced inversion. This drop is governed by limitation of the space charge region by processes of tunneling generation via deep levels. The properties of the HgCdTe-insulator interfaces are studied.

  9. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  10. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  11. Structural and optical properties of GaxIn1-xP layers grown by chemical beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Yang, Jung-Ja; Ryu, Mee Yi; Song, Jong-In; Yu, Phil W.

    1998-05-01

    Chemical beam epitaxial (CBE) GaxIn1-xP layers (x≈0.5) grown on (001) GaAs substrates at temperatures ranging from 490 to 580°C have been investigated using transmission electron diffraction (TED), transmission electron microscopy, and photoluminescence (PL). TED examination revealed the presence of diffuse scattering 1/2{111}B positions, indicating the occurrence of typical CuPt-type ordering in the GaInP CBE layers. As the growth temperature decreased from 580 to 490°C, maxima in the intensity of the diffuse scattering moved from ½{111}B to ½{-1+δ,1-δ,0} positions, where δ is a positive value. As the growth temperature increased from 490 to 550°C, the maxima in the diffuse scattering intensity progressively approached positions of 1/2\\{bar 110\\} , i.e., the value of δ decreased from 0.25 to 0.17. Bandgap reduction (˜45 meV) was observed in the CBE GaInP layers and was attributed to the presence of ordered structures.

  12. Near-Infrared Emitting CuInSe2/CuInS2 Dot Core/Rod Shell Heteronanorods by Sequential Cation Exchange

    Science.gov (United States)

    2015-01-01

    The direct synthesis of heteronanocrystals (HNCs) combining different ternary semiconductors is challenging and has not yet been successful. Here, we report a sequential topotactic cation exchange (CE) pathway that yields CuInSe2/CuInS2 dot core/rod shell nanorods with near-infrared luminescence. In our approach, the Cu+ extraction rate is coupled to the In3+ incorporation rate by the use of a stoichiometric trioctylphosphine-InCl3 complex, which fulfills the roles of both In-source and Cu-extracting agent. In this way, Cu+ ions can be extracted by trioctylphosphine ligands only when the In–P bond is broken. This results in readily available In3+ ions at the same surface site from which the Cu+ is extracted, making the process a direct place exchange reaction and shifting the overall energy balance in favor of the CE. Consequently, controlled cation exchange can occur even in large and anisotropic heterostructured nanocrystals with preservation of the size, shape, and heterostructuring of the template NCs into the product NCs. The cation exchange is self-limited, stopping when the ternary core/shell CuInSe2/CuInS2 composition is reached. The method is very versatile, successfully yielding a variety of luminescent CuInX2 (X = S, Se, and Te) quantum dots, nanorods, and HNCs, by using Cd-chalcogenide NCs and HNCs as templates. The approach reported here thus opens up routes toward materials with unprecedented properties, which would otherwise remain inaccessible. PMID:26449673

  13. STM/STS Measurements of Two-Dimensional Electronic States in Magnetic Fields at Epitaxially Grown InAs(111)A Surfaces

    International Nuclear Information System (INIS)

    Niimi, Y; Kanisawa, K; Kojima, H; Kambara, H; Hirayama, Y; Tarucha, S; Fukuyama, Hiroshi

    2007-01-01

    The local density of states (LDOS) at the epitaxially grown InAs surface on a GaAs substrate was studied at very low temperatures in magnetic fields up to 6 T by scanning tunneling microscopy and spectroscopy. We observed a series of peaks, associated with Landau quantization of the two-dimensional electron system (2DES), in the tunnel spectra just above the subband energy (-80 meV) of the 2DES. The intervals between the peaks are consistent with the estimation from the effective mass of the 2DES at the InAs surface. In a wider energy range, another type of oscillation which was independent of magnetic field was also observed. This oscillation can be explained by the energy dependence of the transmission probability of the tunneling current through the Schottky barrier formed at the interface between the InAs film and GaAs substrate

  14. Microstructure of InxGa1−xN nanorods grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Webster, R F; Soundararajah, Q Y; Griffiths, I J; Cherns, D; Novikov, S V; Foxon, C T

    2015-01-01

    Transmission electron microscopy is used to examine the structure and composition of In x Ga 1−x N nanorods grown by plasma-assisted molecular beam epitaxy. The results confirm a core–shell structure with an In-rich core and In-poor shell resulting from axial and lateral growth sectors respectively. Atomic resolution mapping by energy-dispersive x-ray microanalysis and high angle annular dark field imaging show that both the core and the shell are decomposed into Ga-rich and In-rich platelets parallel to their respective growth surfaces. It is argued that platelet formation occurs at the surfaces, through the lateral expansion of surface steps. Studies of nanorods with graded composition show that decomposition ceases for x ≥ 0.8 and the ratio of growth rates, shell:core, decreases with increasing In concentration. (paper)

  15. A novel epitaxially grown LSO-based thin-film scintillator for micro-imaging using hard synchrotron radiation

    Energy Technology Data Exchange (ETDEWEB)

    Douissard, P.A.; Martin, T.; Chevalier, V.; Rack, A. [European Synchrotron Radiat Facil, F-38043 Grenoble, (France); Cecilia, A.; Baumbach, T.; Rack, A. [Karlsruhe Inst Technol ANKA, D-76021 Karlsruhe, (Germany); Couchaud, M. [CEA LETI, F-38054 Grenoble, (France); Dupre, K. [FEE GmbH, D-55743 Idar Oberstein, (Germany); Kuhbacher, M. [Helmholtz Zentrum Berlin Mat and Energie, D-14109 Berlin, (Germany)

    2010-07-01

    The efficiency of high-resolution pixel detectors for hard X-rays is nowadays one of the major criteria which drives the feasibility of imaging experiments and in general the performance of an experimental station for synchrotron-based microtomography and radiography. Here the luminescent screen used for the indirect detection is focused on in order to increase the detective quantum efficiency a novel scintillator based on doped Lu{sub 2}SiO{sub 5} (LSO), epitaxially grown as thin film via the liquid phase epitaxy technique. It is shown that, by using adapted growth and doping parameters as well as a dedicated substrate, the scintillation behaviour of a LSO-based thin crystal together with the high stopping power of the material allows for high-performance indirect X-ray detection. In detail, the conversion efficiency, the radioluminescence spectra, the optical absorption spectra under UV/visible-light and the afterglow are investigated. A set-up to study the effect of the thin-film scintillator's temperature on its conversion efficiency is described as well it delivers knowledge which is important when working with higher photon flux densities and the corresponding high heat load on the material. Additionally, X-ray imaging systems based on different diffraction-limited visible-light optics and CCD cameras using among others LSO-based thin film are compared. Finally, the performance of the LSO thin film is illustrated by imaging a honey bee leg, demonstrating the value of efficient high-resolution computed tomography for life sciences. (authors)

  16. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  17. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  18. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  19. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  20. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  1. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  2. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  3. Self-organized antireflection CuIn(S,Se)_2 nano-protrusions on flexible substrates by ion erosion based on CuInS_2 nanocrystal precursor inks

    International Nuclear Information System (INIS)

    Yen, Yu-Ting; Wang, Yi-Chung; Chen, Chia-Wei; Tsai, Hung-Wei; Chen, Yu-Ze; Hu, Fan; Chueh, Yu-Lun

    2015-01-01

    Highlights: • CuIn(S,Se)_2 nano-protrusions were demonstrated on 36-cm"2 flexible substrates. • Nano-protrusions were created by ion erosion on selenized CuInS_2 nanocrystal precursor inks. • Tilt orientations and remarkable anti-reflectance characteristics of nano-protrusions can be precisely controlled. - Abstract: In this work, an approach to achieve surface nano-protrusions on a chalcopyrite CuIn(S,Se)_2 thin film was demonstrated. Home-made CuInS_2 nanocrystals with average diameter of 20 nm were prepared and characterized. By applying ion erosion process on the CuIn(S,Se)_2 film, large-area self-aligned nano-protrusions can be formed. Interestingly, the process can be applied on flexible substrate where the CuIn(S,Se)_2 film remains intact with no visible cracking after several bending tests. In addition, reflectance spectra reveal the extraordinary anti-reflectance characteristics of nano-protrusions on the CuIn(S,Se)_2 film with the incident light from 350 to 2000 nm. A 36-cm"2 CuIn(S,Se)_2 film with nano-protrusions on flexible molybdenum foil substrate has been demonstrated, which demonstrated the feasibility of developing low cost with a high optical absorption CuIn(S,Se)_2 flexible thin film.

  4. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  5. Adsorption-controlled growth of La-doped BaSnO3 by molecular-beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hanjong Paik

    2017-11-01

    Full Text Available Epitaxial La-doped BaSnO3 films were grown in an adsorption-controlled regime by molecular-beam epitaxy, where the excess volatile SnOx desorbs from the film surface. A film grown on a (001 DyScO3 substrate exhibited a mobility of 183 cm2 V−1 s−1 at room temperature and 400 cm2 V−1 s−1 at 10 K despite the high concentration (1.2 × 1011 cm−2 of threading dislocations present. In comparison to other reports, we observe a much lower concentration of (BaO2 Ruddlesden-Popper crystallographic shear faults. This suggests that in addition to threading dislocations, other defects—possibly (BaO2 crystallographic shear defects or point defects—significantly reduce the electron mobility.

  6. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  7. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-01-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  8. Resistivity analysis of epitaxially grown, doped semiconductors using energy dependent secondary ion mass spectroscopy

    Science.gov (United States)

    Burnham, Shawn D.; Thomas, Edward W.; Doolittle, W. Alan

    2006-12-01

    A characterization technique is discussed that allows quantitative optimization of doping in epitaxially grown semiconductors. This technique uses relative changes in the host atom secondary ion (HASI) energy distribution from secondary ion mass spectroscopy (SIMS) to indicate relative changes in conductivity of the material. Since SIMS is a destructive process due to sputtering through a film, a depth profile of the energy distribution of sputtered HASIs in a matrix will contain information on the conductivity of the layers of the film as a function of depth. This process is demonstrated with Mg-doped GaN, with the Mg flux slowly increased through the film. Three distinct regions of conductivity were observed: one with Mg concentration high enough to cause compensation and thus high resistivity, a second with moderate Mg concentration and low resistivity, and a third with little to no Mg doping, causing high resistivity due to the lack of free carriers. During SIMS analysis of the first region, the energy distributions of sputtered Ga HASIs were fairly uniform and unchanging for a Mg flux above the saturation, or compensation, limit. For the second region, the Ga HASI energy distributions shifted and went through a region of inconsistent energy distributions for Mg flux slightly below the critical flux for saturation, or compensation. Finally, for the third region, the Ga HASI energy distributions then settled back into another fairly unchanging, uniform pattern. These three distinct regions were analyzed further through growth of Mg-doped step profiles and bulk growth of material at representative Mg fluxes. The materials grown at the two unchanging, uniform regions of the energy distributions yielded highly resistive material due to too high of Mg concentration and low to no Mg concentration, respectively. However, material grown in the transient energy distribution region with Mg concentration between that of the two highly resistive regions yielded low

  9. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    Science.gov (United States)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  10. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  11. Control of gallium incorporation in sol–gel derived CuIn(1−x)GaxS2 thin films for photovoltaic applications

    International Nuclear Information System (INIS)

    Bourlier, Yoan; Cristini Robbe, Odile; Lethien, Christophe

    2015-01-01

    Highlights: • CuIn (1−x) Ga x S 2 thin films were prepared by sol–gel process. • Evolution of lattice parameters is characteristic of a solid solution. • Optical band gap was found to be linearly dependent on the gallium rate. - Abstract: In this paper, we report the elaboration of Cu(In,Ga)S 2 chalcopyrite thin films via a sol–gel process. To reach this aim, solutions containing copper, indium and gallium complexes were prepared. These solutions were thereafter spin-coated onto the soda lime glass substrates and calcined, leading to metallic oxides thin films. Expected chalcopyrite films were finally obtained by sulfurization of oxides layers using a sulfur atmosphere at 500 °C. The rate of gallium incorporation was studied both at the solutions synthesis step and at the thin films sulfurization process. Elemental and X-ray diffraction (XRD) analyses have shown the efficiency of monoethanolamine used as a complexing agent for the preparation of CuIn (1−x) Ga x S 2 thin layers. Moreover, the replacement of diethanolamine by monoethanolamine has permitted the substitution of indium by isovalent gallium from x = 0 to x = 0.4 and prevented the precipitation of copper derivatives. XRD analyses of sulfurized thin films CuIn (1−x) Ga x S 2, clearly indicated that the increasing rate of gallium induced a shift of XRD peaks, revealing an evolution of the lattice parameter in the chalcopyrite structure. These results were confirmed by Raman analyses. Moreover, the optical band gap was also found to be linearly dependent upon the gallium rate incorporated within the thin films: it varies from 1.47 eV for x = 0 to 1.63 eV for x = 0.4

  12. The effect of Bi composition on the properties of InP{sub 1−x}Bi{sub x} grown by liquid phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, T. D., E-mail: tddas@hotmail.com [Department of Electronic Science, University of Calcutta, 92, A. P. C. Road, Kolkata 700009 (India)

    2014-05-07

    InP{sub 1−x}Bi{sub x} epilayers (x ≥ 1.2%) on InP (001) are grown reproducibly by liquid phase epitaxy with conventional solution baking in a H{sub 2} environment. The Bi composition and surface morphology of the grown layers are studied by secondary ion mass spectroscopy and atomic force microscopy, respectively. High-resolution x-ray diffraction is used to characterize the lattice parameters and the crystalline quality of the layers. 10 K photoluminescence measurements indicate three clearly resolved peaks in undoped InP layers with band-to-band transition at 1.42 eV which is redshifted with Bi incorporation in the layer with a maximum band gap reduction of 50 meV/% Bi. The effect is attributed to the interaction between the valence band edge and Bi-related defect states as is explained here by valence-band anticrossing model. Room temperature Hall measurements indicate that the mobility of the layer is not significantly affected for Bi concentration up to 1.2%.

  13. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  14. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  15. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  16. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  17. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  18. Excitation power dependence of photoluminescence spectra of GaSb type-II quantum dots in GaAs grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawazu, T., E-mail: KAWAZU.Takuya@nims.go.jp; Noda, T.; Sakuma, Y. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Sakaki, H. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Toyota Technological Institute, 2-12-1 Hisakata, Tempaku-ku, Nagoya 468-8511 (Japan)

    2016-04-15

    We investigated the excitation power P dependence of photoluminescence (PL) spectra of GaSb type-II quantum dots (QDs) in GaAs grown by droplet epitaxy. We prepared two QD samples annealed at slightly different temperatures (380 {sup o}C and 400 {sup o}C) and carried out PL measurements. The 20 {sup o}C increase of the annealing temperature leads to (1) about 140 and 60 times stronger wetting layer (WL) luminescence at low and high P, (2) about 45% large energy shift of QD luminescence with P, and (3) the different P dependence of the PL intensity ratio between the QD and the WL. These differences of the PL characteristics are explained by the effects of the WL.

  19. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    Science.gov (United States)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  20. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  1. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  2. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  3. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  4. Electronic structure of epitaxial chalcopyrite surfaces and interfaces for photovoltaics

    International Nuclear Information System (INIS)

    Hofmann, Andreas

    2012-01-01

    This thesis constitutes a comprehensive study of the surface physics of epitaxial CuInSe 2 films. It comprises analyses of the surface morphology and reconstruction, electronic band structure as well as hetero-junctions relevant to photovoltaic applications. Therefore, especially the aspect of stoichiometry variation from the CuInSe 2 to the copper-deficient defect phases was considered. Preparation and analysis was completely performed under ultra-high vacuum conditions in order to ensure the investigation of well-defined samples free of contaminants. For some of the analysis techniques, single-crystalline samples are indispensable: They allow for the determination of surface periodicity by low-energy electron diffraction (LEED). In combination with concentration depth profiling by angle-resolved x-ray photoemission, to types of surface reconstructions could be distinguished for the near-stoichiometric CuInSe 2 (112) surface. In the copper-rich case, it is stabilized by Cu In anti-site defects and on the indium-rich side by 2 V Cu defects, as predicted by surface total energy calculations by Jaffe and Zunger. Both configurations correspond to a c(4 x 2) reconstruction of the zinc blende type (111) surface. For the defect compound CuIn 3 Se 5 , a sphalerite order of the surface was found, which points at a weakening or absence of the chalcopyrite order in the bulk of the material. The unusual stability of the (112) surface could also be proven by comparison with the reconstruction and surface order of (001) and (220) surfaces. The results from surface analysis were used to measure the valence band structure of the epitaxial samples by synchrotron-based angle-resolved photoelectron spectroscopy. The CuInSe 2 (001) surface gives access to the high symmetry directions Γ-T and Γ-N of momentum space. By contrasting the data obtained for the stoichiometric surface with the copper-poor defect compound, a reduction of the valence band dispersion and a broadening of

  5. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    Science.gov (United States)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  6. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  7. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  8. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  9. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  10. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  11. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  12. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  13. Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.

    2007-01-01

    In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model

  14. Characterization by Raman scattering, x-ray diffraction, and transmission electron microscopy of (AlAs)m(InAs)m short period superlattices grown by migration enhanced epitaxy

    DEFF Research Database (Denmark)

    Bradshaw, J.; Song, X.J.; Shealy, J.R.

    1992-01-01

    We report growth of (InAs)1(AlAs)1 and (InAs)2(AlAs)2 strained layer superlattices by migration enhanced epitaxy. The samples were grown on InP (001) substrates and characterized by Raman spectroscopy, x-ray diffraction, and transmission electron microscopy. Satellite peaks in the x-ray data...... confirm the intended periodicity and indicate the presence of some disorder in the monolayer sample. The energies of the zone folded and quantum confined optic phonons are in reasonable agreement with calculations based on one-dimensional elastic continuum and linear chain models. Journal of Applied...

  15. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  16. Optical Properties of InGaAs/ GaAs Multi Quantum Wells Structure Grown By Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Mohd Sharizal Alias; Mohd Fauzi Maulud; Mohd Razman Yahya; Abdul Fatah Awang Mat; Suomalainen, Soile

    2008-01-01

    Inclusive analysis on the optical characteristics of InGaAs/ GaAs QW structure for 980 nm semiconductor laser operation is presented from experimental and theoretical point of view. The InGaAs/ GaAs quantum well structure is grown by molecular beam epitaxy at different indium composition and quantum well thickness for optical characteristic comparison. Photoluminescence spectra from the measurement show that the spectrum is in good agreement with the simulation results. Detail simulation on the material gain for the InGaAs/ GaAs quantum well as a function of carrier densities and operating temperature is also performed in order to optimize the semiconductor laser design for device fabrication. (author)

  17. 1.142 mu m GaAsBi/GaAs Quantum Well Lasers Grown by Molecular Beam Epitaxy

    DEFF Research Database (Denmark)

    Wu, Xiaoyan; Pan, Wenwu; Zhang, Zhenpu

    2017-01-01

    in GaAsBi0.058/GaAs quantum well LDs grown by molecular beam epitaxy. The output power is up to 127 mW at 300 K under pulsed mode. We also demonstrate continuous wave mode operation up to 273 K for the first time. The temperature coefficient of the GaAsBi/GaAs LD is 0.26 nm/K in the temperature range......As a promising new class of near-infrared light emitters, GaAsBi laser diodes (LDs) are considered to have a high energy efficiency and an insensitive temperature dependence of the band gap. In this paper, we realize the longest ever reported lasing wavelength up to 1.142 mu m at room temperature...

  18. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  19. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  20. Controlling morphology and crystallite size of Cu(In0.7Ga0.3)Se2 nano-crystals synthesized using a heating-up method

    International Nuclear Information System (INIS)

    Hsu, Wei-Hsiang; Hsiang, Hsing-I; Chia, Chih-Ta; Yen, Fu-Su

    2013-01-01

    CuIn 0.7 Ga 0.3 Se 2 (CIGS) nano-crystals were successfully synthesized via a heating-up process. The non-coordinating solvent (1-octadecene) and selenium/cations ratio effects on the crystalline phase and crystallite size of CIGS nano-crystallites were investigated. It was observed that the CIGS nano-crystallite morphology changed from sheet into spherical shape as the amount of 1-octadecene addition was increased. CIGS nano-crystals were obtained in 9–20 nm sizes as the selenium/cations ratio increased. These results suggest that the monomer reactivity in the solution can be adjusted by changing the solvent type and selenium/cations ratio, hence affecting the crystallite size and distribution. - Graphical abstract: CuIn 0.7 Ga 0.3 Se 2 (CIGS) nano-crystals were successfully synthesized via a heating-up process in this study. The super-saturation in the solution can be adjusted by changing the OLA/ODE ratio and selenium/cation ratio.

  1. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  2. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  3. Domain structure and magnetic properties of epitaxial SrRuO sub 3 films grown on SrTiO sub 3 (100) substrates by ion beam sputtering

    CERN Document Server

    Oh, S H

    2000-01-01

    The domain structure of epitaxial SrRuO sub 3 thin films grown on SrTiO sub 3 (100) substrates by using ion beam sputtering has been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). The SrRuO sub 3 films grown in the present study revealed a unique cube-on-cube epitaxial relationship, i.e., (100) sub S sub R sub O ll (100) sub S sub T sub O , [010] sub S sub R sub O ll [101] sub S sub T sub O , prevailing with a cubic single-domain structure. The cubic SrRuO sub 3 thin films that were inherently with free from RuO sub 6 octahedron tilting exhibited higher resistivity with suppressed magnetic properties. The Curie temperature of the thin films was suppressed by 60 K from 160 K for the bulk specimen, and the saturation magnetic moment was reduced by a significant amount. The tetragonal distortion of the SrRuO sub 3 thin films due to coherent growth with the substrate seemed to result in a strong magnetic anisotropy.

  4. Effect of Al mole fraction on structural and electrical properties of AlxGa1-xN/GaN heterostructures grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A. SH.; Hassan, Z.; Thahab, S.M.; Ng, S.S.; Hassan, H. Abu; Chin, C.W.

    2011-01-01

    The effect of Al mole fractions on the structural and electrical properties of Al x Ga 1-x N/GaN thin films grown by plasma-assisted molecular beam epitaxy (PA-MBE) on Si (1 1 1) substrates has been investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), and current-voltage (I-V) measurements. X-ray results revealed that the AlGaN/GaN/AlN was epitaxially grown on Si substrate. By applying Vegard's law, the Al mole fractions of Al x Ga 1-x N samples were found to be 0.11, 0.24, 0.30 and 0.43, respectively. The structural and morphology results indicated that there is a relatively larger tensile strain for the sample with the smallest Al mole fraction; while a smaller compressive strain and larger grain size appear with Al mole fraction equal to 0.30. The strain gets relaxed with the highest Al mole fraction sample. Finally, the linear relationship between the barrier height and Al mole fraction was obtained.

  5. Improving surface smoothness and photoluminescence of CdTe(1 1 1)A on Si(1 1 1) substrates grown by molecular beam epitaxy using Mn atoms

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Chen, Chang-Wei; Dai, Zi-Yuan; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Yuan, Chi-Tsu; Shen, Ji-Lin

    2014-01-01

    Highlights: • CdTe(1 1 1)A epilayers were grown on Si(1 1 1) substrates by molecular beam epitaxy. • We report an enhanced growth using Mn atoms. • The significant improvements in surface quality and optical properties were found. - Abstract: This work demonstrates an improvement of the molecular beam epitaxial growth of CdTe(1 1 1)A epilayer on Si(1 1 1) substrates using Mn atoms. The reflection high-energy electron diffraction patterns show that the involvement of some Mn atoms in the growth of CdTe(1 1 1)A is even more effective than the use of a buffer layer with a smooth surface for forming good CdTe(1 1 1)A epilayers. 10 K Photoluminescence spectra show that the incorporation of only 2% Mn significantly reduced the intensity of defect-related emissions and considerably increased the integral intensity of exciton-related emissions by a large factor of about 400

  6. Vapor phase epitaxial growth of FeS sub 2 pyrite and evaluation of the carrier collection in liquid-junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Schlichthoerl, G.; Fiechter, S.; Tributsch, H. (Hahn-Meitner-Inst., Abt. Solare Energetik und Materialforschung, Berlin (Germany))

    1992-01-01

    Photoactive epitaxial layers of FeS{sub 2} were grown using bromine as a transport agent and a simple closed ampoule technique. The substrates used were (100)-oriented slices of natural pyrite 1 mm thick. A vapor-liquid-solid (VLS) growth mechanism was elucidated by means of optical microscopy. Macrosteps, terrace surfaces and protuberances are often accompanied with the presence of liquid FeBr{sub 3} droplets. In the absence of a liquid phase growth hillocks are found. Localized photovoltaic response for the evaluation of carrier collection using a scanning laser spot system has been used to effectively locate and characterize non-uniformities present in the epitaxial thin films. (orig.).

  7. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  8. Molecular beam epitaxial growth of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators on GaAs (111) substrates: a potential route to fabricate topological insulator p-n junction

    Energy Technology Data Exchange (ETDEWEB)

    Zeng, Zhaoquan; Morgan, Timothy A.; Li, Chen; Hirono, Yusuke; Hu, Xian; Hawkridge, Michael E.; Benamara, Mourad; Salamo, Gregory J. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Fan, Dongsheng; Yu, Shuiqing [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Department of Electrical Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); Zhao, Yanfei [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); Lee, Joon Sue [The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Jian [International Center for Quantum Materials, School of Physics, Peking University, Beijing, 100871 (China); The Center for Nanoscale Science and Department of Physics, The Pennsylvania State University, University Park, PA 16802 (United States); Wang, Zhiming M. [Arkansas Institute for Nanoscale Material Sciences and Engineering, University of Arkansas, Fayetteville, AR 72701 (United States); State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Science, Beijing 100083 (China)

    2013-07-15

    High quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} topological insulators films were epitaxially grown on GaAs (111) substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111) substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111) substrate is better than a vicinal substrate to provide high quality Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films. Hall and magnetoresistance measurements indicate that p type Sb{sub 2}Te{sub 3} and n type Bi{sub 2}Te{sub 3} topological insulator films can be directly grown on a GaAs (111) substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  9. Epitaxial growth and control of the sodium content in Na{sub x}CoO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Sandra; Komissinskiy, Philipp [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Major, Marton [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); WIGNER RCP, RMKI, H-1525 Budapest, P.O.B. 49 (Hungary); Donner, Wolfgang [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany); Alff, Lambert, E-mail: alff@oxide.tu-darmstadt.de [Institute for Materials Science, Technische Universität Darmstadt, 64287 Darmstadt (Germany)

    2013-10-31

    Single-phase c-axis oriented Na{sub x}CoO{sub 2} thin films were grown on (001) SrTiO{sub 3} single-crystal substrates, using pulsed laser deposition. X-ray diffraction analysis indicates the epitaxial growth of Na{sub x}CoO{sub 2} thin films in two domains, rotated in-plane by 15 and 45 degrees relative to [100] SrTiO{sub 3}. The sodium stoichiometry x of the films can be controlled in a range of 0.38 < x < 0.84 by in-situ post-deposition annealing the Na{sub x}CoO{sub 2} films at 720 – 760 °C in oxygen for 10 – 30 min. γ - Na{sub x}CoO{sub 2} films are obtained with a full width at half maximum of the (002) Na{sub x}CoO{sub 2} rocking curve below 0.2 degrees. The post-deposition annealing can substitute commonly used chemical deintercalation of Na which is typically associated with a loss in crystallinity. - Highlights: • Single phase Na{sub x}CoO{sub 2} thin films grown by pulsed laser deposition • Epitaxial relations of Na{sub x}CoO{sub 2} thin films on (001) SrTiO{sub 3} substrates • Multi-domain thin films • Control of sodium content by in-situ annealing of Na{sub x}CoO{sub 2} thin films.

  10. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  11. Remarkable strain-induced magnetic anisotropy in epitaxial Co2MnGa (0 0 1) films

    International Nuclear Information System (INIS)

    Pechan, Michael J.; Yu, Chengtao; Carr, David; Palmstroem, Chris J.

    2005-01-01

    Remarkably large, strain-induced anisotropy is observed in the thin-film Heusler alloy Co 2 MnGa. 30 nm Co 2 MnGa (0 0 1) films have been epitaxially grown on different interlayers/substrates with varied strain, and investigated with ferromagnetic resonance. The film grown on ErAs/InGaAs/InP experiences tension strain, resulting in an out-of-plane strain-induced anisotropy (∼1.1x10 6 erg/cm 3 ) adding to the effects of shape anisotropy. In contrast, the film grown on ScErAs/GaAs, experiences a compression strain, resulting in an out-of-plane strain-induced anisotropy (∼3.3x10 6 erg/cm 3 ) which almost totally cancels the effects of shape anisotropy, thus rendering the film virtually isotropic. This results in the formation of stripe domains in remanence. In addition, small, but well-defined 2-fold and 4-fold in-plane anisotropy coexist in each sample with weak, but interesting strain dependence. Transport measurement shows small (<1%) magnetoresistance effects in the compression film, but negligible magnetoresistance in the relaxed and tension strained samples

  12. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  13. DETERMINATION OF VICKERS MICROHARDNESS IN β-Ga2O3 SINGLE CRYSTALS GROWN FROM THEIR OWN MELT

    Directory of Open Access Journals (Sweden)

    L. I. Guzilova

    2015-05-01

    Full Text Available The results of microhardness measurements of β-Ga2O3 single crystals for (001 crystallographic face are reported. The crystals were grown by the free crystallization with the "Garnet-2M" equipment. Microhardness values ​​ were determined by the Vickers method at varying loads. A four-sided diamond pyramid was used as an indenter. The average value of gallium oxide microhardness was equal to 8.91 GPa. We have carried out comparison of the values ​​obtained with the microhardness for the other wide bandgap semiconductors - epitaxial GaN layers grown on 6H-SiC and GaP layers grown on GaP:S. The findings are usable for machining process development of β-Ga2O3 single crystal substrates. In particular, silicon carbide and electrocorundum may be recommended for β-Ga2O3 machine processing.

  14. Strong band edge luminescence from InN films grown on Si substrates by electron cyclotron resonance-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yodo, Tokuo; Yona, Hiroaki; Ando, Hironori; Nosei, Daiki; Harada, Yoshiyuki

    2002-01-01

    We observed strong band edge luminescence at 8.5-200 K from 200-880 nm thick InN films grown on 10 nm thick InN buffer layers on Si(001) and Si(111) substrates by electron cyclotron resonance-assisted molecular beam epitaxy. The InN film on the Si(001) substrate exhibited strong band edge photoluminescence (PL) emission at 1.814 eV at 8.5 K, tentatively assigned as donor to acceptor pair [DAP (α-InN)] emission from wurtzite-InN (α-InN) crystal grains, while those on Si(111) showed other stronger band edge PL emissions at 1.880, 2.081 and 2.156 eV, tentatively assigned as donor bound exciton [D 0 X(α-InN)] from α-InN grains, DAP (β-InN) and D 0 X (β-InN) emissions from zinc blende-InN (β-InN) grains, respectively

  15. High Al-content AlxGa1-xN epilayers grown on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hussein, A.SH.; Thahab, S.M.; Hassan, Z.; Chin, C.W.; Abu Hassan, H.; Ng, S.S.

    2009-01-01

    The microstructure and optical properties of Al x Ga 1-x N/GaN/AlN films on Si (1 1 1) substrate grown by plasma-assisted molecular beam epitaxy (MBE) have been studied and investigated. Reflection high energy electron diffraction (RHEED), scanning electron microscopy (SEM), high-resolution X-ray diffraction (HR-XRD), energy dispersive X-ray spectroscopy (EDS) line analysis and photoluminescence (PL) were used to investigate a reconstruction pattern, cross-section, mole fraction and crystalline quality of the heterostructure. By applying the Vegard's law, a high Al-mole fraction of Al x Ga 1-x N sample with value of 0.43 has been obtained and compared with EDS line analysis measurement value. PL spectrum has exhibited a sharp and intense band edge emission of GaN with the absence of yellow emission band, indicating good crystal quality of the Al x Ga 1-x N has been successfully grown on Si substrate.

  16. Growth and process identification of CuInS 2 on GaP by chemical vapor deposition

    Science.gov (United States)

    Hwang, H. L.; Sun, C. Y.; Fang, C. S.; Chang, S. D.; Cheng, C. H.; Yang, M. H.; Lin, H. H.; Tuwan-Mu, H.

    1981-10-01

    Experimental techniques for growing CuInS 2 layers on GaP substrates by the metalorganic method have been developed. Hydrogen sulfide gas together with the vapors of CuCl( NCCH3) n and InCl3( NCCH3) both of which were generated by bubbling nitrogen through sources, using a solvent of acetonitride, were used as transport agents. Various characterization techniques such as atomic absorption (AA), neutron activation analysis (NAA), energy dispersive analysis by X-rays (EDAX), Rutherford back-scattering analysis (RBS), and X-ray analyses were used to help understand the fundamental mechanism of the CVD growth.

  17. A new hybrid two-zone/crucible furnace process for the growth of epitaxial Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8} superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Siegal, M.P.; Overmyer, D.L.; Venturini, E.L.; Dominguez, F.; Padilla, R.R. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Epitaxial Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8} (Tl-2212) films {approximately}5500 {Angstrom} thick are grown on LaAlO{sub 3}(100) substrates using a new hybrid two-zone/crucible furnace process enabling precise control of thallination. This method combines the best features of both conventional crucible and two-zone processing for the first time: superb film properties and reduced handling of hazardous Tl-oxide powders. Single-phase, highly {ital c}-axis oriented Tl-2212 films are grown with smooth morphology, Meissner transition {approximately}103 K, and critical current density {approximately}1.1{times}10{sup 7}A/cm{sup 2} at 5 K for twenty consecutive runs without having to change or add to the Tl-oxide source. {copyright} {ital 1998 Materials Research Society.}

  18. A Facile Solvothermal Method for Synthesis of CuInS2 Nanostructures

    Directory of Open Access Journals (Sweden)

    M. Mousavi-Kamazani

    2012-09-01

    Full Text Available CuInS2 nanostructures were  synthesized  via  a  simple  surfactant-free solvothermal  route.  In  this  synthesis,  thiosemicarbazide  and thioglycolic acid were used as sulfur sources. The effects of different parameters such as type of precursor and time on the morphology and particle  size  of  the  samples  have  been  investigated.  The nanostructures  were  characterized  by  means  of  X-ray  diffraction (XRD,  scanning  electron microscopy  (SEM,  energy-dispersive X- ray  analysis  (EDX,  Fourier  transform  infrared  (FT-IR  and photoluminescence  (PL  spectroscopy.  The  fill  factor  (FF,  open circuit voltage  (Voc, and  short circuit current  (Isc were obtained by I–V characterization.

  19. Real time observation of phase formations by XRD during Ga-rich or In-rich Cu(In, Ga)Se{sub 2} growth by co-evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Pistor, Paul; Zahedi-Azad, Setareh; Hartnauer, Stefan; Waegele, Leonard A.; Jarzembowski, Enrico; Scheer, Roland [Institute of Physics, Martin-Luther-University Halle-Wittenberg, Halle (Saale) (Germany)

    2015-09-15

    Solar cells with Cu(In, Ga)Se{sub 2} absorbers rely on the three-stage co-evaporation process with Cu-poor/Cu-rich/Cu-poor absorber deposition conditions for highest efficiency devices. During the three-stage process, the formation and evolution of different selenide phases with changing compositions throughout the process crucially determine the final absorber quality. In this contribution, we monitor the evolution of crystalline phases in real-time with an X-ray diffraction (XRD) line detector setup implemented into an evaporation setup. Using the common three-stage process, we prepare and compare samples covering the full alloying range from CuInSe{sub 2} to CuGaSe{sub 2}. The in situ XRD allows the detection of the crystalline phases present at all times of the process as well as an advanced analysis of the phase evolution through a closer look at peak shifts and the full width at half maximum. For samples with a Ga/(Ga + In) ratio (GGI) < 0.5, distinct phase transitions associated with the transition to the reported vacancy compounds Cu(In,Ga){sub 5}Se{sub 8} and Cu(In, Ga){sub 3}Se{sub 5} are observed. No such indication was found for samples with a GGI > 0.5. For Ga-rich Cu(In, Ga)Se{sub 2} phases with a GGI of 0.55, the XRD analysis evidenced a Ga-rich phase segregation before the stoichiometric point was reached. The above findings are discussed in view of their implication on wide gap solar cell performances. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Properties of InSbN grown on GaAs by radio frequency nitrogen plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lim, K P; Yoon, S F; Pham, H T

    2009-01-01

    We report the growth of InSbN on a lattice-mismatched GaAs substrate using radio frequency nitrogen plasma-assisted molecular beam epitaxy. The effects of a two-step thin InSb buffer layer grown at 330 and 380 deg. C and substrate temperature (270-380 deg. C) on the properties of the InSbN are studied. The crystalline quality of the InSbN is significantly improved by the two-step buffer layer due to defect suppression. The shifting in the absorption edge of the InSbN from ∼5 to 8 μm following an increase in the substrate temperature is correlated with the reduction in free carrier concentration from ∼10 18 to 10 16 cm -3 and increase in concentration of N substituting Sb from ∼0.2 to 1%. These results will be beneficial to those working on the pseudo-monolithic integration of InSbN detectors on a GaAs platform.

  1. Surface and Interface Properties of 10–12 Unit Cells Thick Sputter Deposited Epitaxial CeO2 Films

    Directory of Open Access Journals (Sweden)

    L. V. Saraf

    2008-01-01

    Full Text Available Ultrathin and continuous epitaxial films with relaxed lattice strain can potentially maintain more of its bulk physical and chemical properties and are useful as buffer layers. We study surface, interface, and microstructural properties of ultrathin (∼10–12 unit cells thick epitaxial ceria films grown on single crystal YSZ substrates. The out-of -plane and in-plane lattice parameters indicate relaxation in the continuous film due to misfit dislocations seen by high-resolution transmission electron microscopy (HRTEM and substrate roughness of ∼1-2 unit cells, confirmed by atomic force microscopy and HRTEM. A combination of secondary sputtering, lattice mismatch, substrate roughness, and surface reduction creating secondary phase was likely the cause of surface roughness which should be reduced to a minimum level for effective use of it as buffer layers.

  2. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  3. Structural characterization of zincblende Ga1-xMnxN epilayers grown by molecular beam epitaxy on (001) GaAs substrates

    International Nuclear Information System (INIS)

    Fay, M.W.; Han, Y.; Brown, P.D.; Novikov, S.V.; Edmonds, K.W.; Campion, R.P.; Gallagher, B.L.; Foxon, C.T.

    2005-01-01

    Zincblende p-type Ga 1-x Mn x N epilayers, grown with and without AlN/GaN buffer layers using plasma-assisted molecular beam epitaxy on (001) oriented GaAs substrates, have been investigated using a variety of complementary transmission electron microscopy techniques. The epilayers were found to contain a high anisotropic density of stacking faults and microtwins. MnAs inclusions were identified at the Ga 1-x Mn x N/(001)GaAs interface extending into the substrate. The use of AlN/GaN buffer layers was found to inhibit the formation of these inclusions

  4. Phase Identification of Cu-In Alloys with 45 and 41.25 at.% In Compositions

    DEFF Research Database (Denmark)

    Baqué, Laura; Torrado, D.; Aurelio, G.

    2014-01-01

    In this work, the thermal stability of Cu-In alloys with 45.0 and 41.2 at.% In nominal compositions was investigated by differential scanning calorimetry (DSC), scanning electron microscopy, wavelength dispersive spectroscopy, and in-situ synchrotron x-ray powder diffraction (S-PXRD) over...

  5. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  6. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  7. Oriented circular dichroism analysis of chiral surface-anchored metal-organic frameworks grown by liquid-phase epitaxy and upon loading with chiral guest compounds

    KAUST Repository

    Gu, Zhigang

    2014-06-17

    Oriented circular dichroism (OCD) is explored and successfully applied to investigate chiral surface-anchored metal-organic frameworks (SURMOFs) based on camphoric acid (D- and Lcam) with the composition [Cu2(Dcam) 2x(Lcam)2-2x(dabco)]n (dabco=1,4-diazabicyclo- [2.2.2]-octane). The three-dimensional chiral SURMOFs with high-quality orientation were grown on quartz glass plates by using a layer-by-layer liquid-phase epitaxy method. The growth orientation, as determined by X-ray diffraction (XRD), could be switched between the [001] and [110] direction by using either OH- or COOH-terminated substrates. These SURMOFs were characterized by using OCD, which confirmed the ratio as well as the orientation of the enantiomeric linker molecules. Theoretical computations demonstrate that the OCD band intensities of the enantiopure [Cu2(Dcam)2(dabco)] n grown in different orientations are a direct result of the anisotropic nature of the chiral SURMOFs. Finally, the enantiopure [Cu 2(Dcam)2(dabco)]n and [Cu2(Lcam) 2(dabco)]n SURMOFs were loaded with the two chiral forms of ethyl lactate [(+)-ethyl-D-lactate and (-)-ethyl-L-lactate)]. An enantioselective enrichment of >60 % was observed by OCD when the chiral host scaffold was loaded from the racemic mixture. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Oriented circular dichroism analysis of chiral surface-anchored metal-organic frameworks grown by liquid-phase epitaxy and upon loading with chiral guest compounds

    KAUST Repository

    Gu, Zhigang; Bü rck, Jochen; Bihlmeier, Angela; Liu, Jinxuan; Shekhah, Osama; Weidler, Peter G.; Azucena, Carlos; Wang, Zhengbang; Heiß ler, Stefan; Gliemann, Hartmut; Klopper, Wim; Ulrich, Anne S.; Wö ll, Christof H.

    2014-01-01

    Oriented circular dichroism (OCD) is explored and successfully applied to investigate chiral surface-anchored metal-organic frameworks (SURMOFs) based on camphoric acid (D- and Lcam) with the composition [Cu2(Dcam) 2x(Lcam)2-2x(dabco)]n (dabco=1,4-diazabicyclo- [2.2.2]-octane). The three-dimensional chiral SURMOFs with high-quality orientation were grown on quartz glass plates by using a layer-by-layer liquid-phase epitaxy method. The growth orientation, as determined by X-ray diffraction (XRD), could be switched between the [001] and [110] direction by using either OH- or COOH-terminated substrates. These SURMOFs were characterized by using OCD, which confirmed the ratio as well as the orientation of the enantiomeric linker molecules. Theoretical computations demonstrate that the OCD band intensities of the enantiopure [Cu2(Dcam)2(dabco)] n grown in different orientations are a direct result of the anisotropic nature of the chiral SURMOFs. Finally, the enantiopure [Cu 2(Dcam)2(dabco)]n and [Cu2(Lcam) 2(dabco)]n SURMOFs were loaded with the two chiral forms of ethyl lactate [(+)-ethyl-D-lactate and (-)-ethyl-L-lactate)]. An enantioselective enrichment of >60 % was observed by OCD when the chiral host scaffold was loaded from the racemic mixture. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Epitaxial YBa2Cu3O7 on biaxially textured (001) Ni: An approach to high critical current density superconducting tapes

    International Nuclear Information System (INIS)

    Norton, D.P.; Goyal, A.; Budai, J.D.

    1997-01-01

    In-plane aligned, c-axis oriented YBa 2 Cu 3 O 7 (YBCO) films with superconducting critical current densities, J c , as high as 700,000 amperes per square centimeter at 77 kelvin have been grown on thermo-mechanically, rolled-textured (001) Ni tapes using pulsed-laser deposition. Epitaxial growth of oxide buffer layers directly on biaxially textured Ni, formed by recrystallization of cold-rolled pure Ni, enables the growth of 1.5 micrometer-thick YBCO films with superconducting properties that are comparable to those observed for epitaxial films on single crystal oxide substrates. This result represents a viable approach for producing long-length superconducting tapes for high current, high field applications at 77 kelvin

  10. H{sub 2}O{sub 2}-molecular beam epitaxy of high quality ZnO

    Energy Technology Data Exchange (ETDEWEB)

    El Shaer, A.; Bakin, A.; Che Mofor, A.; Kreye, M.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Blaesing, J.; Krost, A. [Otto-von-Guericke-University, Institute of Experimental Physics, Magdeburg (Germany); Stoimenos, J. [Aristotele University, Physics Department, Thessaloniki (Greece); Pecz, B. [Hungarian Academy of Sciences, Research Institute for Technical Physics and Materials Science, P.O. Box 49, Budapest (Hungary)

    2007-07-15

    We have studied the growth and characterization of ZnO epilayers on (0001)-sapphire by H{sub 2}O{sub 2}-molecular beam epitaxy (MBE). A high temperature (HT) MgO buffer followed by a low-temperature ZnO buffer was introduced in order to accommodate the lattice mismatch between ZnO and sapphire. The surface morphology of the samples was studied using atomic force microscopy (AFM), and scanning electron microscopy (SEM). The crystalline quality of the layers was investigated by employing high resolution X-ray diffractometry (HRXRD) and high resolution transmission electron microscopy (HRTEM). The electrical properties of the grown ZnO layers were studied by Hall-effect measurements in a standard van der Pauw configuration. The measured surface roughness for the best layers is as low as 0.26 nm rms. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO heteroepitaxially grown on (0001)-sapphire with a HT MgO buffer layers. The influence of the growth conditions on the crystalline quality is discussed. The FWHM of the HRXRD (0002) rocking curves measured for the 2-inch ZnO-on-sapphire is as low as 27 arcsec with a very high lateral homogeneity across the whole 2-inch ZnO epilayers. The results indicate that H{sub 2}O{sub 2}-MBE is a suitable technique to fabricate ZnO epilayers of very high quality. (orig.)

  11. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  12. Surface photovoltage and photoluminescence study of thick Ga(In)AsN layers grown by liquid-phase epitaxy

    International Nuclear Information System (INIS)

    Donchev, V; Milanova, M; Lemieux, J; Shtinkov, N; Ivanov, I G

    2016-01-01

    We present an experimental and theoretical study of Ga(In)AsN layers with a thickness of around 1 μm grown by liquid-phase epitaxy (LPE) on n-type GaAs substrates. The samples are studied by surface photovoltage (SPV) spectroscopy and by photoluminescence spectroscopy. Theoretical calculations of the electronic structure and the spectral dependence of the dielectric function are carried out for different nitrogen concentrations using a full-band tight-binding approach in the sp 3 d 5 s*s N parameterisation. The SPV spectra measured at room temperature clearly show a red shift of the absorption edge with respect to the absorption of the GaAs substrate. This shift, combined with the results of the theoretical calculations, allows assessing the nitrogen concentration in different samples. The latter increases with increasing the In content. The analysis of the SPV phase spectra provides information about the alignment of the energy bands across the structures. The photoluminescence measurements performed at 2 K show a red shift of the emission energy with respect to GaAs, in agreement with the SPV results. (paper)

  13. Origin of the 2.45 eV luminescence band observed in ZnO epitaxial layers grown on c-plane sapphire by chemical vapour deposition

    International Nuclear Information System (INIS)

    Saroj, R K; Dhar, S

    2014-01-01

    Zinc oxide epitaxial layers have been grown on c-plane sapphire substrates by the chemical vapour deposition (CVD) technique. A structural study shows (0001)-oriented films with good crystalline quality. The temperature and excitation power dependence of the photoluminescence (PL) characteristics of these layers is studied as a function of various growth parameters, such as the growth temperature, oxygen flow rate and Zn flux, which suggest that the origin of the broad visible luminescence (VL), which peaks at 2.45 eV, is the transition between the conduction band and the Zn vacancy acceptor states. A bound excitonic transition observed at 3.32 eV in low temperature PL has been identified as an exciton bound to the neutral Zn vacancy. Our study also reveals the involvement of two activation processes in the dynamics of VL, which has been explained in terms of the fluctuation of the capture barrier height for the holes trapped in Zn vacancy acceptors. The fluctuation, which might be a result of the inhomogeneous distribution of Zn vacancies, is found to be associated with an average height of 7 and 90 meV, respectively, for the local and global maxima. (paper)

  14. Thin film growth of CaFe2As2 by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hatano, T; Fujimoto, R; Nakamura, I; Mori, Y; Ikuta, H; Kawaguchi, T; Harada, S; Ujihara, T

    2016-01-01

    Film growth of CaFe 2 As 2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe 2 As 2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch. (paper)

  15. Thin film growth of CaFe2As2 by molecular beam epitaxy

    Science.gov (United States)

    Hatano, T.; Kawaguchi, T.; Fujimoto, R.; Nakamura, I.; Mori, Y.; Harada, S.; Ujihara, T.; Ikuta, H.

    2016-01-01

    Film growth of CaFe2As2 was realized by molecular beam epitaxy on six different substrates that have a wide variation in the lattice mismatch to the target compound. By carefully adjusting the Ca-to-Fe flux ratio, we obtained single-phase thin films for most of the substrates. Interestingly, an expansion of the CaFe2As2 lattice to the out-of-plane direction was observed for all films, even when an opposite strain was expected. A detailed microstructure observation of the thin film grown on MgO by transmission electron microscope revealed that it consists of cube-on-cube and 45°-rotated domains. The latter domains were compressively strained in plane, which caused a stretching along the c-axis direction. Because the domains were well connected across the boundary with no appreciable discontinuity, we think that the out-of-plane expansion in the 45°-rotated domains exerted a tensile stress on the other domains, resulting in the unexpectedly large c-axis lattice parameter, despite the apparently opposite lattice mismatch.

  16. Epitaxial growth and structural characterization of Pb(Fe1/2Nb1/2)O3 thin films

    International Nuclear Information System (INIS)

    Peng, W.; Lemee, N.; Holc, J.; Kosec, M.; Blinc, R.; Karkut, M.G.

    2009-01-01

    We have grown lead iron niobate thin films with composition Pb(Fe 1/2 Nb 1/2 )O 3 (PFN) on (0 0 1) SrTiO 3 substrates by pulsed laser deposition. The influence of the deposition conditions on the phase purity was studied. Due to similar thermodynamic stability spaces, a pyrochlore phase often coexists with the PFN perovskite phase. By optimizing the kinetic parameters, we succeeded in identifying a deposition window which resulted in epitaxial perovskite-phase PFN thin films with no identifiable trace of impurity phases appearing in the X-ray diffractograms. PFN films having thicknesses between 20 and 200 nm were smooth and epitaxially oriented with the substrate and as demonstrated by RHEED streaks which were aligned with the substrate axes. X-ray diffraction showed that the films were completely c-axis oriented and of excellent crystalline quality with low mosaicity (X-ray rocking curve FWHM≤0.09 deg.). The surface roughness of thin films was also investigated by atomic force microscopy. The root-mean-square roughness varies between 0.9 nm for 50-nm-thick films to 16 nm for 100-nm-thick films. We also observe a correlation between grain size, surface roughness and film thickness.

  17. Role of oxygen in enhancing N-type conductivity of CuInS2 thin films

    International Nuclear Information System (INIS)

    Rabeh, M. Ben; Kanzari, M.; Rezig, B.

    2007-01-01

    Post-growth treatments in air atmosphere were performed on CuInS 2 films prepared by the single-source thermal evaporation method. Their effect on the structural, optical and electrical properties of the films was studied by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), optical reflection and transmission and resistance measurements. The films were annealed from 100 to 350 deg. C in air. The stability of the observed N-type conductivity after annealing depends strongly on the annealing temperature. Indeed it is shown that for annealing temperatures above 200 deg. C the N-type conductivity is stable. The resistance of the N-CuInS 2 thin films correlates well with the corresponding annealing temperature. The samples after annealing have direct bandgap energies of 1.45-1.50 eV

  18. InGaAs quantum dots grown by molecular beam epitaxy for light emission on Si substrates.

    Science.gov (United States)

    Bru-Chevallier, C; El Akra, A; Pelloux-Gervais, D; Dumont, H; Canut, B; Chauvin, N; Regreny, P; Gendry, M; Patriarche, G; Jancu, J M; Even, J; Noe, P; Calvo, V; Salem, B

    2011-10-01

    The aim of this study is to achieve homogeneous, high density and dislocation free InGaAs quantum dots grown by molecular beam epitaxy for light emission on silicon substrates. This work is part of a project which aims at overcoming the severe limitation suffered by silicon regarding its optoelectronic applications, especially efficient light emission device. For this study, one of the key points is to overcome the expected type II InGaAs/Si interface by inserting the InGaAs quantum dots inside a thin silicon quantum well in SiO2 fabricated on a SOI substrate. Confinement effects of the Si/SiO2 quantum well are expected to heighten the indirect silicon bandgap and then give rise to a type I interface with the InGaAs quantum dots. Band structure and optical properties are modeled within the tight binding approximation: direct energy bandgap is demonstrated in SiO2/Si/InAs/Si/SiO2 heterostructures for very thin Si layers and absorption coefficient is calculated. Thinned SOI substrates are successfully prepared using successive etching process resulting in a 2 nm-thick Si layer on top of silica. Another key point to get light emission from InGaAs quantum dots is to avoid any dislocations or defects in the quantum dots. We investigate the quantum dot size distribution, density and structural quality at different V/III beam equivalent pressure ratios, different growth temperatures and as a function of the amount of deposited material. This study was performed for InGaAs quantum dots grown on Si(001) substrates. The capping of InGaAs quantum dots by a silicon epilayer is performed in order to get efficient photoluminescence emission from quantum dots. Scanning transmission electronic microscopy images are used to study the structural quality of the quantum dots. Dislocation free In50Ga50As QDs are successfully obtained on a (001) silicon substrate. The analysis of QDs capped with silicon by Rutherford Backscattering Spectrometry in a channeling geometry is also presented.

  19. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  20. Ellipsometry of rough CdTe(211)B-Ge(211) surfaces grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Badano, Giacomo; Ballet, Philippe; Zanatta, Jean-Paul; Baudry, Xavier; Million, Alain; Garland, James W.

    2006-01-01

    The effect of surface roughness on the ellipsometric response of semiconductor surfaces is investigated. CdTe(211)B layers were grown on Ge(211) by molecular beam epitaxy using less than optimal growth conditions to enhance the formation of surface roughness. Their optical properties, measured by rotating-compensator ellipsometry, showed small but significant sample-to-sample differences not explainable in terms of nanometer-scale roughness. A critical-point analysis established that the critical-point structure of the dielectric function was the same for all samples. This result suggested that the observed sample-to-sample variations were due to macroscopic roughness, which scatters off-specular light into the detector, thereby causing errors. We introduced tentative corrections for off-specular reflection that fitted the observed differences and thus supported the idea that off-specular reflection was responsible for the observed differences. These results were obtained using CdTe but are easily extensible to other rough opaque materials

  1. Order parameters and magnetocrystalline anisotropy of off-stoichiometric D0{sub 22} Mn{sub 2.36}Ga epitaxial films grown on MgO (001) and SrTiO{sub 3} (001)

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hwachol; Sukegawa, Hiroaki, E-mail: sukegawa.hiroaki@nims.go.jp; Mitani, Seiji; Hono, Kazuhiro [National Institute for Materials Science (NIMS), Sengen 1-2-1, Tsukuba, Ibaraki 305-0047 (Japan)

    2015-07-21

    We study the relationship between long range order parameters and the magnetocrystalline anisotropy of off-stoichiometric D0{sub 22} Mn{sub 2.36}Ga (MnGa) epitaxial films grown on MgO (001) and SrTiO{sub 3} (STO) (001) single crystalline substrates. MnGa films deposited on MgO (001) show rather large irregular variation in magnetization with increasing substrate temperature in spite of the improved long range order of total atomic sites. The specific site long range order of Mn-I site characterized in the [101] orientation revealed the fluctuation of the occupation fraction of two Mn atomic sites with elevated substrate temperature, which appears more relevant to the observed magnetization change than the long range order of the total atomic sites. In case of MnGa films grown on the lattice-matched STO (001), high long range order of the total atomic sites in spite of the existence of secondary phase represents that the lattice mismatch plays a crucial role in determining the atomic arrangement of Mn and Ga atoms in the off-stoichiometric compositional case of MnGa.

  2. Composition of MBE-grown iron oxide films

    NARCIS (Netherlands)

    Voogt, F.C; Hibma, T; Smulders, P.J M; Niesen, L

    A wide range of iron oxides have been grown epitaxially on MgO(100) substrates using a dual beam technique in which the deposited iron is oxidised by a beam of NO2 particles. At high fluxes magnetite (Fe3-deltaO4) phases with compositions between near-stoichiometric magnetite (Fe3O4, delta = 0) and

  3. Epitaxial growth of indium oxyfluoride thin films by reactive pulsed laser deposition: Structural change induced by fluorine insertion into vacancy sites in bixbyite structure

    International Nuclear Information System (INIS)

    Okazaki, Sohei; Hirose, Yasushi; Nakao, Shoichiro; Yang, Chang; Harayama, Isao; Sekiba, Daiichiro; Hasegawa, Tetsuya

    2014-01-01

    InO x F y thin films were epitaxially grown on Y-stabilized ZrO 2 (111) substrates by reactive pulsed laser deposition. By changing the substrate temperature (T S ), we were able to control the fluorine content of the film. Phase-pure epitaxial thin films with bixbyite-like ordering in the anion-site occupancy were obtained at high T S (≥ 240 °C), where fluorine was inserted into the vacancy sites in the bixbyite lattice up to y / (x + y) ∼ 0.3. By decreasing T S , y / (x + y) increased and the bixbyite-like ordering disappeared; simultaneously, fluorine-rich and fluorine-poor subphases emerged. The films grown at T S ≤ 150 °C were amorphous and exhibited higher optical absorbance and electrical resistivity than the epitaxial films. - Highlights: • InO x F y epitaxial thin films with high fluorine concentration were grown on Y:ZrO 2 . • Anion composition and structural, optical and transport properties were studied. • Fluorine is topotactically inserted into the oxygen vacancy sites in bixbyite cell. • Bixbyite-like ordering of the anion site occupancy was conserved in y / (x + y) ≤ ∼ 0.3

  4. InAs nanocrystals on SiO2/Si by molecular beam epitaxy for memory applications

    International Nuclear Information System (INIS)

    Hocevar, Moiera; Regreny, Philippe; Descamps, Armel; Albertini, David; Saint-Girons, Guillaume; Souifi, Abdelkader; Gendry, Michel; Patriarche, Gilles

    2007-01-01

    We studied a memory structure based on InAs nanocrystals grown by molecular beam epitaxy directly on thermal SiO 2 on silicon. Both nanocrystal diameter and density can be controlled by growth parameters. Transmission electron microscopy analysis shows high crystallinity and low size dispersion. In an electrical test structure with a 3.5 nm tunnel oxide, we observed that 80% of the initial injected electrons remain stored in the InAs nanocrystals after 3 months and that the retention time for electrons in InAs nanocrystals is four orders of magnitude higher than in silicon nanocrystals

  5. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  6. Low-pressure CVD-grown β-Ga2O3 bevel-field-plated Schottky barrier diodes

    Science.gov (United States)

    Joishi, Chandan; Rafique, Subrina; Xia, Zhanbo; Han, Lu; Krishnamoorthy, Sriram; Zhang, Yuewei; Lodha, Saurabh; Zhao, Hongping; Rajan, Siddharth

    2018-03-01

    We report (010)-oriented β-Ga2O3 bevel-field-plated mesa Schottky barrier diodes grown by low-pressure chemical vapor deposition (LPCVD) using a solid Ga precursor and O2 and SiCl4 sources. Schottky diodes with good ideality and low reverse leakage were realized on the epitaxial material. Edge termination using beveled field plates yielded a breakdown voltage of -190 V, and maximum vertical electric fields of 4.2 MV/cm in the center and 5.9 MV/cm at the edge were estimated, with extrinsic R ON of 3.9 mΩ·cm2 and extracted intrinsic R ON of 0.023 mΩ·cm2. The reported results demonstrate the high quality of homoepitaxial LPCVD-grown β-Ga2O3 thin films for vertical power electronics applications, and show that this growth method is promising for future β-Ga2O3 technology.

  7. Resistivity Effects of Cation Ordering in Highly-Doped La2-xSrxCu4 Epitaxial Thin Films

    Science.gov (United States)

    Burquest, Franklin; Marmol, Rodrigo; Cox, Nicholas; Nelson-Cheeseman, Brittany

    Highly-doped La2-xSrxCuO4 (LSCO) films (0.5 causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in analogous epitaxial nickelate films. Thin film samples are grown concurrently to minimize extraneous effects on film structure and properties. Atomic force microscopy and x-ray reflectivity demonstrate that the films are single crystalline, epitaxial, and smooth. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.

  8. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  9. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  10. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  11. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  12. Structural and electrical properties of InAs/GaSb superlattices grown by metalorganic vapor phase epitaxy for midwavelength infrared detectors

    Energy Technology Data Exchange (ETDEWEB)

    Arikata, Suguru; Kyono, Takashi [Semiconductor Technologies Laboratory, Sumitomo Electric Industries, LTD., Hyogo (Japan); Miura, Kouhei; Balasekaran, Sundararajan; Inada, Hiroshi; Iguchi, Yasuhiro [Transmission Devices Laboratory, Sumitomo Electric Industries, LTD., Yokohama (Japan); Sakai, Michito [Sensor System Research Group, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Katayama, Haruyoshi [Space Technology Directorate I, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Kimata, Masafumi [College of Science and Engineering, Ritsumeikan University, Shiga (Japan); Akita, Katsushi [Sumiden Semiconductor Materials, LTD., Hyogo (Japan)

    2017-03-15

    InAs/GaSb superlattice (SL) structures were fabricated on GaSb substrates by metalorganic vapor phase epitaxy (MOVPE) toward midwavelength infrared (MWIR) photodiodes. Almost defect-free 200-period SLs with a strain-compensation interfacial layer were successfully fabricated and demonstrate an intense photoluminescence peak centered at 6.1 μm at 4 K and an external quantum efficiency of 31% at 3.5 μm at 20 K. These results indicate that the high-performance MWIR detectors can be fabricated in application with the InAs/GaSb SLs grown by MOVPE as an attractive method for production. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  14. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  15. Structural studies of mechano-chemically synthesized CuIn1-xGaxSe2 nanoparticles

    International Nuclear Information System (INIS)

    Vidhya, B.; Velumani, S.; Arenas-Alatorre, Jesus A.; Morales-Acevedo, Arturo; Asomoza, R.; Chavez-Carvayar, J.A.

    2010-01-01

    CuInGaSe 2 is a I-III-VI 2 semiconducting material of tetragonal chalcopyrite structure. It is a very prominent absorber layer for photovoltaic devices. Particle-based coating process for CIGS is considered to be promising technique with relatively simple procedures and low initial investment. In the present work CIGS nanoparticle precursors suitable for screen-printing ink has been prepared by ball milling. High purity elemental copper granules, selenium and indium powders and fine chips of gallium were used as starting materials. First the ball milling was carried out for CuIn 1-x Ga x Se 2 (x = 0.5) with (i) 10 ml of ethyl alcohol (ii) 5 ml of tetra ethylene glycol (wet) and (iii) 1 ml of ethylene diamine (semi-dry) for a milling time of 3 h and the results are not stoichiometric. In order to obtain an improved stoichiometric composition dry ball milling of elemental sources for three different compositions of CuIn 1-x Ga x Se 2 (x = 0.25, 0.5 and 0.75) has been carried out. X-ray diffraction analysis revealed the presence of (1 1 2), (2 2 0)/(2 0 4), (3 1 2)/(1 1 6), (4 0 0) and (3 3 2) reflections for all the milled powders. These reflections correspond to chalcopyrite structure of CIGS. Shift in peaks towards higher value of 2θ is observed with the increase in Ga composition. Average grain size calculated by Scherrer's formula is found to be around 13 nm for the dry samples milled for 1.5 h and 7-8 nm for the samples wet milled for 3 h. Lattice constants 'a' and 'c' are found to decrease with the increase in concentration of Gallium. FESEM analysis revealed a strong agglomeration of the particles and the particle size varied from 11 to 30 nm for the dry-milled samples. Composition of milled powders has been studied by energy dispersive X-ray analysis. TEM analysis revealed the presence of nanocrystalline particles and SAED pattern corresponds to (1 1 2), (2 2 0)/(2 0 4), (5 1 2)/(4 1 7) and (6 2 0)/(6 0 4) diffraction peaks of CIGS. From the HRTEM analysis

  16. Epitaxial Sb-doped SnO_2 and Sn-doped In_2O_3 transparent conducting oxide contacts on GaN-based light emitting diodes

    International Nuclear Information System (INIS)

    Tsai, Min-Ying; Bierwagen, Oliver; Speck, James S.

    2016-01-01

    We demonstrate the growth of epitaxial (100)-oriented, rutile Sb-doped SnO_2 (ATO) and (111)-oriented, cubic Sn-doped In_2O_3 (ITO) transparent conducting oxide (TCO) contacts on top of an InGaN/GaN(0001) light emitting diode (LED) by plasma-assisted molecular beam epitaxy (PAMBE). Both oxides form rotational domains. The in-plane epitaxial alignment of the two ITO(111) rotational domains to the GaN(0001) was: GaN [21-10]|| ITO_D_o_m_a_i_n_1[‐ 211]|| ITO_D_o_m_a_i_n_2[‐ 1‐12]. A growth temperature as low as 600 °C was necessary to realize a low contact resistance between ATO and the top p-GaN layer of the LED but resulted in non-optimal resistivity (3.4 × 10"− "3 Ω cm) of the ATO. The current–voltage characteristics of a processed LED, however, were comparable to that of a reference LED with a standard electron-beam evaporated ITO top contact. At short wavelengths, the optical absorption of ATO was lower than that of ITO, which is beneficial even for blue LEDs. Higher PAMBE growth temperatures resulted in lower resistive ATO but higher contact resistance to the GaN, likely by the formation of an insulating Ga_2O_3 interface layer. The ITO contact grown by PAMBE at 600 °C showed extremely low resistivity (10"−"4 Ω cm) and high crystalline and morphological quality. These proof-of-principle results may lead to the development of epitaxial TCO contacts with low resistivity, well-defined interfaces to the p-GaN to help minimize contact losses, and enable further epitaxy on top of the TCO. - Highlights: • Plasma-assisted molecular beam epitaxy of SnO_2:Sb (ATO) and In_2O_3:Sn (ITO) contacts • Working light emitting diodes processed with the ATO contact on the top p-GaN layer • Low growth temperature ensures low contact resistance (limiting interface reaction). • ITO showed significantly better structural and transport properties than ATO. • ATO showed higher optical transmission at short wavelengths than ITO.

  17. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  18. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  19. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  20. Low-temperature ballistic transport in nanoscale epitaxial graphene cross junctions

    OpenAIRE

    Weingart, S.; Bock, C.; Kunze, U.; Speck, F.; Seyller, Th.; Ley, L.

    2009-01-01

    We report on the observation of inertial-ballistic transport in nanoscale cross junctions fabricated from epitaxial graphene grown on SiC(0001). Ballistic transport is indicated by a negative bend resistance of R12,43 ~ 170 ohm which is measured in a non-local, four-terminal configuration at 4.2 K and which vanishes as the temperature is increased above 80 K.

  1. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  2. Influence of the bismuth deficit on the structural and electric properties of the Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub y} thin films synthesized by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Alami, H.El.; Rannou, I.; Deville Cavellin, C

    2004-07-15

    BiSrCaCuO thin films were grown on (1 0 0) SrTiO3 substrates by molecular beam epitaxy (MBE) with variation of the Bi deposition time. A new 2x212 family with x varied between 1 and 0 was grown. The X-ray study, the Rutherford back scattering (RBS), reflection high energy electron diffraction (RHEED) and atomic force microscopy (AFM) were used to characterize the films. It was shown that the growth method used leads to intergrowth nanostructures. The transport measurements of BiSrCaCuO thin films were performed. The results analysed using the theory of percolation show a 2D character of conductivity in the films studied.

  3. Growth temperature and dopant species effects on deep levels in Si grown by low temperature molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Sung-Yong; Jin, Niu; Rice, Anthony T.; Berger, Paul R.; Yu, Ronghua; Fang, Z-Q.; Thompson, Phillip E.

    2003-01-01

    Deep-level transient spectroscopy measurements were performed in order to investigate the effects of substrate growth temperature and dopant species on deep levels in Si layers during low-temperature molecular beam epitaxial growth. The structures studied were n + -p junctions using B doping for the p layer and p + -n junctions using P doping for the n layer. While the density of hole traps H1 (0.38-0.41 eV) in the B-doped p layers showed a clear increase with decreasing growth temperature from 600 to 370 degree sign C, the electron trap density was relatively constant. Interestingly, the minority carrier electron traps E1 (0.42-0.45 eV) and E2 (0.257 eV), found in the B-doped p layers, are similar to the majority carrier electron traps E11 (0.48 eV) and E22 (0.269 eV) observed in P-doped n layers grown at 600 degree sign C. It is hypothesized that these dominating electron traps are associated with pure divacancy defects and are independent of the dopant species

  4. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  5. Magneto-transport and thermoelectric properties of epitaxial FeSb{sub 2} thin film on MgO substrate

    Energy Technology Data Exchange (ETDEWEB)

    Duong, Anh Tuan; Rhim, S. H., E-mail: sonny@ulsan.ac.kr; Shin, Yooleemi; Nguyen, Van Quang; Cho, Sunglae, E-mail: slcho@ulsan.ac.kr [Department of Physics and Energy Harvest-Storage Research Center, University of Ulsan, Ulsan 680-749 (Korea, Republic of)

    2015-01-19

    We report magneto-transport and thermoelectric properties of FeSb{sub 2} thin film epitaxially grown on the MgO substrate using molecular beam epitaxy. The film exhibits compressive strain of 1.74% owing to large lattice mismatch, whose physical consequences are nontrivial. Magnetic phase has been changed from diamagnetic in bulk, as evidenced by anomalous Hall effect (AHE) and negative magneto-resistance (MR). The FeSb{sub 2} film is semiconducting without any metallic transition unlike the bulk counterpart. In particular, hysteresis in MR with distinct feature of AHE is evident with coercive field of 500 and 110 Oe for T = 20 and 50 K, respectively. Furthermore, from the Seebeck coefficients and temperature dependence of the resistivity, it is evident that the film is semiconducting with small band gap: 3.76 meV for T < 40 K and 13.48 meV for T > 40 K, respectively, where maximum thermoelectric power factor of 12 μV/cm·K at T = 50 K.

  6. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  7. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  8. Surface morphology and in-plane-epitaxy of SmBa2Cu3O7-δ films on SrTiO3 (001) substrates studied by STM and grazing incidence x-ray diffraction

    DEFF Research Database (Denmark)

    Jiang, Q.D.; Smilgies, D.M.; Feidenhans'l, R.

    1996-01-01

    The surface morphology and in-plane epitaxy of thin films of SmBa(2)Cu3O(7-delta) (Sm-BCO) grown on SrTiO3 (001) substrates with various thicknesses have been investigated by scanning tunneling microscopy (STM) and grazing incidence x-ray diffraction (GIXRD). As revealed by GIXRD, SmBCO films as ...... films above h(c2), introduction of screw dislocations leads to spiral growth.......The surface morphology and in-plane epitaxy of thin films of SmBa(2)Cu3O(7-delta) (Sm-BCO) grown on SrTiO3 (001) substrates with various thicknesses have been investigated by scanning tunneling microscopy (STM) and grazing incidence x-ray diffraction (GIXRD). As revealed by GIXRD, SmBCO films...... substrate. Three different types of surface morphology were observed by STM with increasing film thickness h: a) 2D growth for hh(c2). With GIXRD, a density modulation is observed in the films with a thickness below h(c2). For thicker...

  9. Angle-resolved photoemission spectroscopy of strontium lanthanum copper oxide thin films grown by molecular-beam epitaxy

    Science.gov (United States)

    Harter, John Wallace

    Among the multitude of known cuprate material families and associated structures, the archetype is "infinite-layer" ACuO2, where perfectly square and flat CuO2 planes are separated by layers of alkaline earth atoms. The infinite-layer structure is free of magnetic rare earth ions, oxygen chains, orthorhombic distortions, incommensurate superstructures, ordered vacancies, and other complications that abound among the other material families. Furthermore, it is the only cuprate that can be made superconducting by both electron and hole doping, making it a potential platform for decoding the complex many-body interactions responsible for high-temperature superconductivity. Research on the infinite-layer compound has been severely hindered by the inability to synthesize bulk single crystals, but recent progress has led to high-quality superconducting thin film samples. Here we report in situ angle-resolved photoemission spectroscopy measurements of epitaxially-stabilized Sr1-chiLa chiCuO2 thin films grown by molecular-beam epitaxy. At low doping, the material exhibits a dispersive lower Hubbard band typical of other cuprate parent compounds. As carriers are added to the system, a continuous evolution from Mott insulator to superconducting metal is observed as a coherent low-energy band develops on top of a concomitant remnant lower Hubbard band, gradually filling in the Mott gap. For chi = 0.10, our results reveal a strong coupling between electrons and (pi,pi) anti-ferromagnetism, inducing a Fermi surface reconstruction that pushes the nodal states below the Fermi level and realizing nodeless superconductivity. Electron diffraction measurements indicate the presence of a surface reconstruction that is consistent with the polar nature of Sr1-chiLachiCuO2. Most knowledge about the electron-doped side of the cuprate phase diagram has been deduced by generalizing from a single material family, Re2-chi CechiCuO4, where robust antiferromagnetism has been observed past chi

  10. Epitaxial growth of indium oxyfluoride thin films by reactive pulsed laser deposition: Structural change induced by fluorine insertion into vacancy sites in bixbyite structure

    Energy Technology Data Exchange (ETDEWEB)

    Okazaki, Sohei [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Hirose, Yasushi, E-mail: hirose@chem.s.u-tokyo.ac.jp [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Yang, Chang [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Harayama, Isao; Sekiba, Daiichiro [Tandem Accelerator Complex, University of Tsukuba, Tennodai 1-1-1, Tsukuba, Ibaraki 305-8577 (Japan); Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency (JST), 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan); Department of Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033 (Japan)

    2014-05-30

    InO{sub x}F{sub y} thin films were epitaxially grown on Y-stabilized ZrO{sub 2} (111) substrates by reactive pulsed laser deposition. By changing the substrate temperature (T{sub S}), we were able to control the fluorine content of the film. Phase-pure epitaxial thin films with bixbyite-like ordering in the anion-site occupancy were obtained at high T{sub S} (≥ 240 °C), where fluorine was inserted into the vacancy sites in the bixbyite lattice up to y / (x + y) ∼ 0.3. By decreasing T{sub S}, y / (x + y) increased and the bixbyite-like ordering disappeared; simultaneously, fluorine-rich and fluorine-poor subphases emerged. The films grown at T{sub S} ≤ 150 °C were amorphous and exhibited higher optical absorbance and electrical resistivity than the epitaxial films. - Highlights: • InO{sub x}F{sub y} epitaxial thin films with high fluorine concentration were grown on Y:ZrO{sub 2}. • Anion composition and structural, optical and transport properties were studied. • Fluorine is topotactically inserted into the oxygen vacancy sites in bixbyite cell. • Bixbyite-like ordering of the anion site occupancy was conserved in y / (x + y) ≤ ∼ 0.3.

  11. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  12. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  13. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  14. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  15. Epitaxial growth of mixed conducting layered Ruddlesden–Popper Lan+1NinO3n+1 (n = 1, 2 and 3) phases by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.

    2013-01-01

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time

  16. Integration of epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films on GaN/AlGaN/GaN/Si(111) substrates using rutile TiO{sub 2} buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)

    2015-09-30

    The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.

  17. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  18. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra

    2017-08-24

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  19. High resolution x-ray diffraction study of the substrate temperature and thickness dependent microstructure of reactively sputtered epitaxial ZnO films

    KAUST Repository

    Singh, Devendra; Kumar, Ravi; Ganguli, Tapas; Major, Syed S

    2017-01-01

    Epitaxial ZnO films were grown on c-sapphire by reactive sputtering of zinc target in Ar-O2 mixture. High resolution X-ray diffraction measurements were carried out to obtain lateral and vertical coherence lengths, crystallite tilt and twist, micro-strain and densities of screw and edge dislocations in epilayers of different thickness (25 - 200 nm) and those grown at different temperatures (100 - 500 °C). phgr-scans indicate epitaxial growth in all the cases, although epilayers grown at lower substrate temperatures (100 °C and 200 °C) and those of smaller thickness (25 nm and 50 nm) display inferior microstructural parameters. This is attributed to the dominant presence of initially grown strained 2D layer and subsequent transition to an energetically favorable mode. With increase in substrate temperature, the transition shifts to lower thickness and growth takes place through the formation of 2D platelets with intermediate strain, over which 3D islands grow. Consequently, 100 nm thick epilayers grown at 300 °C display the best microstructural parameters (micro-strain ~1.2 x 10-3, screw and edge dislocation densities ~1.5 x 1010 cm-2 and ~2.3 x 1011 cm-2, respectively). A marginal degradation of microstructural parameters is seen in epilayers grown at higher substrate temperatures, due to the dominance of 3D hillock type growth.

  20. Optical properties of a-plane (Al, Ga)N/GaN multiple quantum wells grown on strain engineered Zn1-xMgxO layers by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Xia, Y.; Vinter, B.; Chauveau, J.-M.; Brault, J.; Nemoz, M.; Teisseire, M.; Leroux, M.

    2011-01-01

    Nonpolar (1120) Al 0.2 Ga 0.8 N/GaN multiple quantum wells (MQWs) have been grown by molecular beam epitaxy on (1120) Zn 0.74 Mg 0.26 O templates on r-plane sapphire substrates. The quantum wells exhibit well-resolved photoluminescence peaks in the ultra-violet region, and no sign of quantum confined Stark effect is observed in the complete multiple quantum well series. The results agree well with flat band quantum well calculations. Furthermore, we show that the MQW structures are strongly polarized along the [0001] direction. The origin of the polarization is discussed in terms of the strain anisotropy dependence of the exciton optical oscillator strengths.

  1. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  2. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  3. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  4. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Sheng-Yao, E-mail: shenghu2729@yahoo.com [Department of Digital Technology Design, Tungfang Design Institute, Hunei, Kaohsiung 82941, Taiwan (China); Chou, Wu-Ching [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Weng, Yu-Hsiang [Department of Electrical Engineering, National Taiwan Ocean University, Keelung 20224, Taiwan (China)

    2015-07-05

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents.

  5. Effects of magnesium contents in ZnMgO ternary alloys grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hu, Sheng-Yao; Chou, Wu-Ching; Weng, Yu-Hsiang

    2015-01-01

    Highlights: • ZnMgO alloys with different Mg contents have been produced by MBE. • Optical and structural properties have been measured and investigated. • Stress is tensile and is increased as the increasing of Mg contents. • The asymmetric behavior of the Raman mode was influenced due to the Mg contents. - Abstract: Ternary alloys of ZnMgO samples with different magnesium contents have been grown by molecular beam epitaxy on the sapphire substrates. Room temperature photoluminescence energy of ZnMgO shifted as high as 3.677 eV by increasing Mg contents corresponding to the higher Urbach average localization energy which indicates more randomness in the alloys with higher Mg contents. XRD results are also verified that the c-axis length decreases as the increasing Mg contents linking to the increased tensile stress produced by the Mg atoms. Raman spectra analyzed by the spatial correlation model to describe that the linewidth Γ is decreased but the correlation length L is increased as the increasing of Mg contents

  6. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Sun, Y. T.; Omanakuttan, G.; Lourdudoss, S.

    2015-01-01

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reduction effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm 2 at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm 2 , an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon

  7. Ab initio calculation of band alignment of epitaxial La2O3 on Si(111 substrate

    Directory of Open Access Journals (Sweden)

    Alberto Debernardi

    2015-08-01

    Full Text Available By means of plane wave pseudopotential method we have studied the electronic properties of the heterostructure formed by an high dielectric constant (k oxide, the hexagonal La2O3 epitaxially grown with (0001-orientation on Si (111 substrate. We found that for La2O3 both the dielectric constant along the growth direction and the band gap are larger in the epitaxial film than in the bulk. By super-cell techniques we have computed the band alignment of the junction finding a valence band offset and a conduction band offset of ~1.6 eV and ~1.7 eV respectively. We demonstrate that the band alignment can be engineered by δ-doping the interface: our simulations show that, by doping the interface with S or Se monolayer, the valence (conduction band offset increases (decreases of about 0.5 eV without the formation of spurious electronic states in the semiconductor band-gap. The simulation of the critical thickness of pseudomorphic Lanthana film complete the work. Our results are relevant for the realization of a new generation of devices based on ultra-scaled complementary metal oxides semiconductors (CMOS technology.

  8. Passivation of MBE grown InGaSb/InAs superlattice photodiodes

    Science.gov (United States)

    Hill, Cory J.; Keo, Sam S.; Mumolo, Jason M.; Gunapala, Sarath D.

    2005-01-01

    We have performed wet chemical passivation tests on InGaSb/InAs superlattice photodiode structures grown molecular beam epitaxy. The details of the devices growth and characterization as well as the results of chemical passivation involving RuCl3 and H2SO4 with SiO2 dielectric depositions are presented.

  9. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    CERN Document Server

    Ofuchi, H; Ono, K; Oshima, M; Akinaga, H; Manago, T

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy.

  10. Fluorescence extended X-ray absorption fine structure analysis of half-metallic ferromagnet 'zinc-blende CrAs' grown on GaAs by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ofuchi, H.; Mizuguchi, M.; Ono, K.; Oshima, M.; Akinaga, H.; Manago, T.

    2003-01-01

    In this work, geometric structures for a half-metallic ferromagnet 'zinc-blende CrAs', which showed ferromagnetic behavior beyond room temperature, were investigated using fluorescence extended X-ray absorption fine structure (EXAFS) measurement. The EXAFS measurements revealed that As atoms around Cr atoms in the 2 nm CrAs film grown on a GaAs(0 0 1) substrate were coordinated tetrahedrally, indicating formation of zinc-blende CrAs. The Cr-As bond length in the zinc-blende CrAs is 2.49 A. This value is close to that which was estimated from the lattice constant (5.82 A) of ferromagnetic zinc-blende CrAs calculated by full-potential linearized augmented-plane wave method. The EXAFS analysis show that the theoretically predicted zinc-blende CrAs can be fabricated on GaAs(0 0 1) substrate by low-temperature molecular-beam epitaxy

  11. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  12. Growth and characterization of molecular beam epitaxial GaAs layers on porous silicon

    Science.gov (United States)

    Lin, T. L.; Liu, J. K.; Sadwick, L.; Wang, K. L.; Kao, Y. C.

    1987-01-01

    GaAs layers have been grown on porous silicon (PS) substrates with good crystallinity by molecular beam epitaxy. In spite of the surface irregularity of PS substrates, no surface morphology deterioration was observed on epitaxial GaAs overlayers. A 10-percent Rutherford backscattering spectroscopy minimum channeling yield for GaAs-on-PS layers as compared to 16 percent for GaAs-on-Si layers grown under the same condition indicates a possible improvement of crystallinity when GaAs is grown on PS. Transmission electron microscopy reveals that the dominant defects in the GaAs-on-PS layers are microtwins and stacking faults, which originate from the GaAs/PS interface. GaAs is found to penetrate into the PS layers. n-type GaAs/p-type PS heterojunction diodes were fabricated with good rectifying characteristics.

  13. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  14. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  15. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)

    2016-04-25

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.

  16. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  17. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  18. Effects of a finite melt on the thickness and composition of liquid phase epitaxial InGaAsP and InGaAs layers grown by the diffusion-limited step-cooling technique

    International Nuclear Information System (INIS)

    Cook, L.W.; Tashima, M.M.; Stillman, G.E.

    1980-01-01

    The thickness of InGaAsP (lambda/sub g/=1.15 μm) and InGaAs (lambda/sub g/=1.68 μm) liquid phase epitaxial layers grown on (100) InP substrates by the step-cooling technique has been measured as a function of growth time. (lambda/sub g/ is defined as the wavelength corresponding to the energy gap of the epitaxial layer.) For growth times much less than the shortest diffusion time tau/sub i/=l 2 /D/sub i/ of the melt constituents, where l is the melt height and D/sub i/ is the diffusivity of each component in the melt, the thickness is consistent with diffusion-limited theory, and the composition is constant. The time at which the growth rate deviates sharply from diffusion-limited theory and beyond which constant composition growth can no longer be maintained has been determined for the melt size used in our experiments and can be estimated for any melt size

  19. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  20. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.