WorldWideScience

Sample records for epitaxial-side-down mounting process

  1. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  2. Epi-Side-Down Mounting of Interband Cascade Lasers for Army Applications

    Science.gov (United States)

    2006-11-01

    retain the principal advantage of electron recycling . However, unlike the QCL, the ICL relies on the cascading of interband optical transitions as...9.0 Cu 393 17 SiC 120 4 AlN 230 (high grade –Tsekoun 2006) 4.5, 4.3 Indium 83.7 24.8@ 20C 2 device ridge and an effective heat spreader ...65.3 K/W M271 epi-side down 8-μm x 1-mm mesa TmaxCW= 212K 4 were vital and survived multiple cryogenic to room temperature recyclings . Fig. 4

  3. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  4. Assembling surface mounted components on ink-jet printed double sided paper circuit board

    International Nuclear Information System (INIS)

    Andersson, Henrik A; Manuilskiy, Anatoliy; Haller, Stefan; Sidén, Johan; Nilsson, Hans-Erik; Hummelgård, Magnus; Olin, Håkan; Hummelgård, Christine

    2014-01-01

    Printed electronics is a rapidly developing field where many components can already be manufactured on flexible substrates by printing or by other high speed manufacturing methods. However, the functionality of even the most inexpensive microcontroller or other integrated circuit is, at the present time and for the foreseeable future, out of reach by means of fully printed components. Therefore, it is of interest to investigate hybrid printed electronics, where regular electrical components are mounted on flexible substrates to achieve high functionality at a low cost. Moreover, the use of paper as a substrate for printed electronics is of growing interest because it is an environmentally friendly and renewable material and is, additionally, the main material used for many packages in which electronics functionalities could be integrated. One of the challenges for such hybrid printed electronics is the mounting of the components and the interconnection between layers on flexible substrates with printed conductive tracks that should provide as low a resistance as possible while still being able to be used in a high speed manufacturing process. In this article, several conductive adhesives are evaluated as well as soldering for mounting surface mounted components on a paper circuit board with ink-jet printed tracks and, in addition, a double sided Arduino compatible circuit board is manufactured and programmed. (paper)

  5. Assembling surface mounted components on ink-jet printed double sided paper circuit board.

    Science.gov (United States)

    Andersson, Henrik A; Manuilskiy, Anatoliy; Haller, Stefan; Hummelgård, Magnus; Sidén, Johan; Hummelgård, Christine; Olin, Håkan; Nilsson, Hans-Erik

    2014-03-07

    Printed electronics is a rapidly developing field where many components can already be manufactured on flexible substrates by printing or by other high speed manufacturing methods. However, the functionality of even the most inexpensive microcontroller or other integrated circuit is, at the present time and for the foreseeable future, out of reach by means of fully printed components. Therefore, it is of interest to investigate hybrid printed electronics, where regular electrical components are mounted on flexible substrates to achieve high functionality at a low cost. Moreover, the use of paper as a substrate for printed electronics is of growing interest because it is an environmentally friendly and renewable material and is, additionally, the main material used for many packages in which electronics functionalities could be integrated. One of the challenges for such hybrid printed electronics is the mounting of the components and the interconnection between layers on flexible substrates with printed conductive tracks that should provide as low a resistance as possible while still being able to be used in a high speed manufacturing process. In this article, several conductive adhesives are evaluated as well as soldering for mounting surface mounted components on a paper circuit board with ink-jet printed tracks and, in addition, a double sided Arduino compatible circuit board is manufactured and programmed.

  6. Assembling surface mounted components on ink-jet printed double sided paper circuit board

    Energy Technology Data Exchange (ETDEWEB)

    Andersson, Henrik A; Manuilskiy, Anatoliy; Haller, Stefan; Sidén, Johan; Nilsson, Hans-Erik [Department of Electronics Design, Mid Sweden University, SE-851 70 Sundsvall (Sweden); Hummelgård, Magnus; Olin, Håkan [Department of Natural Science, Mid Sweden University, SE-851 70 Sundsvall (Sweden); Hummelgård, Christine [Acreo Swedish ICT AB, Håstaholmen 4, SE-824 42 Hudiksvall (Sweden)

    2014-03-07

    Printed electronics is a rapidly developing field where many components can already be manufactured on flexible substrates by printing or by other high speed manufacturing methods. However, the functionality of even the most inexpensive microcontroller or other integrated circuit is, at the present time and for the foreseeable future, out of reach by means of fully printed components. Therefore, it is of interest to investigate hybrid printed electronics, where regular electrical components are mounted on flexible substrates to achieve high functionality at a low cost. Moreover, the use of paper as a substrate for printed electronics is of growing interest because it is an environmentally friendly and renewable material and is, additionally, the main material used for many packages in which electronics functionalities could be integrated. One of the challenges for such hybrid printed electronics is the mounting of the components and the interconnection between layers on flexible substrates with printed conductive tracks that should provide as low a resistance as possible while still being able to be used in a high speed manufacturing process. In this article, several conductive adhesives are evaluated as well as soldering for mounting surface mounted components on a paper circuit board with ink-jet printed tracks and, in addition, a double sided Arduino compatible circuit board is manufactured and programmed. (paper)

  7. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  8. Study of wavefront error and polarization of a side mounted infrared window

    Science.gov (United States)

    Liu, Jiaguo; Li, Lin; Hu, Xinqi; Yu, Xin

    2008-03-01

    The wavefront error and polarization of a side mounted infrared window made of ZnS are studied. The Infrared windows suffer from temperature gradient and stress during their launch process. Generally, the gradient in temperature changes the refractive index of the material whereas stress produces deformation and birefringence. In this paper, a thermal finite element analysis (FEA) of an IR window is presented. For this purpose, we employed an FEA program Ansys to obtain the time-varying temperature field. The deformation and stress of the window are derived from a structural FEA with the aerodynamic force and the temperature field previously obtained as being the loads. The deformation, temperature field, stress field, ray tracing and Jones Calculus are used to calculate the wavefront error and the change of polarization state.

  9. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  10. Interband type-II miniband-to-bound state diode lasers for the midinfrared

    International Nuclear Information System (INIS)

    Mermelstein, C.; Schmitz, J.; Kiefer, R.; Walther, M.; Wagner, J.

    2004-01-01

    A design for midinfrared diode lasers based on interband type-II miniband-to-bound state transitions is proposed and has been demonstrated experimentally. Type-II miniband-to-bound state laser structures emitting at 3.25 μm with active regions consisting of 5 and 10 W periods were grown by solid-source molecular-beam epitaxy and processed into ridge waveguide lasers. Substrate-side down mounted devices with a 10 period active region and uncoated facets could be operated in continuous-wave (cw) mode up to 185 K and as high as 260 K in pulsed mode. A high characteristic temperature of 100 K has been achieved for heat-sink temperatures below 140 K, decreasing to 33 K for the 140 to 185 K interval. At 110 K, a 5 period laser structure exhibited a threshold current density of 177 A/cm 2 and a slope efficiency of 61 mW/A. Single-ended output powers of 144 mW in cw mode and exceeding 330 mW in pulsed operation were obtained for a substrate-side down mounted 5 period diode laser with high-reflection/antireflection coated mirror facets, operated at 110 K

  11. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  12. A simplified chair-side remount technique using customized mounting platforms.

    Science.gov (United States)

    Chauhan, Mamta Devendrakumar; Dange, Shankar Pandharinath; Khalikar, Arun Narayan; Vaidya, Smita Padmakar

    2012-08-01

    Correct occlusal relationships are part of the successful prosthetic treatment for edentulous patients. Fabrication of complete dentures comprises of clinical and laboratory procedures that should be executed accurately for achieving success with fabricated dentures. Errors occurring during the clinical and laboratory procedures of a denture may subsequently lead to the occlusal errors in the final prosthesis. These occlusal errors can be corrected in two ways: i) in patient's mouth ii) by recording new centric relation and remounting dentures on an articulator. The latter method is more feasible because the mobility of denture base on the mucosa in oral cavity does not permit the identification of premature contacts in centric occlusion and tooth guided eccentric excursions. This article describes a modest and effective clinical chair-side remount procedure using customized mounting platforms.

  13. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  14. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  15. Down-side Risk Metrics as Portfolio Diversification Strategies across the GFC

    NARCIS (Netherlands)

    D.E. Allen (David); M.J. McAleer (Michael); R.J. Powell (Robert); A.K. Singh (Abhay)

    2015-01-01

    textabstractThis paper features an analysis of the effectiveness of a range of portfolio diversification strategies, with a focus on down-side risk metrics, as a portfolio diversification strategy in a European market context. We apply these measures to a set of daily arithmetically compounded

  16. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  17. ''Top-down'' versus ''side-on'' viewing of the inductively coupled plasma

    International Nuclear Information System (INIS)

    Faires, L.M.; Bieniewski, T.M.; Apel, C.T.; Niemczyk, T.M.

    1985-01-01

    The inductively coupled plasma is viewed by a ''top-down'' optical configuration, and the analytical performance is compared to conventional ''side-on'' viewing in terms of sensitivity, detection limits, linear dynamical range, self-reversal effects, and multielement performance. This comparison is made for a selection of eleven atom and ion lines of eight elements. The results of this study indicate distinct advantages in ''top-down'' viewing including improved sensitivity, lower detection limits, better signal-to-background ratios, and better compromise viewing position for multielement analysis. An exception to these advantages is increased self-absorption effects observed for the alkali elements

  18. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  19. Processing and Representation of Arguments in One-Sided Texts about Disputed Topics

    Science.gov (United States)

    Wolfe, Michael B.; Tanner, Shawna M.; Taylor, Andrew R.

    2013-01-01

    We examine students' processing and representation of arguments and counterarguments in one-sided scientific texts. In Experiment 1, students read texts about evolution and TV violence. Sentence reading times indicated that subjects slowed down reading to the extent that arguments were both more consistent, and inconsistent, with the text…

  20. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  1. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  2. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  3. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  4. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  5. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Science.gov (United States)

    Meng, Siqin; Yue, Zhenxing; Zhang, Xiaozhi; Li, Longtu

    2014-01-01

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  6. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Siqin; Yue, Zhenxing, E-mail: yuezhx@tsinghua.edu.cn; Zhang, Xiaozhi; Li, Longtu

    2014-01-30

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  7. Down-Side Risk Metrics as Portfolio Diversification Strategies across the Global Financial Crisis

    Directory of Open Access Journals (Sweden)

    David E. Allen

    2016-06-01

    Full Text Available This paper features an analysis of the effectiveness of a range of portfolio diversification strategies, with a focus on down-side risk metrics, as a portfolio diversification strategy in a European market context. We apply these measures to a set of daily arithmetically-compounded returns, in U.S. dollar terms, on a set of ten market indices representing the major European markets for a nine-year period from the beginning of 2005 to the end of 2013. The sample period, which incorporates the periods of both the Global Financial Crisis (GFC and the subsequent European Debt Crisis (EDC, is a challenging one for the application of portfolio investment strategies. The analysis is undertaken via the examination of multiple investment strategies and a variety of hold-out periods and backtests. We commence by using four two-year estimation periods and a subsequent one-year investment hold out period, to analyse a naive 1/N diversification strategy and to contrast its effectiveness with Markowitz mean variance analysis with positive weights. Markowitz optimisation is then compared to various down-side investment optimisation strategies. We begin by comparing Markowitz with CVaR, and then proceed to evaluate the relative effectiveness of Markowitz with various draw-down strategies, utilising a series of backtests. Our results suggest that none of the more sophisticated optimisation strategies appear to dominate naive diversification.

  8. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  9. Hole-thru-laminate mounting supports for photovoltaic modules

    Science.gov (United States)

    Wexler, Jason; Botkin, Jonathan; Culligan, Matthew; Detrick, Adam

    2015-02-17

    A mounting support for a photovoltaic module is described. The mounting support includes a pedestal having a surface adaptable to receive a flat side of a photovoltaic module laminate. A hole is disposed in the pedestal, the hole adaptable to receive a bolt or a pin used to couple the pedestal to the flat side of the photovoltaic module laminate.

  10. Steam generators secondary side chemical cleaning at Point Lepreau using the Siemen's high temperature process

    International Nuclear Information System (INIS)

    Verma, K.; MacNeil, C.; Odar, S.

    1996-01-01

    The secondary sides of all four steam generators at the Point Lepreau Nuclear Generating Stations were cleaned during the 1995 annual outage run-down using the Siemens high temperature chemical cleaning process. Traditionally all secondary side chemical cleaning exercises in CANDU as well as the other nuclear power stations in North America have been conducted using a process developed in conjunction with the Electric Power Research Institute (EPRI). The Siemens high temperature process was applied for the first time in North America at the Point Lepreau Nuclear Generating Station (PLGS). The paper discusses experiences related to the pre and post award chemical cleaning activities, chemical cleaning application, post cleaning inspection results and waste handling activities. (author)

  11. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  12. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  13. Characteristics of surface mount low barrier silicon Schottky diodes with boron contamination in the substrate–epitaxial layer interface

    International Nuclear Information System (INIS)

    Pal, Debdas; Hoag, David; Barter, Margaret

    2012-01-01

    Unusual negative resistance characteristics were observed in low barrier HMIC (Heterolithic Microwave Integrated Circuit) silicon Schottky diodes with HF (hydrofluoric acid)/IPA (isopropyl alcohol) vapor clean prior to epitaxial growth of silicon. SIMS (secondary ion mass spectroscopy) analysis and the results of the buried layer structure confirmed boron contamination in the substrate/epitaxial layer interface. Consequently the structure turned into a thyristor like p-n-p-n device. A dramatic reduction of boron contamination was found in the wafers with H 2 0/HCl/HF dry only clean prior to growth, which provided positive resistance characteristics. Consequently the mean differential resistance at 10 mA was reduced to about 8.1 Ω. The lower series resistance (5.6–5.9 Ω) and near 1 ideality factor (1.03–1.06) of the Schottky devices indicated the good quality of the epitaxial layer. (paper)

  14. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  15. Process for growing a film epitaxially upon an oxide surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1995-01-01

    A process and structure wherein a film comprised of a perovskite or a spinel is built epitaxially upon a surface, such as an alkaline earth oxide surface, involves the epitaxial build up of alternating constituent metal oxide planes of the perovskite or spinel. The first layer of metal oxide built upon the surface includes a metal element which provides a small cation in the crystalline structure of the perovskite or spinel, and the second layer of metal oxide built upon the surface includes a metal element which provides a large cation in the crystalline structure of the perovskite or spinel. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  16. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  17. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  18. Some new understanding on the characteristics of geological structure and uranium metallogenetic prospect on both sides of the Shandianhe down-faulted zone

    International Nuclear Information System (INIS)

    Zhang Xuequan

    1992-01-01

    On the basis of the systematic work in the field and at the laboratory, the metallogenetic prognosis map (1:100000) of geological structures and uranium metallogenetic prospect on both sides of the Shandianhe down-faulted zone is compiled. According to this, the regional setting of metallogenesis is emphatically expounded and some new understanding is presented. After the detailed study on the characteristics of geological structures on both sides of the Shangdianhe down-faulted zone, the metallogenetic prospective area are selected and the further prospecting targets in the area are suggested

  19. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  20. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  1. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  2. Comparison of vehicle-mounted forward-looking polarimetric infrared and downward-looking infrared sensors for landmine detection

    NARCIS (Netherlands)

    Cremer, F.; Schavemaker, J.G.M.; Jong, W. de; Schutte, K.

    2003-01-01

    This paper gives a comparison of two vehicle-mounted infrared systems for landmine detection. The first system is a down-ward looking standard infrared camera using processing methods developed within the EU project LOTUS. The second system is using a forward-looking polarimetric infrared camera.

  3. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  4. Digital Image Processing Overview For Helmet Mounted Displays

    Science.gov (United States)

    Parise, Michael J.

    1989-09-01

    Digital image processing provides a means to manipulate an image and presents a user with a variety of display formats that are not available in the analog image processing environment. When performed in real time and presented on a Helmet Mounted Display, system capability and flexibility are greatly enhanced. The information content of a display can be increased by the addition of real time insets and static windows from secondary sensor sources, near real time 3-D imaging from a single sensor can be achieved, graphical information can be added, and enhancement techniques can be employed. Such increased functionality is generating a considerable amount of interest in the military and commercial markets. This paper discusses some of these image processing techniques and their applications.

  5. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  6. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  7. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  8. Liquid phase epitaxy of abrupt junctions in InAs and studies of injection radiative tunneling processes

    International Nuclear Information System (INIS)

    Bull, D.J.

    1977-01-01

    The p-n junction in a InAs crystal, by liquid phase epitaxy is obtained. The processes of injection and tunneling radiative recombination by emitted radiation from active region of p-n junction for low injection current are studied. (M.C.K.) [pt

  9. Charged particle detection performances of CMOS pixel sensors produced in a 0.18 um process with a high resistivity epitaxial layer

    CERN Document Server

    Senyukov, Serhiy; Besson, Auguste; Claus, Gilles; Cousin, Loic; Dorokhov, Andrei; Dulinski, Wojciech; Goffe, Mathieu; Hu-Guo, Christine; Winter, Marc

    2013-01-01

    The apparatus of the ALICE experiment at CERN will be upgraded in 2017/18 during the second long shutdown of the LHC (LS2). A major motivation for this upgrade is to extend the physics reach for charmed and beauty particles down to low transverse momenta. This requires a substantial improvement of the spatial resolution and the data rate capability of the ALICE Inner Tracking System (ITS). To achieve this goal, the new ITS will be equipped with 50 um thin CMOS Pixel Sensors (CPS) covering either the 3 innermost layers or all the 7 layers of the detector. The CPS being developed for the ITS upgrade at IPHC (Strasbourg) is derived from the MIMOSA 28 sensor realised for the STAR-PXL at RHIC in a 0.35 um CMOS process. In order to satisfy the ITS upgrade requirements in terms of readout speed and radiation tolerance, a CMOS process with a reduced feature size and a high resistivity epitaxial layer should be exploited. In this respect, the charged particle detection performance and radiation hardness of the TowerJa...

  10. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  11. Organization and processes of the BN-600 reactor mounting

    International Nuclear Information System (INIS)

    Dubrovin, E.Z.; Karpenko, V.N.; Takhtaulov, V.M.

    1982-01-01

    Structural peculiarities of the BN-600 reactor plant are considered. Experience of metal structure mounting inside the reactor vessel has been analysed. Recommendations on the improvements on the organization of the thermal mechanical equipment mounting are given. It is concluded that the consideration of these recommendations will permit to reduce expenditures of labour by 10-40% for the mounting

  12. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  13. Mounting clips for panel installation

    Science.gov (United States)

    Cavieres, Andres; Al-Haddad, Tristan; Goodman, Joseph; Valdes, Francisco

    2017-02-14

    An exemplary mounting clip for removably attaching panels to a supporting structure comprises a base, spring locking clips, a lateral flange, a lever flange, and a spring bonding pad. The spring locking clips extend upwardly from the base. The lateral flange extends upwardly from a first side of the base. The lateral flange comprises a slot having an opening configured to receive at least a portion of one of the one or more panels. The lever flange extends outwardly from the lateral flange. The spring bonding flange extends downwardly from the lever flange. At least a portion of the first spring bonding flange comprises a serrated edge for gouging at least a portion of the one or more panels when the one or more panels are attached to the mounting clip to electrically and mechanically couple the one or more panels to the mounting clip.

  14. Structural characterization of GaAs self-assembled quantum dots grown by Droplet Epitaxy on Ge virtual substrates on Si

    International Nuclear Information System (INIS)

    Frigeri, C.; Bietti, S.; Isella, G.; Sanguinetti, S.

    2013-01-01

    The structure of self-assembled quantum dots (QDs) grown by Droplet Epitaxy on Ge virtual substrates has been investigated by TEM. The QDs have a pyramidal shape with base and height of 50 nm. By (0 0 2) dark field TEM it was seen that the pyramid top is Ga poor and Al rich most likely because of the higher mobility of Ga along the pyramid sides down to the base. The investigated QDs contain defects identified as As precipitates by Moirè fringes. The smallest ones (3–5 nm) are coherent with the GaAs lattice suggesting that they could be a cubic phase of As precipitation. It seems to be a metastable phase since the hexagonal phase is recovered as the precipitate size increases above ∼5 nm.

  15. Hemispheric lateralization in top-down attention during spatial relation processing: a Granger causal model approach.

    Science.gov (United States)

    Falasca, N W; D'Ascenzo, S; Di Domenico, A; Onofrj, M; Tommasi, L; Laeng, B; Franciotti, R

    2015-04-01

    Magnetoencephalography was recorded during a matching-to-sample plus cueing paradigm, in which participants judged the occurrence of changes in either categorical (CAT) or coordinate (COO) spatial relations. Previously, parietal and frontal lobes were identified as key areas in processing spatial relations and it was shown that each hemisphere was differently involved and modulated by the scope of the attention window (e.g. a large and small cue). In this study, Granger analysis highlighted the patterns of causality among involved brain areas--the direction of information transfer ran from the frontal to the visual cortex in the right hemisphere, whereas it ran in the opposite direction in the left side. Thus, the right frontal area seems to exert top-down influence, supporting the idea that, in this task, top-down signals are selectively related to the right side. Additionally, for CAT change preceded by a small cue, the right frontal gyrus was not involved in the information transfer, indicating a selective specialization of the left hemisphere for this condition. The present findings strengthen the conclusion of the presence of a remarkable hemispheric specialization for spatial relation processing and illustrate the complex interactions between the lateralized parts of the neural network. Moreover, they illustrate how focusing attention over large or small regions of the visual field engages these lateralized networks differently, particularly in the frontal regions of each hemisphere, consistent with the theory that spatial relation judgements require a fronto-parietal network in the left hemisphere for categorical relations and on the right hemisphere for coordinate spatial processing. © 2015 Federation of European Neuroscience Societies and John Wiley & Sons Ltd.

  16. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  17. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  18. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  19. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  20. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  1. Photon confinement in high-efficiency, thin-film III-V solar cells obtained by epitaxial lift-off

    International Nuclear Information System (INIS)

    Schermer, J.J.; Bauhuis, G.J.; Mulder, P.; Haverkamp, E.J.; Deelen, J. van; Niftrik, A.T.J. van; Larsen, P.K.

    2006-01-01

    Using the epitaxial lift-off (ELO) technique, a III-V device structure can be separated from its GaAs substrate by selective wet etching of a thin release layer. The thin-film structures obtained by the ELO process can be cemented or van der Waals bonded on arbitrary smooth surface carriers for further processing. It is shown that the ELO method, initially able to separate millimetre-sized GaAs layers with a lateral etch rate of about 1 mm/h, has been developed to a process capable to free the entire 2-in. epitaxial structures from their substrates with etch rates up to 30 mm/h. With these characteristics the method has a large potential for the production of high efficiency thin-film solar cells. By choosing the right deposition and ELO strategy, the thin-film III-V cells can be adequately processed on both sides allowing for an entire range of new cell structures. In the present work, the performance of semi-transparent bifacial solar cells, produced by the deposition of metal grid contacts on both sides, was evaluated. Reflection of light at the rear side of the bifacial GaAs solar cells was found to result in an enhanced collection probability of the photon-induced carriers compared to that of regular III-V cells on a GaAs substrate. To enhance this effect, thin-film GaAs cells with gold mirror back contacts were prepared. Even in their present premature stage of development, these single-junction thin-film cells reached a record efficiency of 24.5% which is already very close to the 24.9% efficiency that was obtained with a regular GaAs cell on a GaAs substrate. From this it could be concluded that, as a result of the photon confinement, ELO cells require a significantly thinner base layer than regular GaAs cells while at the same time they have the potential to reach a higher efficiency

  2. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  3. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  4. Epi-Side-Down Mounting of Interband Cascade Lasers for Army Applications

    National Research Council Canada - National Science Library

    Tobin, M. S; Monroy, C. J; Oliver, K. A; Tober, R. L; Bradshaw, J. L; Bruno, J. D; Towner, F. J

    2006-01-01

    The interband cascade laser, based on the type II energy band alignment in the InAs/GaSb material system, has great potential to meet the power and the wall plug efficiency requirements of many Army applications...

  5. Phonological Processing in CFhildren with Down Syndrome

    Directory of Open Access Journals (Sweden)

    Zahra Soleymani

    2005-06-01

    Full Text Available Objective: To determine phonological processing in elemantery children with Down syndrome Materials and Methods: Phonetic test is used to extract phonological processing in 40 child with Down syndrome .They were normal in hearing and oral structure. Results: There was significant difference between girls and boys in some subgroups of phonological processing. In assimilation, voiceless assimilation in boys and complete assimilation in girls were the most. Nasal assimilation in girls and fricative assimilation in boys were the least. In substitution, the least mean belonged to liquid and nasal substitution in girls and voice ness substitution in boys. In general there was no significant difference between age and phonological awareness; however, there was direct correlation between syllable structure and age and reverse correlation between age and stop assimilation. Conclusion: In addition to 3 groups of phonological processing including: syllable structure, assimilation, and substitution, omission was seen. The difference between girls and boys indicates they are impressed by the phonetic structure of words in different ways. Correlation between age and phonological processing shows phonological errors may be resulted from deviation.

  6. Face processing pattern under top-down perception: a functional MRI study

    Science.gov (United States)

    Li, Jun; Liang, Jimin; Tian, Jie; Liu, Jiangang; Zhao, Jizheng; Zhang, Hui; Shi, Guangming

    2009-02-01

    Although top-down perceptual process plays an important role in face processing, its neural substrate is still puzzling because the top-down stream is extracted difficultly from the activation pattern associated with contamination caused by bottom-up face perception input. In the present study, a novel paradigm of instructing participants to detect faces from pure noise images is employed, which could efficiently eliminate the interference of bottom-up face perception in topdown face processing. Analyzing the map of functional connectivity with right FFA analyzed by conventional Pearson's correlation, a possible face processing pattern induced by top-down perception can be obtained. Apart from the brain areas of bilateral fusiform gyrus (FG), left inferior occipital gyrus (IOG) and left superior temporal sulcus (STS), which are consistent with a core system in the distributed cortical network for face perception, activation induced by top-down face processing is also found in these regions that include the anterior cingulate gyrus (ACC), right oribitofrontal cortex (OFC), left precuneus, right parahippocampal cortex, left dorsolateral prefrontal cortex (DLPFC), right frontal pole, bilateral premotor cortex, left inferior parietal cortex and bilateral thalamus. The results indicate that making-decision, attention, episodic memory retrieving and contextual associative processing network cooperate with general face processing regions to process face information under top-down perception.

  7. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  8. Selective Area Sublimation: A Simple Top-down Route for GaN-Based Nanowire Fabrication.

    Science.gov (United States)

    Damilano, B; Vézian, S; Brault, J; Alloing, B; Massies, J

    2016-03-09

    Post-growth in situ partial SiNx masking of GaN-based epitaxial layers grown in a molecular beam epitaxy reactor is used to get GaN selective area sublimation (SAS) by high temperature annealing. Using this top-down approach, nanowires (NWs) with nanometer scale diameter are obtained from GaN and InxGa1-xN/GaN quantum well epitaxial structures. After GaN regrowth on InxGa1-xN/GaN NWs resulting from SAS, InxGa1-xN quantum disks (QDisks) with nanometer sizes in the three dimensions are formed. Low temperature microphotoluminescence experiments demonstrate QDisk multilines photon emission around 3 eV with individual line widths of 1-2 meV.

  9. Process for growing a film epitaxially upon a MgO surface

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1997-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  10. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  11. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  12. Seasonal geomorphic processes and rates of sand movement at Mount Baldy dune in Indiana, USA

    Science.gov (United States)

    Kilibarda, Zoran; Kilibarda, Vesna

    2016-12-01

    Winds are very strong, frequent, and have high energy (annual DP ∼800 VU) along the southern shores of Lake Michigan, allowing the coexistence of fixed and active dunes. Six years (2007-13) of monitoring Mount Baldy in the Indiana Dunes National Lakeshore reveals that this is the most active coastal dune in the Great Lakes region. This paper documents aeolian processes and changes in the dune's morphology that occur temporarily, following storms, or seasonally, due to weather (climate) variations. Most of the sand transport in this area takes place during strong storms with gale force (>17.5 m/s) winds, which occur in the autumn and winter months. A single storm, such as the October 28-31, 2013 event, can contribute 25% of the annual sand transport and dune movement inland. In its most active year (June 1, 2011 through May 31, 2012), Mount Baldy moved inland on average 4.34 m, with a maximum of 6.52 m along the blowout's axis (155° azimuth). During this particularly active season, there were six storms with sustained gale force winds, winter air temperatures were warmer than average, and shelf ice on Lake Michigan lasted only one day. The dune is least active during the summer season, when the winds are weakest. The late fall and winter winds are the strongest. But in a typical year, most of the dune's advance inland takes place during the spring thaw when sand is released from over-steepened and lumpy slip face, allowing it to avalanche to the toe of the slip face. However, with a warming air temperatures, a reduction in the duration of winter shelf ice, and rising Lake Michigan levels, the annual rates of sand transport and dune movement may increase. The recent Mount Baldy management strategy, which includes planting vegetation and installing wind barriers on the dune's stoss side in an effort to fix the dune and stop its further movement inland, may potentially cause the destruction of the mobile sand, open dune habitat, resulting in the extinction of rare

  13. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  14. Microwave dynamics of YBCO bi-epitaxial Josephson structures

    DEFF Research Database (Denmark)

    Constantinian, K. Y.; Ovsyannikov, G. A.; Mashtakov, A. D.

    1996-01-01

    The processes of interaction of microwaves (frequency View the MathML source) with a single high-Tc superconducting YBa2Cu3Ox (YBCO) bi-epitaxial grain-boundary junction and with an array of two junctions connected in series, have been investigated experimentally at temperatures T = 4.2− 77 K......, as well as the subharmonic detector response at weak magnetic fields φ microwave field induced frequency synchronization of two series connected bi-epitaxial YBCO junctions....

  15. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  16. Process for growing a film epitaxially upon a MGO surface and structures formed with the process

    Science.gov (United States)

    McKee, Rodney Allen; Walker, Frederick Joseph

    1998-01-01

    A process and structure wherein optical quality perovskites, such as BaTiO.sub.3 or SrTiO.sub.3, are grown upon a single crystal MgO substrate involves the epitaxial build up of alternating planes of TiO.sub.2 and metal oxide wherein the first plane grown upon the MgO substrate is a plane of TiO.sub.2. The layering sequence involved in the film build up reduces problems which would otherwise result from the interfacial electrostatics at the first atomic layers, and these oxides can be stabilized as commensurate thin films at a unit cell thickness or grown with high crystal quality to thicknesses of 0.5-0.7 .mu.m for optical device applications.

  17. Method of mounting filter elements and mounting therefor

    International Nuclear Information System (INIS)

    Karelin, J.; Neumann, G.M.

    1981-01-01

    A process for the insertion and exchange of the filter elements for suspended matter is performed from the clean-air-side. During the insertion of a filter element, a plastic tube (Which encircles the circumference of the filter element and which exceeds in its length the layer thickness of the filter element several times) is tightly connected in its middle section with the side walls, which side walls form a border around the filter element; and then the open end of the plastic tube, which faces the frame, is connected by way of a tight fit with a ring, which is actually known and which surrounds the orifice of the frame into which the filter element is inserted. The filter element is connected with the frame by means of tightening devices, and the outer free end of the tube is turned inside out and around the filter element for the purpose of unhindered air passage through the filter layer, that during the exchange of the contaminated filter element, the outer open end of the tube is heat sealed. The filter element is disconnected and removed from the frame by flipping down of the tightening devices, and the tube is heat sealed in the section between the filter element and the frame, and, that during the insertion of a new filter element, a new tube is attached by way of tight fitting to the ring of the frame , which tube is at its middle section tightly connected with the filter element, and which tube is attached to the ring of the frame in an actually known by overlapping of the heat-sealed tube rest. The tube rest is pulled onto the new tube and pulled off the ring, and the filter element is tightly connected with the frame by means of the tightening devices

  18. Redesign of a fixture mount to be used as an impression coping and a provisional abutment as well

    Directory of Open Access Journals (Sweden)

    Glenn Hsuan-Chen Chang

    2011-01-01

    Full Text Available Purpose: An integrated fixture mount/impression coping/ temporary abutment can provide many advantages for immediate loading of dental implants, such as simpler procedure, less chair time, cost reduction, and comfort for the patients. Materials and Methods: A newly designed dental implant fixture mount (DIFMA can be used as an impression coping for taking an immediate impression. An immediate load provisional prosthesis can then be fabricated shortly after implant placement to immediately load the implants. This fixture mount can also serve as a temporary abutment for immediate chair-side fabrication of provisional prosthesis. Two clinical cases are presented. Results: A clinical case utilizing the fixture mount abutment (DIFMA/implant assembly is presented. The precision of fitting between the impression copings and implants is secured with this system. The chair time for taking an immediate impression is greatly reduced. Less cost for the restoration is provided and patient comfort is delivered. Conclusions: More patient satisfaction can be conferred by employing the fixture mount in the process of immediate impression taking and as an immediate provisional abutment.

  19. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  20. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  1. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    Science.gov (United States)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  2. [Does the mounting of gastrointestinal biopsies on millipore filter contribute to an improved section quality?

    DEFF Research Database (Denmark)

    Asmussen, L.; Bernstein, I.; Matzen, P.

    2009-01-01

    orientation, GIB is occasionally mounted on millipore filter (MF) in an attempt to place the deep cut side onto the MF. The importance of this technique for section quality is evaluated in this study. MATERIAL AND METHOD: The material comprised three consecutive series of GIB (60 gastric, duodenal......, and colorectal GIB, respectively). Sections were grouped in MF-mounted versus non-mounted GIB, the proportion of fully acceptable sections among mounted versus non-mounted GIB was recorded. RESULTS: 77.2% of all GIBs were MF-mounted. 33.1% of mounted GIBs versus 48.8% of non-mounted GIBs were assessed as fully...... acceptable sections. The differences between these figures are not statistically significant. 41.7% of the mounted GIBs were placed with the mucosal surface facing the MF, which entails a risk of damaging the tissue. CONCLUSION: MF-mounting of GIB did not contribute to section quality. Since the handling...

  3. Article mounting and position adjustment stage

    Science.gov (United States)

    Cutburth, Ronald W.; Silva, Leonard L.

    1988-01-01

    An improved adjustment and mounting stage of the type used for the detection of laser beams is disclosed. A ring sensor holder has locating pins on a first side thereof which are positioned within a linear keyway in a surrounding housing for permitting reciprocal movement of the ring along the keyway. A rotatable ring gear is positioned within the housing on the other side of the ring from the linear keyway and includes an oval keyway which drives the ring along the linear keyway upon rotation of the gear. Motor-driven single-stage and dual (x, y) stage adjustment systems are disclosed which are of compact construction and include a large laser transmission hole.

  4. Mount St. Helens 30 years later: a landscape reconfigured.

    Science.gov (United States)

    Rhonda Mazza

    2010-01-01

    On May 18, 1980, after two months of tremors, Mount St. Helens erupted spectacularly and profoundly changed a vast area surrounding the volcano. The north slope of the mountain catastrophically failed, forming the largest landslide witnessed in modern times. The largest lobe of this debris avalanche raced 14 miles down the Toutle River...

  5. Collective contract in thermal equipment mounting process at the Balakovo NPP

    International Nuclear Information System (INIS)

    Shpol, E.A.; Goryashchenko, Yu.N.

    1986-01-01

    Experience of collective contract introduction into thermal equipment mounting process at the Balakovo NPP is briefly described.4627 thousand roubles are utilized and 45.6% of annual volume of works are made using the collective contract method in 1984 during reactor room construction at the Balakovo-1 NPP. Cost of works are reduced by 137.8 thousand roubles. The conclusion is made that the formation of large teams ( 45-70 men ) promotes labour productivity increase as well as high quality of works

  6. Chemical and Mineralogical Characterization of a Hematite-bearing Ridge on Mauna Kea, Hawaii: A Potential Mineralogical Process Analog for the Mount Sharp Hematite Ridge

    Science.gov (United States)

    Graff, T. G.; Morris, R. V.; Ming, D. W.; Hamilton, J. C.; Adams, M.; Fraeman, A. A.; Arvidson, R. E.; Catalano, J. G.; Mertzman, S. A.

    2014-01-01

    The Mars Science Laboratory (MSL) rover Curiosity landed in Gale Crater in August 2012 and is currently roving towards the layered central mound known as Mount Sharp [1]. Compact Reconnaissance Imaging Spectrometer for Mars (CRISM) hyperspectral data indicate Mount Sharp contains an 5 km stratigraphic sequence including Fe-Mg smectites, hematite, and hydrated sulfates in the lower layers separated by an unconformity from the overlying anhydrous strata [1,2,3]. Hematite was initially detected in CRISM data to occur in the lower sulfate layers on the north side of the mound [2]. [3] further mapped a distinct hematite detection occurring as part of a 200 m wide ridge that extends 6.5 km NE-SW, approximately parallel with the base of Mount Sharp. It is likely a target for in-situ analyses by Curiosity. We document here the occurrence of a stratum of hematite-bearing breccia that is exposed on the Puu Poliahu cinder cone near the summit of Mauna Kea volcano (Hawaii) (Fig.1). The stratum is more resistant to weathering than surrounding material, giving it the appearance of a ridge. The Mauna Kea hematite ridge is thus arguably a potential terrestrial mineralogical and process analog for the Gale Crater hematite ridge. We are acquiring a variety of chemical and mineralogical data on the Mauna Kea samples, with a focus on the chemical and mineralogical information already available or planned for the Gale hematite ridge.

  7. Preparation and mounting of adult Drosophila structures in Canada balsam.

    Science.gov (United States)

    Stern, David L; Sucena, Elio

    2012-03-01

    The Drosophila cuticle carries a rich array of morphological details. Thus, cuticle examination has had a central role in the history of genetics. To prepare fine "museum-quality," permanent slides, it is best to mount specimens in Canada Balsam. It is difficult to give precise recipes for Canada Balsam, because every user seems to prefer a slightly different viscosity. Dilute solutions spread easily and do not dry too rapidly while mounting specimens. The disadvantage is that there is actually less Balsam in a "drop" of the solution, and when dried, it can contract from the sides of the coverslip, sometimes disturbing the specimen. Unfortunately, there is no substitute for experience when using Canada Balsam. This protocol describes a procedure for mounting adult cuticles in Canada Balsam.

  8. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  9. Forming the stress state of a vibroisolated building in the process of mounting rubber steel vibration isolator

    Directory of Open Access Journals (Sweden)

    Dashevskiy Mikhail Aronovich

    2015-12-01

    Full Text Available The necessity to specificate the formation process of stress-strain state of buildings in the construction process is a new problem which requires including real production characteristics going beyond calculation models into calculation methods. Today the construction process lacks this specification. When mounting vibroisolators the stress-strein of a structure state is changing. The mounting method of vibroisolators is patented and consists in multistage successive compression loading of each vibroisolator with the constant speed and following fixation of this displacement. The specified engineering method of rubber-steel pads calculation in view of change of their form during deformation, nonlinearity, rheological processes is offered. Resilient pads look like rubber plates rectangular in plane reinforced on the basic surfaces with metal sheets. The influence of a time-variable static load and free vibrations of loaded pads are considered.

  10. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  11. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  12. Perceptual expertise: can sensorimotor experience change holistic processing and left-side bias?

    Science.gov (United States)

    Tso, Ricky Van-yip; Au, Terry Kit-fong; Hsiao, Janet Hui-wen

    2014-09-01

    Holistic processing and left-side bias are both behavioral markers of expert face recognition. By contrast, expert recognition of characters in Chinese orthography involves left-side bias but reduced holistic processing, although faces and Chinese characters share many visual properties. Here, we examined whether this reduction in holistic processing of Chinese characters can be better explained by writing experience than by reading experience. Compared with Chinese nonreaders, Chinese readers who had limited writing experience showed increased holistic processing, whereas Chinese readers who could write characters fluently showed reduced holistic processing. This result suggests that writing and sensorimotor experience can modulate holistic-processing effects and that the reduced holistic processing observed in expert Chinese readers may depend mostly on writing experience. However, both expert writers and writers with limited experience showed similarly stronger left-side bias than novices did in processing mirror-symmetric Chinese characters; left-side bias may therefore be a robust expertise marker for object recognition that is uninfluenced by sensorimotor experience. © The Author(s) 2014.

  13. A kinetic Monte Carlo simulation method of van der Waals epitaxy for atomistic nucleation-growth processes of transition metal dichalcogenides.

    Science.gov (United States)

    Nie, Yifan; Liang, Chaoping; Cha, Pil-Ryung; Colombo, Luigi; Wallace, Robert M; Cho, Kyeongjae

    2017-06-07

    Controlled growth of crystalline solids is critical for device applications, and atomistic modeling methods have been developed for bulk crystalline solids. Kinetic Monte Carlo (KMC) simulation method provides detailed atomic scale processes during a solid growth over realistic time scales, but its application to the growth modeling of van der Waals (vdW) heterostructures has not yet been developed. Specifically, the growth of single-layered transition metal dichalcogenides (TMDs) is currently facing tremendous challenges, and a detailed understanding based on KMC simulations would provide critical guidance to enable controlled growth of vdW heterostructures. In this work, a KMC simulation method is developed for the growth modeling on the vdW epitaxy of TMDs. The KMC method has introduced full material parameters for TMDs in bottom-up synthesis: metal and chalcogen adsorption/desorption/diffusion on substrate and grown TMD surface, TMD stacking sequence, chalcogen/metal ratio, flake edge diffusion and vacancy diffusion. The KMC processes result in multiple kinetic behaviors associated with various growth behaviors observed in experiments. Different phenomena observed during vdW epitaxy process are analysed in terms of complex competitions among multiple kinetic processes. The KMC method is used in the investigation and prediction of growth mechanisms, which provide qualitative suggestions to guide experimental study.

  14. The distributed neural system for top-down letter processing: an fMRI study

    Science.gov (United States)

    Liu, Jiangang; Feng, Lu; Li, Ling; Tian, Jie

    2011-03-01

    This fMRI study used Psychophysiological interaction (PPI) to investigate top-down letter processing with an illusory letter detection task. After an initial training that became increasingly difficult, participant was instructed to detect a letter from pure noise images where there was actually no letter. Such experimental paradigm allowed for isolating top-down components of letter processing and minimizing the influence of bottom-up perceptual input. A distributed cortical network of top-down letter processing was identified by analyzing the functional connectivity patterns of letter-preferential area (LA) within the left fusiform gyrus. Such network extends from the visual cortex to high level cognitive cortexes, including the left middle frontal gyrus, left medial frontal gyrus, left superior parietal gyrus, bilateral precuneus, and left inferior occipital gyrus. These findings suggest that top-down letter processing contains not only regions for processing of letter phonology and appearance, but also those involved in internal information generation and maintenance, and attention and memory processing.

  15. MATHEMATICAL SIMULATION OF CONCURRENT TWO-SIDED LENS PROCESSING

    Directory of Open Access Journals (Sweden)

    A. S. Kozeruk

    2015-01-01

    Full Text Available The purpose of the paper is to modernize technology for obtaining high-accuracy lenses with fine centre. Presently their operating surfaces are fixed  to an accessory with the help of adhesive substance that leads to elastic deformation in glass and causes local errors in lens parts.A mathematical model for concurrent two-sided processing of high-accuracy optical parts with spherical surfaces has been developed in the paper. The paper presents analytical expressions that permit to calculate sliding speed at any point on the processed spherical surface depending on type and value of technological equipment settings. Calculation of parameter Q = Pv in a diametric section of the convexo-concave lens has been carried out while using these expressions together with functional dependence of pressure on contact zone еarea of tool and part bedding surfaces.Theoretical and experimental investigations have been carried out with the purpose to study changes in Q parameter according to the processed lens surface for various setting parameters of the technological equipment and their optimum values ensuring preferential stock removal in the central or boundary part zone or uniform distribution of the removal along the whole processed surface have been determined in the paper.The paper proposes a machine tool scheme for concurrent two-sided grinding and polishing of lenses while fixing their side (cylindrical surface. Machine tool kinematics makes it possible flexibly and within wide limits to change its setting parameters  that significantly facilitates the control of form-building process of parts with highly-precise spherical surfaces.Methodology for investigations presupposes the following: mathematical simulation of highly-precise spherical surface form-building process under conditions of forced closing, execution of numerical and experimental studies.  

  16. Down Syndrome and the aging process: a systematic review

    Directory of Open Access Journals (Sweden)

    Bruno Sousa Lopes

    2015-05-01

    Full Text Available The study aimed to identify and update the knowledge about older people with Down Syndrome (DS, and to understand the peculiarities of the aging process in this population. Bibliographical research conducted by Portal de Periódicos da Coordenação de Aperfeiçoamento de Pessoal de Nível Superior and High Wire portal. Inclusion criteria were articles published in the last ten years with the words “Down Syndrome" and “Elderly”.  

  17. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  18. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  19. Mounting and Alignment of IXO Mirror Segments

    Science.gov (United States)

    Chan, Kai-Wing; Zhang, William; Evans, Tyler; McClelland, Ryan; Hong, Melinda; Mazzarella, James; Saha, Timo; Jalota, Lalit; Olsen, Lawrence; Byron, Glenn

    2010-01-01

    A suspension-mounting scheme is developed for the IXO (International X-ray Observatory) mirror segments in which the figure of the mirror segment is preserved in each stage of mounting. The mirror, first fixed on a thermally compatible strongback, is subsequently transported, aligned and transferred onto its mirror housing. In this paper, we shall outline the requirement, approaches, and recent progress of the suspension mount processes.

  20. WPS-based technology for client-side remote sensing data processing

    Science.gov (United States)

    Kazakov, E.; Terekhov, A.; Kapralov, E.; Panidi, E.

    2015-04-01

    Server-side processing is principal for most of the current Web-based geospatial data processing tools. However, in some cases the client-side geoprocessing may be more convenient and acceptable. This study is dedicated to the development of methodology and techniques of Web services elaboration, which allow the client-side geoprocessing also. The practical objectives of the research are focused on the remote sensing data processing, which are one of the most resource-intensive data types. The idea underlying the study is to propose such geoprocessing Web service schema that will be compatible with the current serveroriented Open Geospatial Consortium standard (OGC WPS standard), and additionally will allow to run the processing on the client, transmitting processing tool (executable code) over the network instead of the data. At the same time, the unity of executable code must be preserved, and the transmitted code should be the same to that is used for server-side processing. This unity should provide unconditional identity of the processing results that performed using of any schema. The appropriate services are pointed by the authors as a Hybrid Geoprocessing Web Services (HGWSs). The common approaches to architecture and structure of the HGWSs are proposed at the current stage as like as a number of service prototypes. For the testing of selected approaches, the geoportal prototype was implemented, which provides access to created HGWS. Further works are conducted on the formalization of platform independent HGWSs implementation techniques, and on the approaches to conceptualization of theirs safe use and chaining possibilities. The proposed schema of HGWSs implementation could become one of the possible solutions for the distributed systems, assuming that the processing servers could play the role of the clients connecting to the service supply server. The study was partially supported by Russian Foundation for Basic Research (RFBR), research project No. 13

  1. Mounting Systems for Structural Members, Fastening Assemblies Thereof, and Vibration Isolation Systems Including the Same

    Science.gov (United States)

    Young, Ken (Inventor); Hindle, Timothy (Inventor); Barber, Tim Daniel (Inventor)

    2016-01-01

    Mounting systems for structural members, fastening assemblies thereof, and vibration isolation systems including the same are provided. Mounting systems comprise a pair of mounting brackets, each clamped against a fastening assembly forming a mounting assembly. Fastening assemblies comprise a spherical rod end comprising a spherical member having a through opening and an integrally threaded shaft, first and second seating members on opposite sides of the spherical member and each having a through opening that is substantially coaxial with the spherical member through opening, and a partially threaded fastener that threadably engages each mounting bracket forming the mounting assembly. Structural members have axial end portions, each releasably coupled to a mounting bracket by the integrally threaded shaft. Axial end portions are threaded in opposite directions for permitting structural member rotation to adjust a length thereof to a substantially zero strain position. Structural members may be vibration isolator struts in vibration isolation systems.

  2. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  3. PV module mounting method and mounting assembly

    Science.gov (United States)

    Lenox, Carl J.S.; Johnson, Kurt M.

    2013-04-23

    A method for mounting PV modules to a deck includes selecting PV module layout pattern so that adjacent PV module edges are spaced apart. PV mounting and support assemblies are secured to the deck according to the layout pattern using fasteners extending into the deck. The PV modules are placed on the PV mounting and support assemblies. Retaining elements are located over and secured against the upper peripheral edge surfaces of the PV modules so to secure them to the deck with the peripheral edges of the PV modules spaced apart from the deck. In some examples a PV module mounting assembly, for use on a shingled deck, comprises flashing, a base mountable on the flashing, a deck-penetrating fastener engageable with the base and securable to the deck so to secure the flashing and the base to the shingled deck, and PV module mounting hardware securable to the base.

  4. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  5. Method for double-sided processing of thin film transistors

    Science.gov (United States)

    Yuan, Hao-Chih; Wang, Guogong; Eriksson, Mark A.; Evans, Paul G.; Lagally, Max G.; Ma, Zhenqiang

    2008-04-08

    This invention provides methods for fabricating thin film electronic devices with both front- and backside processing capabilities. Using these methods, high temperature processing steps may be carried out during both frontside and backside processing. The methods are well-suited for fabricating back-gate and double-gate field effect transistors, double-sided bipolar transistors and 3D integrated circuits.

  6. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  7. Face Processing and Facial Emotion Recognition in Adults with Down Syndrome

    Science.gov (United States)

    Barisnikov, Koviljka; Hippolyte, Loyse; Van der Linden, Martial

    2008-01-01

    Face processing and facial expression recognition was investigated in 17 adults with Down syndrome, and results were compared with those of a child control group matched for receptive vocabulary. On the tasks involving faces without emotional content, the adults with Down syndrome performed significantly worse than did the controls. However, their…

  8. Adjustable mounting device for high-volume production of beam-shaping systems for high-power diode lasers

    Science.gov (United States)

    Haag, Sebastian; Bernhardt, Henning; Rübenach, Olaf; Haverkamp, Tobias; Müller, Tobias; Zontar, Daniel; Brecher, Christian

    2015-02-01

    In many applications for high-power diode lasers, the production of beam-shaping and homogenizing optical systems experience rising volumes and dynamical market demands. The automation of assembly processes on flexible and reconfigurable machines can contribute to a more responsive and scalable production. The paper presents a flexible mounting device designed for the challenging assembly of side-tab based optical systems. It provides design elements for precisely referencing and fixating two optical elements in a well-defined geometric relation. Side tabs are presented to the machine allowing the application of glue and a rotating mechanism allows the attachment to the optical elements. The device can be adjusted to fit different form factors and it can be used in high-volume assembly machines. The paper shows the utilization of the device for a collimation module consisting of a fast-axis and a slow-axis collimation lens. Results regarding the repeatability and process capability of bonding side tab assemblies as well as estimates from 3D simulation for overall performance indicators achieved such as cycle time and throughput will be discussed.

  9. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  10. Aircraft Wing for Over-The-Wing Mounting of Engine Nacelle

    Science.gov (United States)

    Hahn, Andrew S. (Inventor); Kinney, David J. (Inventor)

    2011-01-01

    An aircraft wing has an inboard section and an outboard section. The inboard section is attached (i) on one side thereof to the aircraft's fuselage, and (ii) on an opposing side thereof to an inboard side of a turbofan engine nacelle in an over-the-wing mounting position. The outboard section's leading edge has a sweep of at least 20 degrees. The inboard section's leading edge has a sweep between -15 and +15 degrees, and extends from the fuselage to an attachment position on the nacelle that is forward of an index position defined as an imaginary intersection between the sweep of the outboard section's leading edge and the inboard side of the nacelle. In an alternate embodiment, the turbofan engine nacelle is replaced with an open rotor engine nacelle.

  11. A novel conceptual design of parallel nitrogen expansion liquefaction process for small-scale LNG (liquefied natural gas) plant in skid-mount packages

    International Nuclear Information System (INIS)

    He, Tianbiao; Ju, Yonglin

    2014-01-01

    The utilization of unconventional natural gas is still a great challenge for China due to its distribution locations and small reserves. Thus, liquefying the unconventional natural gas by using small-scale LNG plant in skid-mount packages is a good choice with great economic benefits. A novel conceptual design of parallel nitrogen expansion liquefaction process for small-scale plant in skid-mount packages has been proposed. It first designs a process configuration. Then, thermodynamic analysis of the process is conducted. Next, an optimization model with genetic algorithm method is developed to optimize the process. Finally, the flexibilities of the process are tested by two different feed gases. In conclusion, the proposed parallel nitrogen expansion liquefaction process can be used in small-scale LNG plant in skid-mount packages with high exergy efficiency and great economic benefits. - Highlights: • A novel design of parallel nitrogen expansion liquefaction process is proposed. • Genetic algorithm is applied to optimize the novel process. • The unit energy consumption of optimized process is 0.5163 kWh/Nm 3 . • The exergy efficiency of the optimized case is 0.3683. • The novel process has a good flexibility for different feed gas conditions

  12. The Comparative Effect of Top-down Processing and Bottom-up Processing through TBLT on Extrovert and Introvert EFL

    Directory of Open Access Journals (Sweden)

    Pezhman Nourzad Haradasht

    2013-09-01

    Full Text Available This research seeks to examine the effect of two models of reading comprehension, namely top-down and bottom-up processing, on the reading comprehension of extrovert and introvert EFL learners’ reading comprehension. To do this, 120 learners out of a total number of 170 intermediate learners being educated at Iran Mehr English Language School were selected all taking a PET (Preliminary English Test first for homogenization prior to the study. They also answered the Eysenck Personality Inventory (EPI which in turn categorized them into two subgroups within each reading models consisting of introverts and extroverts. All in all, there were four subgroups: 30 introverts and 30 extroverts undergoing the top-down processing treatment, and 30 introverts and 30 extroverts experiencing the bottom-up processing treatment. The aforementioned PET was administered as the post test of the study after each group was exposed to the treatment for 18 sessions in six weeks. After the instructions finished, the mean scores of all four groups on this post test were computed and a two-way ANOVA was run to test all the four hypotheses raise in this study. the results showed that while learners generally benefitted more from the bottom-up processing setting compared  to the top-down processing one, the extrovert group was better off receiving top-down instruction. Furthermore, introverts outperformed extroverts in bottom-up group; yet between the two personalities subgroups in the top-down setting no difference was seen. A predictable pattern of benefitting from teaching procedures could not be drawn for introverts as in both top-down and bottom-up settings, they benefitted more than extroverts.

  13. Reported Sensory Processing of Children with Down Syndrome

    Science.gov (United States)

    Bruni, Maryanne; Cameron, Debra; Dua, Shelly; Noy, Sarah

    2010-01-01

    Investigators have identified delays and differences in cognitive, language, motor, and sensory development in children with Down syndrome (DS). The purpose of this study was to determine the parent-reported frequency of sensory processing issues in children with DS aged 3-10 years, and the parent-reported functional impact of those sensory…

  14. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  15. RNAi-mediated knock-down of Dab and Numb attenuate Aβ levels via γ-secretase mediated APP processing.

    Science.gov (United States)

    Xie, Zhongcong; Dong, Yuanlin; Maeda, Uta; Xia, Weiming; Tanzi, Rudolph E

    2012-03-22

    Amyloid-β-protein (Aβ), the key component of senile plaques in Alzheimer's disease (AD) brain, is produced from amyloid precursor protein (APP) by cleavage of β-secretase and then γ-secretase. APP adaptor proteins with phosphotyrosine-binding (PTB) domains, including Dab (gene: DAB) and Numb (gene: NUMB), can bind to and interact with the conserved YENPTY-motif in the APP C-terminus. Here we describe, for the first time, the effects of RNAi knock-down of Dab and Numb expression on APP processing and Aβ production. RNAi knock-down of Dab and Numb in H4 human neuroglioma cells stably transfected to express either FL-APP (H4-FL-APP cells) or APP-C99 (H4-APP-C99 cells) increased levels of APP-C-terminal fragments (APP-CTFs) and lowered Aβ levels in both cell lines by inhibiting γ-secretase cleavage of APP. Finally, RNAi knock-down of APP also reduced levels of Numb in H4-APP cells. These findings suggest that pharmacologically blocking interaction of APP with Dab and Numb may provide novel therapeutic strategies of AD. The notion of attenuating γ-secretase cleavage of APP via the APP adaptor proteins, Dab and Numb, is particularly attractive with regard to therapeutic potential, given that side effects of γ-secretase inhibition owing to impaired proteolysis of other γ-secretase substrates, e.g. Notch, might be avoided.

  16. RNAi-mediated knock-down of Dab and Numb attenuate Aβ levels via γ-secretase mediated APP processing

    Science.gov (United States)

    2012-01-01

    Amyloid-β-protein (Aβ), the key component of senile plaques in Alzheimer's disease (AD) brain, is produced from amyloid precursor protein (APP) by cleavage of β-secretase and then γ-secretase. APP adaptor proteins with phosphotyrosine-binding (PTB) domains, including Dab (gene: DAB) and Numb (gene: NUMB), can bind to and interact with the conserved YENPTY-motif in the APP C-terminus. Here we describe, for the first time, the effects of RNAi knock-down of Dab and Numb expression on APP processing and Aβ production. RNAi knock-down of Dab and Numb in H4 human neuroglioma cells stably transfected to express either FL-APP (H4-FL-APP cells) or APP-C99 (H4-APP-C99 cells) increased levels of APP-C-terminal fragments (APP-CTFs) and lowered Aβ levels in both cell lines by inhibiting γ-secretase cleavage of APP. Finally, RNAi knock-down of APP also reduced levels of Numb in H4-APP cells. These findings suggest that pharmacologically blocking interaction of APP with Dab and Numb may provide novel therapeutic strategies of AD. The notion of attenuating γ-secretase cleavage of APP via the APP adaptor proteins, Dab and Numb, is particularly attractive with regard to therapeutic potential, given that side effects of γ-secretase inhibition owing to impaired proteolysis of other γ-secretase substrates, e.g. Notch, might be avoided. PMID:23211096

  17. Mount Ararat, Turkey, Perspective with Landsat Image Overlay

    Science.gov (United States)

    2004-01-01

    This perspective view shows Mount Ararat in easternmost Turkey, which has been the site of several searches for the remains of Noah's Ark. The main peak, known as Great Ararat, is the tallest peak in Turkey, rising to 5165 meters (16,945 feet). This southerly, near horizontal view additionally shows the distinctly conically shaped peak known as 'Little Ararat' on the left. Both peaks are volcanoes that are geologically young, but activity during historic times is uncertain.This image was generated from a Landsat satellite image draped over an elevation model produced by the Shuttle Radar Topography Mission (SRTM). The view uses a 1.25-times vertical exaggeration to enhance topographic expression. Natural colors of the scene are enhanced by image processing, inclusion of some infrared reflectance (as green) to highlight the vegetation pattern, and inclusion of shading of the elevation model to further highlight the topographic features. Volcanoes pose hazards for people, the most obvious being the threat of eruption. But other hazards are associated with volcanoes too. In 1840 an earthquake shook the Mount Ararat region, causing an unstable part of mountain's north slope to tumble into and destroy a village. Visualizations of satellite imagery when combined with elevation models can be used to reveal such hazards leading to disaster prevention through improved land use planning.But the hazards of volcanoes are balanced in part by the benefits they provide. Over geologic time volcanic materials break down to form fertile soils. Cultivation of these soils has fostered and sustained civilizations, as has occurred in the Mount Ararat region. Likewise, tall volcanic peaks often catch precipitation, providing a water supply to those civilizations. Mount Ararat hosts an icefield and set of glaciers, as seen here in this late summer scene, that are part of this beneficial natural processElevation data used in this image was acquired by the Shuttle Radar Topography Mission

  18. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  19. "Split Cast Mounting: Review and New Technique".

    Science.gov (United States)

    Gundawar, S M; Pande, Neelam A; Jaiswal, Priti; Radke, U M

    2014-12-01

    For the fabrication of a prosthesis, the Prosthodontist meticulously performs all the steps. The laboratory technician then make every effort/strives to perform the remaining lab procedures. However when the processed dentures are remounted on the articulator, some changes are seen. These changes may be divided into two categories: Pre-insertion and post-insertion changes, which deal with the physical properties of the materials involved (Parker, J Prosthet Dent 31:335-342, 1974). Split cast mounting is the method of mounting casts on the articulator. It is essentially a maxillary cast constructed in two parts with a horizontal division. The procedure allows for the verification of the accuracy of the initial mounting and the ease of removal and replacement of the cast. This provides a precise means of correcting the changes in occlusion occurring as a result of the processing technique (Nogueira et al., J Prosthet Dent 91:386-388, 2004). Instability of the split mounting has always been a problem to the Prosthodontist thereby limiting its use. There are various materials mentioned in the literature. The new technique by using Dowel pins and twill thread is very easy, cheaper and simple way to stabilize the split mounting. It is useful and easy in day to day laboratory procedures. The article presents different methods of split cast mounting and the new procedure using easily available materials in prosthetic laboratory.

  20. Dental development in Down syndrome and healthy children: a comparative study using the Demirjian method.

    Science.gov (United States)

    van der Linden, M S; Vucic, S; van Marrewijk, D J F; Ongkosuwito, E M

    2017-05-01

    In children with Down syndrome, the timing of dental eruption is important for orthodontics treatment planning. Aim of this study was to determine whether tooth eruption and development of the dentition in children with Down syndrome are impaired. Dental development was scored on orthopantomograms (OPTs) of 95 children with Down syndrome. The dental age was determined at the left mandibular side according to the Demirjian method and by converting the assigned scores to the dental maturity score. Dental development scores of control children and DS children were compared with a mixed model linear regression analysis. The model showed statistically significant changes relating to increasing age (Pchildren and the control group after using the Nyström imputation (with and without hypodontia). The findings showed that dental development in DS children is similar to the development of control children and that a relationship exists between hypodontia and dental development. The clinically observed late eruption is probably not due to late dental development but due to the other processes that take place during eruption, such as the possible impaired processes at the apical side and the occlusal side of an erupting element. © 2017 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  1. Down sampled signal processing for a B Factory bunch-by-bunch feedback system

    International Nuclear Information System (INIS)

    Hindi, H.; Hosseini, W.; Briggs, D.; Fox, J.; Hutton, A.

    1992-03-01

    A bunch-by-bunch feedback scheme is studied for damping coupled bunch synchrotron oscillations in the proposed PEP II B Factory. The quasi-linear feedback systems design incorporates a phase detector to provide a quantized measure of bunch phase, digital signal processing to compute an error correction signal and a kicker system to correct the energy of the bunches. A farm of digital processors, operating in parallel, is proposed to compute correction signals for the 1658 bunches of the B Factory. This paper studies the use of down sampled processing to reduce the computational complexity of the feedback system. We present simulation results showing the effect of down sampling on beam dynamics. Results show that down sampled processing can reduce the scale of the processing task by a factor of 10

  2. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  3. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  4. Decoding of top-down cognitive processing for SSVEP-controlled BMI

    Science.gov (United States)

    Min, Byoung-Kyong; Dähne, Sven; Ahn, Min-Hee; Noh, Yung-Kyun; Müller, Klaus-Robert

    2016-11-01

    We present a fast and accurate non-invasive brain-machine interface (BMI) based on demodulating steady-state visual evoked potentials (SSVEPs) in electroencephalography (EEG). Our study reports an SSVEP-BMI that, for the first time, decodes primarily based on top-down and not bottom-up visual information processing. The experimental setup presents a grid-shaped flickering line array that the participants observe while intentionally attending to a subset of flickering lines representing the shape of a letter. While the flickering pixels stimulate the participant’s visual cortex uniformly with equal probability, the participant’s intention groups the strokes and thus perceives a ‘letter Gestalt’. We observed decoding accuracy of 35.81% (up to 65.83%) with a regularized linear discriminant analysis; on average 2.05-fold, and up to 3.77-fold greater than chance levels in multi-class classification. Compared to the EEG signals, an electrooculogram (EOG) did not significantly contribute to decoding accuracies. Further analysis reveals that the top-down SSVEP paradigm shows the most focalised activation pattern around occipital visual areas; Granger causality analysis consistently revealed prefrontal top-down control over early visual processing. Taken together, the present paradigm provides the first neurophysiological evidence for the top-down SSVEP BMI paradigm, which potentially enables multi-class intentional control of EEG-BMIs without using gaze-shifting.

  5. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R.; Storm, David F.; Meyer, David J.; Zhang, Weidong; Brown, Elliott R.

    2016-01-01

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm 2 and a peak-to-valley current ratio of ≈1.15 across different sizes.

  6. Highly repeatable room temperature negative differential resistance in AlN/GaN resonant tunneling diodes grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Growden, Tyler A.; Fakhimi, Parastou; Berger, Paul R., E-mail: pberger@ieee.org [Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio 43210 (United States); Storm, David F.; Meyer, David J. [U.S. Naval Research Laboratory, Washington, DC 20375 (United States); Zhang, Weidong; Brown, Elliott R. [Departments of Physics and Electrical Engineering, Wright State University, Dayton, Ohio 45435 (United States)

    2016-08-22

    AlN/GaN resonant tunneling diodes grown on low dislocation density semi-insulating bulk GaN substrates via plasma-assisted molecular-beam epitaxy are reported. The devices were fabricated using a six mask level, fully isolated process. Stable room temperature negative differential resistance (NDR) was observed across the entire sample. The NDR exhibited no hysteresis, background light sensitivity, or degradation of any kind after more than 1000 continuous up-and-down voltage sweeps. The sample exhibited a ∼90% yield of operational devices which routinely displayed an average peak current density of 2.7 kA/cm{sup 2} and a peak-to-valley current ratio of ≈1.15 across different sizes.

  7. RESONANT STEP-DOWN DC-DC POWER CONVERTERS

    DEFF Research Database (Denmark)

    2015-01-01

    The present invention relates to a resonant step-down DC-DC power converter which comprises a primary side circuit and a secondary side circuit coupled through a galvanic isolation barrier. The primary side circuit comprises a positive and a negative input terminal for receipt of an input voltage...... charged from the input voltage and discharged to the output capacitor through the galvanic isolation barrier by a semiconductor switch arrangement in accordance with a switch control signal to produce the converter output voltage. The resonant step-down DC-DC power converter comprises an electrical short......-circuit connection across the galvanic isolation barrier connecting, in a first case, the second negative electrode of the output capacitor to the positive input terminal of the primary side circuit or, in a second case, connecting the second positive electrode of the output capacitor to the negative input terminal...

  8. Compact AC susceptometer for fast sample characterization down to 0.1 K

    Energy Technology Data Exchange (ETDEWEB)

    Yonezawa, Shingo, E-mail: yonezawa@scphys.kyoto-u.ac.jp; Higuchi, Takumi; Sugimoto, Yusuke; Sow, Chanchal; Maeno, Yoshiteru [Department of Physics, Graduate School of Science, Kyoto University, Kyoto 606-8502 (Japan)

    2015-09-15

    We report a new design of an AC magnetic susceptometer compatible with the Physical Properties Measurement System (PPMS) by Quantum Design, as well as with its adiabatic demagnetization refrigerator option. With the elaborate compact design, the susceptometer allows simple and quick sample mounting process. The high performance of the susceptometer down to 0.1 K is demonstrated using several superconducting and magnetic materials. This susceptometer provides a method to quickly investigate qualities of a large number of samples in the wide temperature range between 0.1 and 300 K.

  9. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  10. [Clinical observation on nanometer acupoint mounting method for alleviation of myospasm complicated by spinal injury].

    Science.gov (United States)

    Zhang, Su-Jie; Si, Tong; Li, Zhi

    2008-11-01

    To observe clinical effect of nanometer acupoint mounting method for alleviation of myospasm complicated by spinal injury. Sixty cases were randomly divided into an observation group and a control group, 30 cases in each group. The observation group were treated by nanometer mounting at 4 Jiaji (EX-B 2) points each on both sides of the affected spine and Shenshu (BL 23), Shangliao (BL 31), Ciliao (BL 32), Yang-lingquan (GB 34), Xuanzhong (GB 39); and the control group by mounting zinc oxide sticking tablets at the above acupoints. The mounting was replaced once each two days, 7 times constituting one course. One week and one month after the end of 3 courses, their results were recorded, respectively. Before treatment, there was no significant difference between the two groups in grades of the myospasm degree (P > 0.05). One week after the end of treatment, 15 cases were grade I of myospasm, 9 cases were grade II, 5 cases were grade III and 1 case was grade IV in the observation group, and 1 cases grade I, 7 cases grade II, 14 cases grade III, 8 cases grade IV in the control group. Ridit analysis on the data indicated that there were significant differences before and after treatment in the myospasm degree (P mounting method is a new one for alleviation of myospasm complicated by spinal injury, with convenience, safety and no side effect.

  11. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  12. Anisotropic electrical properties of epitaxial Yba2Cu3O7-gd films on (110) SrTiO3

    International Nuclear Information System (INIS)

    Gupta, A.; Koren, G.; Baseman, R.J.; Segmuller, A.; Holber, W.

    1989-01-01

    Epitaxial thin films of YBa 2 Cu 3 O 7 - δ were deposited on (110) SrTiO 3 at 600 degrees C in the presence of atomic oxygen using the laser ablation technique. X-ray diffraction patterns in the standard Bragg and grazing incidence modes show epitaxial growth of the films with their c-axis and axis parallel to the and directions in the plane of the substrate, respectively. Superconductivity with T c (R = ) = 82 K was found along the direction in the basal plane, whereas finite resistivity down to 5 k was observed along the c-axis direction. The authors maintain that these preliminary results suggest that YBa 2 Cu 3 O 7 - δ behaves like a true two-dimensional superconductor

  13. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  14. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  15. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  16. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  17. Effects of epitaxial structure and processing on electrical characteristics of InAs-based nBn infrared detectors

    Science.gov (United States)

    Du, X.; Savich, G. R.; Marozas, B. T.; Wicks, G. W.

    2017-02-01

    The conventional processing of the III-V nBn photodetectors defines mesa devices by etching the contact n-layer and stopping immediately above the barrier, i.e., a shallow etch. This processing enables great suppression of surface leakage currents without having to explore surface passivation techniques. However, devices that are made with this processing scheme are subject to lateral diffusion currents. To address the lateral diffusion current, we compare the effects of different processing approaches and epitaxial structures of nBn detectors. The conventional solution for eliminating lateral diffusion current, a deep etch through the barrier and the absorber, creates increased dark currents and an increased device failure rate. To avoid deep etch processing, a new device structure is proposed, the inverted-nBn structure. By comparing with the conventional nBn structure, the results show that the lateral diffusion current is effectively eliminated in the inverted-nBn structure without elevating the dark currents.

  18. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  19. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  20. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  1. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  2. Photovoltaic mounting/demounting unit

    DEFF Research Database (Denmark)

    2014-01-01

    The present invention relates to a photovoltaic arrangement comprising a photovoltaic assembly comprising a support structure defining a mounting surface onto which a photovoltaic module is detachably mounted; and a mounting/demounting unit comprising at least one mounting/demounting apparatus...... which when the mounting/demounting unit is moved along the mounting surface, causes the photovoltaic module to be mounted or demounted to the support structure; wherein the photovoltaic module comprises a carrier foil and wherein a total thickness of the photo voltaic module is below 500 muiotaeta....... The present invention further relates to an associated method for mounting/demounting photovoltaic modules....

  3. RNAi-mediated knock-down of Dab and Numb attenuate Aβ levels via γ-secretase mediated APP processing

    Directory of Open Access Journals (Sweden)

    Xie Zhongcong

    2012-03-01

    Full Text Available Abstract Amyloid-β-protein (Aβ, the key component of senile plaques in Alzheimer's disease (AD brain, is produced from amyloid precursor protein (APP by cleavage of β-secretase and then γ-secretase. APP adaptor proteins with phosphotyrosine-binding (PTB domains, including Dab (gene: DAB and Numb (gene: NUMB, can bind to and interact with the conserved YENPTY-motif in the APP C-terminus. Here we describe, for the first time, the effects of RNAi knock-down of Dab and Numb expression on APP processing and Aβ production. RNAi knock-down of Dab and Numb in H4 human neuroglioma cells stably transfected to express either FL-APP (H4-FL-APP cells or APP-C99 (H4-APP-C99 cells increased levels of APP-C-terminal fragments (APP-CTFs and lowered Aβ levels in both cell lines by inhibiting γ-secretase cleavage of APP. Finally, RNAi knock-down of APP also reduced levels of Numb in H4-APP cells. These findings suggest that pharmacologically blocking interaction of APP with Dab and Numb may provide novel therapeutic strategies of AD. The notion of attenuating γ-secretase cleavage of APP via the APP adaptor proteins, Dab and Numb, is particularly attractive with regard to therapeutic potential, given that side effects of γ-secretase inhibition owing to impaired proteolysis of other γ-secretase substrates, e.g. Notch, might be avoided.

  4. Comparison of the top-down and bottom-up approach to fabricate nanowire-based Silicon/Germanium heterostructures

    International Nuclear Information System (INIS)

    Wolfsteller, A.; Geyer, N.; Nguyen-Duc, T.-K.; Das Kanungo, P.; Zakharov, N.D.; Reiche, M.; Erfurth, W.; Blumtritt, H.; Werner, P.; Goesele, U.

    2010-01-01

    Silicon nanowires (NWs) and vertical nanowire-based Si/Ge heterostructures are expected to be building blocks for future devices, e.g. field-effect transistors or thermoelectric elements. In principle two approaches can be applied to synthesise these NWs: the 'bottom-up' and the 'top-down' approach. The most common method for the former is the vapour-liquid-solid (VLS) mechanism which can also be applied to grow NWs by molecular beam epitaxy (MBE). Although MBE allows a precise growth control under highly reproducible conditions, the general nature of the growth process via a eutectic droplet prevents the synthesis of heterostructures with sharp interfaces and high Ge concentrations. We compare the VLS NW growth with two different top-down methods: The first is a combination of colloidal lithography and metal-assisted wet chemical etching, which is an inexpensive and fast method and results in large arrays of homogenous Si NWs with adjustable diameters down to 50 nm. The second top-down method combines the growth of Si/Ge superlattices by MBE with electron beam lithography and reactive ion etching. Again, large and homogeneous arrays of NWs were created, this time with a diameter of 40 nm and the Si/Ge superlattice inside.

  5. MOUNT HOOD WILDERNESS AND ADJACENT AREAS, OREGON.

    Science.gov (United States)

    Keith, T.E.C.; Causey, J.D.

    1984-01-01

    A mineral survey of the Mount Hood Wilderness, Oregon, was conducted. Geochemical data indicate two areas of substantiated mineral-resource potential containing weak epithermal mineralization: an area of the north side of Zigzag Mountain where vein-type lead-zinc-silver deposits occur and an area of the south side of Zigzag Mountain, where the upper part of a quartz diorite pluton has propylitic alteration associated with mineralization of copper, gold, silver, lead, and zinc in discontinuous veins. Geothermal-resource potential for low- to intermediate-temperature (less than 248 degree F) hot-water systems in the wilderness is probable in these areas. Part of the wilderness is classified as a Known Geothermal Resource Area (KGRA), which is considered to have probable geothermal-resource potential, and two parts of the wilderness have been included in geothermal lease areas.

  6. Photovoltaic array mounting apparatus, systems, and methods

    Science.gov (United States)

    West, John Raymond; Atchley, Brian; Hudson, Tyrus Hawkes; Johansen, Emil

    2014-12-02

    An apparatus for mounting a photovoltaic (PV) module on a surface, including a support with an upper surface, a lower surface, tabs, one or more openings, and a clip comprising an arm and a notch, where the apparatus resists wind forces and seismic forces and creates a grounding electrical bond between the PV module, support, and clip. The invention further includes a method for installing PV modules on a surface that includes arranging supports in rows along an X axis and in columns along a Y axis on a surface such that in each row the distance between two neighboring supports does not exceed the length of the longest side of a PV module and in each column the distance between two neighboring supports does not exceed the length of the shortest side of a PV module.

  7. Conceptual Design of Bottom-mounted Control Rod Drive Mechanism

    International Nuclear Information System (INIS)

    Lee, Jin Haeng; Kim, Sanghaun; Yoo, Yeonsik; Cho, Yeonggarp; Kim, Dongmin; Kim, Jong In

    2013-01-01

    The arrangement of the BMCRDMs and irradiation holes in the core is therefore easier than that of the top-mounted CRDM. Hence, many foreign research reactors, such as JRR-3M, JMTR, OPAL, and CARR, have adopted the BMCRDM concept. The purpose of this paper is to introduce the basic design concept on the BMCRDM. The major differences of the CRDMs between HANARO and KJRR are compared, and the design features and individual system of the BMCRDM for the KJRR are described. The Control Rod Drive Mechanism (CRDM) is a device to regulate the reactor power by changing the position of a Control Absorber Rod (CAR) and to shut down the reactor by fully inserting the CAR into the core within a specified time. The Bottom-Mounted CRDM (BMCRDM) for the KiJang Research Reactor (KJRR) is a quite different design concept compared to the top-mounted CRDM such as HANARO and JRTR. The main drive mechanism of the BMCRDM is located in a Reactivity Control Mechanism (RCM) room under the reactor pool bottom, which makes the interference with equipment in the reactor pool reduced

  8. Conceptual Design of Bottom-mounted Control Rod Drive Mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin Haeng; Kim, Sanghaun; Yoo, Yeonsik; Cho, Yeonggarp; Kim, Dongmin; Kim, Jong In [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2013-05-15

    The arrangement of the BMCRDMs and irradiation holes in the core is therefore easier than that of the top-mounted CRDM. Hence, many foreign research reactors, such as JRR-3M, JMTR, OPAL, and CARR, have adopted the BMCRDM concept. The purpose of this paper is to introduce the basic design concept on the BMCRDM. The major differences of the CRDMs between HANARO and KJRR are compared, and the design features and individual system of the BMCRDM for the KJRR are described. The Control Rod Drive Mechanism (CRDM) is a device to regulate the reactor power by changing the position of a Control Absorber Rod (CAR) and to shut down the reactor by fully inserting the CAR into the core within a specified time. The Bottom-Mounted CRDM (BMCRDM) for the KiJang Research Reactor (KJRR) is a quite different design concept compared to the top-mounted CRDM such as HANARO and JRTR. The main drive mechanism of the BMCRDM is located in a Reactivity Control Mechanism (RCM) room under the reactor pool bottom, which makes the interference with equipment in the reactor pool reduced.

  9. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  10. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  11. Thermally induced texture flip in semiconducting polymer stabilized by epitaxial relationship

    Science.gov (United States)

    O'Hara, Kathryn A.; Pokuri, Balaji S. S.; Takacs, Christopher J.; Beaujuge, Pierre M.; Ganapathysubramanian, Baskar; Chabinyc, Michael L.

    The morphology of semiconducting polymer films has a large effect on the charge transport properties. Charges can move easily along the conjugated backbone and in the pi-pi stacking direction. However, transport through the film is determined by the connectivity between domains, which is not well understood. We previously observed quadrites in the polymer, PSBTBT, and proposed that the preferential overlap between lamellae may improve connectivity and provide an additional conduction pathway. Now, the presence of quadrites is revealed in another successful donor polymer, PBDTTPD, using high resolution transmission electron microscopy (HRTEM). A study of how side-chain substitution affects the epitaxial crossing is conducted by examining several PBDTTPD derivatives. The stability of the film texture with annealing is also examined as a function of quadrite formation. It has been shown that heating some semicrystalline polymers above the melting temperature and slow cooling can flip the lamellar texture from face-on to edge-on. We hypothesize that the orientation of lamellar crystallites in PBDTTPD films is stabilized by the epitaxial overlap between adjacent crystalline domains. This may have important implications for the electronic transport properties.

  12. Geology of the Ugashik-Mount Peulik Volcanic Center, Alaska

    Science.gov (United States)

    Miller, Thomas P.

    2004-01-01

    The Ugashik-Mount Peulik volcanic center, 550 km southwest of Anchorage on the Alaska Peninsula, consists of the late Quaternary 5-km-wide Ugashik caldera and the stratovolcano Mount Peulik built on the north flank of Ugashik. The center has been the site of explosive volcanism including a caldera-forming eruption and post-caldera dome-destructive activity. Mount Peulik has been formed entirely in Holocene time and erupted in 1814 and 1845. A large lava dome occupies the summit crater, which is breached to the west. A smaller dome is perched high on the southeast flank of the cone. Pyroclastic-flow deposits form aprons below both domes. One or more sector-collapse events occurred early in the formation of Mount Peulik volcano resulting in a large area of debris-avalanche deposits on the volcano's northwest flank. The Ugashik-Mount Peulik center is a calcalkaline suite of basalt, andesite, dacite, and rhyolite, ranging in SiO2 content from 51 to 72 percent. The Ugashik-Mount Peulik magmas appear to be co-genetic in a broad sense and their compositional variation has probably resulted from a combination of fractional crystallization and magma-mixing. The most likely scenario for a future eruption is that one or more of the summit domes on Mount Peulik are destroyed as new magma rises to the surface. Debris avalanches and pyroclastic flows may then move down the west and, less likely, east flanks of the volcano for distances of 10 km or more. A new lava dome or series of domes would be expected to form either during or within some few years after the explosive disruption of the previous dome. This cycle of dome disruption, pyroclastic flow generation, and new dome formation could be repeated several times in a single eruption. The volcano poses little direct threat to human population as the area is sparsely populated. The most serious hazard is the effect of airborne volcanic ash on aircraft since Mount Peulik sits astride heavily traveled air routes connecting the U

  13. Experimental investigations of superconductivity in quasi-two-dimensional epitaxial copper oxide superlattices and trilayers

    International Nuclear Information System (INIS)

    Lowndes, D.H.; Norton, D.P.

    1993-01-01

    Epitaxial trilayer and superlattice structures grown by pulsed laser ablation have been used to study the superconducting-to-normal transition of ultrathin (one and two c-axis unit cells) YBa 2 Cu 3 O 7-x layers. The normalized flux-flow resistances for several epitaxial structures containing two-cell-thick YBa 2 Cu 3 O 7-x films collapse onto the ''universal'' curve of the Ginzburg-Landau Coulomb Gas (GLCG) model. Analysis of normalized resistance data for a series of superlattices containing one-cell-thick YBa 2 Cu 3 O 7-x layers also is consistent with the behavior expected for quasi-two-dimensional layers in a highly anisotropic, layered three-dimensional superconductor. Current-voltage measurements for one of the trilayer structures also are consistent with the normalized resistance data, and with the GLCG model. Scanning tunneling microscopy, transmission electron microscopy, and electrical transport studies show that growth-related steps in ultrathin YBa 2 Cu 3 O 7-x layers affect electrical continuity over macroscopic distances, acting as weak links. However , the perturbation of the superconducting order parameter can be minimized by utilizing hole-doped buffer and cap layers, on both sides of the YBa 2 Cu 3 O 7-x layer, in trilayers and superlattices. These results demonstrate the usefulness of epitaxial trilayer and superlattice structures as tools for systematic, fundamental studies of high-temperature superconductivity

  14. Experimental research and numerical simulation on cryogenic line chill-down process

    Science.gov (United States)

    Jin, Lingxue; Cho, Hyokjin; Lee, Cheonkyu; Jeong, Sangkwon

    2018-01-01

    The empirical heat transfer correlations are suggested for the fast cool down process of the cryogenic transfer line from room temperature to cryogenic temperature. The correlations include the heat transfer coefficient (HTC) correlations for single-phase gas convection and film boiling regimes, minimum heat flux (MHF) temperature, critical heat flux (CHF) temperature and CHF. The correlations are obtained from the experimental measurements. The experiments are conducted on a 12.7 mm outer diameter (OD), 1.25 mm wall thickness and 7 m long stainless steel horizontal pipe with liquid nitrogen (LN2). The effect of the lengthwise position is verified by measuring the temperature profiles in near the inlet and the outlet of the transfer line. The newly suggested heat transfer correlations are applied to the one-dimensional homogeneous transient model to simulate the cryogenic line chill-down process, and the chill-down time and the cryogen consumption are well predicted in the mass flux range from 26.0 kg/m2 s to 73.6 kg/m2 s through the correlations.

  15. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  16. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  17. Comparative analysis of whole mount processing and systematic sampling of radical prostatectomy specimens: pathological outcomes and risk of biochemical recurrence.

    Science.gov (United States)

    Salem, Shady; Chang, Sam S; Clark, Peter E; Davis, Rodney; Herrell, S Duke; Kordan, Yakup; Wills, Marcia L; Shappell, Scott B; Baumgartner, Roxelyn; Phillips, Sharon; Smith, Joseph A; Cookson, Michael S; Barocas, Daniel A

    2010-10-01

    Whole mount processing is more resource intensive than routine systematic sampling of radical retropubic prostatectomy specimens. We compared whole mount and systematic sampling for detecting pathological outcomes, and compared the prognostic value of pathological findings across pathological methods. We included men (608 whole mount and 525 systematic sampling samples) with no prior treatment who underwent radical retropubic prostatectomy at Vanderbilt University Medical Center between January 2000 and June 2008. We used univariate and multivariate analysis to compare the pathological outcome detection rate between pathological methods. Kaplan-Meier curves and the log rank test were used to compare the prognostic value of pathological findings across pathological methods. There were no significant differences between the whole mount and the systematic sampling groups in detecting extraprostatic extension (25% vs 30%), positive surgical margins (31% vs 31%), pathological Gleason score less than 7 (49% vs 43%), 7 (39% vs 43%) or greater than 7 (12% vs 13%), seminal vesicle invasion (8% vs 10%) or lymph node involvement (3% vs 5%). Tumor volume was higher in the systematic sampling group and whole mount detected more multiple surgical margins (each p systematic sampling yield similar pathological information. Each method stratifies patients into comparable risk groups for biochemical recurrence. Thus, while whole mount is more resource intensive, it does not appear to result in improved detection of clinically important pathological outcomes or prognostication. Copyright © 2010 American Urological Association Education and Research, Inc. Published by Elsevier Inc. All rights reserved.

  18. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  19. GaN-on-Si blue/white LEDs: epitaxy, chip, and package

    Science.gov (United States)

    Qian, Sun; Wei, Yan; Meixin, Feng; Zengcheng, Li; Bo, Feng; Hanmin, Zhao; Hui, Yang

    2016-04-01

    The dream of epitaxially integrating III-nitride semiconductors on large diameter silicon is being fulfilled through the joint R&D efforts of academia and industry, which is driven by the great potential of GaN-on-silicon technology in improving the efficiency yet at a much reduced manufacturing cost for solid state lighting and power electronics. It is very challenging to grow high quality GaN on Si substrates because of the huge mismatch in the coefficient of thermal expansion (CTE) and the large mismatch in lattice constant between GaN and silicon, often causing a micro-crack network and a high density of threading dislocations (TDs) in the GaN film. Al-composition graded AlGaN/AlN buffer layers have been utilized to not only build up a compressive strain during the high temperature growth for compensating the tensile stress generated during the cool down, but also filter out the TDs to achieve crack-free high-quality n-GaN film on Si substrates, with an X-ray rocking curve linewidth below 300 arcsec for both (0002) and (101¯2) diffractions. Upon the GaN-on-Si templates, prior to the deposition of p-AlGaN and p-GaN layers, high quality InGaN/GaN multiple quantum wells (MQWs) are overgrown with well-engineered V-defects intentionally incorporated to shield the TDs as non-radiative recombination centers and to enhance the hole injection into the MQWs through the via-like structures. The as-grown GaN-on-Si LED wafers are processed into vertical structure thin film LED chips with a reflective p-electrode and the N-face surface roughened after the removal of the epitaxial Si(111) substrates, to enhance the light extraction efficiency. We have commercialized GaN-on-Si LEDs with an average efficacy of 150-160 lm/W for 1mm2 LED chips at an injection current of 350 mA, which have passed the 10000-h LM80 reliability test. The as-produced GaN-on-Si LEDs featured with a single-side uniform emission and a nearly Lambertian distribution can adopt the wafer-level phosphor

  20. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  1. The teaching-learning process of plastic expression in students with Down syndrome

    Directory of Open Access Journals (Sweden)

    Julio Antonio Conill Armenteros

    2018-03-01

    Full Text Available The drawing constitutes a means through which the child expresses the level of physical, mental, emotional and creative development achieved and plays an important role in the plastic expression. The study took into account the identification of needs in the teaching - learning process of plastic expression in students with Down syndrome, for which a didactic strategy was designed that contains actions of a teaching nature and establishes interdisciplinary links between the different subjects of the curriculum. The investigative process was conducted on a dialectical-materialist basis and methods were used at the theoretical, empirical and statistical-mathematical levels, such as: documentary analysis, interview, drawing technique, among others. Five students with Down syndrome participated in the study of the special school "28 de Enero" of Pinar del Río and the instructor who directs the workshops of creation of Plastic Arts. The investigations allowed to determine the regularities that distinguish the process of teaching - learning of the plastic expression in these students, as well as the needs of the Plastic Arts instructor for the conduction of said process. The didactic strategy allowed the process of teaching - learning of the plastic expression to encourage creativity and the development of motor skills, from the projection of actions that contribute to the diagnosis and treatment of this process in order to achieve the maximum integral development possible and the preparation for the independent adult life of the school student with Down syndrome.

  2. Static Analysis of Processes for No Read-Up and No Write-Down

    DEFF Research Database (Denmark)

    Bodei, C.; Degano, P.; Nielson, Flemming

    1999-01-01

    We study a variant of the no read-up/no write-down security property of Bell and LaPadula for processes in the π-calculus. Once processes are given levels of security clearance, we statically check that a process at a high level never sends names to processes at a lower level. The static check...

  3. Photovoltaic module mounting system

    Science.gov (United States)

    Miros, Robert H. J. [Fairfax, CA; Mittan, Margaret Birmingham [Oakland, CA; Seery, Martin N [San Rafael, CA; Holland, Rodney H [Novato, CA

    2012-04-17

    A solar array mounting system having unique installation, load distribution, and grounding features, and which is adaptable for mounting solar panels having no external frame. The solar array mounting system includes flexible, pedestal-style feet and structural links connected in a grid formation on the mounting surface. The photovoltaic modules are secured in place via the use of attachment clamps that grip the edge of the typically glass substrate. The panel mounting clamps are then held in place by tilt brackets and/or mid-link brackets that provide fixation for the clamps and align the solar panels at a tilt to the horizontal mounting surface. The tilt brackets are held in place atop the flexible feet and connected link members thus creating a complete mounting structure.

  4. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  5. Design of a braze alloy for fast epitaxial brazing of superalloys

    Science.gov (United States)

    Piegert, S.; Laux, B.; Rösier, J.

    2012-07-01

    For the repair of directionally solidified turbine components made of nickel-based superalloys, a new high-temperature brazing method has been developed. Utilising heterogeneous nucleation on the crack surface, the microstructure of the base material can be reproduced, i.e. single crystallinity can be maintained. In contrast to commonly used eutectic braze alloys, such as nickel-boron or nickel-silicon systems, the process is not diffusion controlled but works with a consolute binary base system. The currently applied epitaxial brazing methods rely on isothermal solidification diffusing the melting point depressants into the base material until their concentration is reduced so that the liquid braze solidifies. Contrary, the identified Ni-Mn consolute system enables a temperature driven epitaxial solidification resulting in substantially reduced process duration. The development of the braze alloys was assisted using the CALPHAD software Thermo-Calc. The solidification behaviour was estimated by kinetic calculations with realistic boundary conditions. Finally, the complete system, including braze alloy as well as substrate material, was modelled by means of DICTRA. Subsequently, the thermodynamic properties of the braze alloys were experimentally analysed by DSC measurements. For brazing experiments 300 μm wide parallel gaps were used. Complete epitaxial solidification, i.e. the absence of high-angle grain boundaries, could be achieved within brazing times being up to two orders of magnitude shorter compared to diffusion brazing processes. Theoretically and experimentally evaluated process windows reveal similar shapes. However, a distinct shift has to be stated which can be ascribed to the limited accuracy of the underlying thermodynamic databases.

  6. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  7. Magnetic core mounting system

    Science.gov (United States)

    Ronning, Jeffrey J.

    2002-01-01

    A mounting apparatus for an electromagnetic device such as a transformer of inductor includes a generally planar metallic plate as a first heat sink, and a metallic mounting cup as a second heat sink. The mounting cup includes a cavity configured to receive the electromagnetic device, the cavity being defined by a base, and an axially-extending annular sidewall extending from the base to a flange portion of the mounting cup. The mounting cup includes first and second passages for allowing the leads of first and second windings of the electromagnetic device to be routed out of the cavity. The cavity is filled with a polyurethane potting resin, and the mounting cup, including the potted electromagnetic device, is mounted to the plate heat sink using fasteners. The mounting cup, which surrounds the electromagnetic device, in combination with the potting resin provides improved thermal transfer to the plate heat sink, as well as providing resistance to vibration and shocks.

  8. Concentric Multiple Rings by Droplet Epitaxy: Fabrication and Study of the Morphological Anisotropy

    Directory of Open Access Journals (Sweden)

    Somaschini C

    2010-01-01

    Full Text Available Abstract We present the Molecular Beam Epitaxy fabrication of complex GaAs/AlGaAs nanostructures by Droplet Epitaxy, characterized by the presence of concentric multiple rings. We propose an innovative experimental procedure that allows the fabrication of individual portions of the structure, controlling their diameter by only changing the substrate temperature. The obtained nanocrystals show a significant anisotropy between [110] and [1–10] crystallographic directions, which can be ascribed to different activation energies for the Ga atoms migration processes.

  9. Processos cognitivos e plasticidade cerebral na Síndrome de Down Cognitive processes and brain plasticity in Down Syndrome

    Directory of Open Access Journals (Sweden)

    Maria de Fátima Minetto Caldeira Silva

    2006-04-01

    discuss some of the recent discoveries related to cognitive processes in Down Syndrome, so as to show how important brain plasticity can be in development and acquisition of knowledge. To this end, we aim to discuss cognitive processes present in Down Syndrome, relating them to general concepts of brain plasticity and look at ways such knowledge can favor learning. We acknowledge that it isn't possible to exhaust the subject, but we do intend to start thinking about this issue. To do so, we begin with a review of the literature, looking at research, from the oldest to the most recent publications, in an attempt to better understand how to make use of these findings.

  10. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  11. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  12. An Appreciative View of the Brighter Side of Terror Management Processes

    OpenAIRE

    Kenneth E. Vail, III; Jacob Juhl

    2015-01-01

    Physical death is an inevitable part of life. From the perspective of terror management theory (TMT), people’s efforts to manage the awareness of death can sometimes have harmful social consequences. However, those negative consequences are merely one side of the existential coin. In considering the other side of the coin, the present article highlights the more beneficial trajectories of the terror management process. For example, the awareness of mortality can motivate people to prioritize ...

  13. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  14. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  15. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  16. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  17. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  18. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  19. Optoelectronic Mounting Structure

    Science.gov (United States)

    Anderson, Gene R.; Armendariz, Marcelino G.; Baca, Johnny R. F.; Bryan, Robert P.; Carson, Richard F.; Chu, Dahwey; Duckett, III, Edwin B.; McCormick, Frederick B.; Peterson, David W.; Peterson, Gary D.; Reber, Cathleen A.; Reysen, Bill H.

    2004-10-05

    An optoelectronic mounting structure is provided that may be used in conjunction with an optical transmitter, receiver or transceiver module. The mounting structure may be a flexible printed circuit board. Thermal vias or heat pipes in the head region may transmit heat from the mounting structure to the heat spreader. The heat spreader may provide mechanical rigidity or stiffness to the heat region. In another embodiment, an electrical contact and ground plane may pass along a surface of the head region so as to provide an electrical contact path to the optoelectronic devices and limit electromagnetic interference. In yet another embodiment, a window may be formed in the head region of the mounting structure so as to provide access to the heat spreader. Optoelectronic devices may be adapted to the heat spreader in such a manner that the devices are accessible through the window in the mounting structure.

  20. A Versatile Mounting Method for Long Term Imaging of Zebrafish Development.

    Science.gov (United States)

    Hirsinger, Estelle; Steventon, Ben

    2017-01-26

    Zebrafish embryos offer an ideal experimental system to study complex morphogenetic processes due to their ease of accessibility and optical transparency. In particular, posterior body elongation is an essential process in embryonic development by which multiple tissue deformations act together to direct the formation of a large part of the body axis. In order to observe this process by long-term time-lapse imaging it is necessary to utilize a mounting technique that allows sufficient support to maintain samples in the correct orientation during transfer to the microscope and acquisition. In addition, the mounting must also provide sufficient freedom of movement for the outgrowth of the posterior body region without affecting its normal development. Finally, there must be a certain degree in versatility of the mounting method to allow imaging on diverse imaging set-ups. Here, we present a mounting technique for imaging the development of posterior body elongation in the zebrafish D. rerio. This technique involves mounting embryos such that the head and yolk sac regions are almost entirely included in agarose, while leaving out the posterior body region to elongate and develop normally. We will show how this can be adapted for upright, inverted and vertical light-sheet microscopy set-ups. While this protocol focuses on mounting embryos for imaging for the posterior body, it could easily be adapted for the live imaging of multiple aspects of zebrafish development.

  1. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  2. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  3. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  4. Polarized Emission from Conjugated Polymer Chains Aligned by Epitaxial Growth during Off-Center Spin-Coating

    Directory of Open Access Journals (Sweden)

    Takuya Anzai

    2017-01-01

    Full Text Available Due to their macromolecular nature, conjugated polymers can be relatively easily aligned by applying a variety of processes resulting in either elongation or ordering of their conjugated backbones. Processes that induce chain alignment include electrospinning, mechanical rubbing, epitaxial growth, and nanoconfinement and unidirectional deposition techniques such as off-center spin-coating. In this study, we compare these deposition techniques by applying them to a green-emitting conjugated polymer material that exhibits liquid crystalline phase behavior. Our study reveals that while methods such as electrospinning and mechanical rubbing can be useful to locally generate polymer chain alignment, the combination of epitaxial growth using 1,3,5-trichlorobenzene as crystallizing agent with off-center spin-coating results in the formation of anisotropic nanofiber-like structures with enhanced crystallinity degree and polarized light-emission properties. The unidirectional epitaxial growth was also applied to a red-emitting polymer that exhibits polarization ratios up to 4.1. Our results emphasize that this simple solution formulation and process can be used for the fabrication of polarized thin films of a variety of conjugated polymers with potential applications in the advanced display technologies or analytical equipment fields.

  5. Liner mounting assembly

    Science.gov (United States)

    Halila, Ely E. (Inventor)

    1994-01-01

    A mounting assembly includes an annular supporting flange disposed coaxially about a centerline axis which has a plurality of circumferentially spaced apart supporting holes therethrough. An annular liner is disposed coaxially with the supporting flange and includes a plurality of circumferentially spaced apart mounting holes aligned with respective ones of the supporting holes. Each of a plurality of mounting pins includes a proximal end fixedly joined to the supporting flange through a respective one of the supporting holes, and a distal end disposed through a respective one of the liner mounting holes for supporting the liner to the supporting flange while unrestrained differential thermal movement of the liner relative to the supporting flange.

  6. Bottom-up and top-down emotion generation: implications for emotion regulation

    Science.gov (United States)

    Misra, Supriya; Prasad, Aditya K.; Pereira, Sean C.; Gross, James J.

    2012-01-01

    Emotion regulation plays a crucial role in adaptive functioning and mounting evidence suggests that some emotion regulation strategies are often more effective than others. However, little attention has been paid to the different ways emotions can be generated: from the ‘bottom-up’ (in response to inherently emotional perceptual properties of the stimulus) or ‘top-down’ (in response to cognitive evaluations). Based on a process priming principle, we hypothesized that mode of emotion generation would interact with subsequent emotion regulation. Specifically, we predicted that top-down emotions would be more successfully regulated by a top-down regulation strategy than bottom-up emotions. To test this hypothesis, we induced bottom-up and top-down emotions, and asked participants to decrease the negative impact of these emotions using cognitive reappraisal. We observed the predicted interaction between generation and regulation in two measures of emotional responding. As measured by self-reported affect, cognitive reappraisal was more successful on top-down generated emotions than bottom-up generated emotions. Neurally, reappraisal of bottom-up generated emotions resulted in a paradoxical increase of amygdala activity. This interaction between mode of emotion generation and subsequent regulation should be taken into account when comparing of the efficacy of different types of emotion regulation, as well as when reappraisal is used to treat different types of clinical disorders. PMID:21296865

  7. Modelling Of Monazite Ore Break-Down By Alkali Process Spectrometry

    International Nuclear Information System (INIS)

    Visetpotjanakit, Suputtra; Changkrueng, Kalaya; Pichestapong, Pipat

    2005-10-01

    A computer modelling has been developed for the calculation of mass balance of monazite ore break-down by alkali process at Rare Earth Research and Development Center. The process includes the following units : ore digestion by concentrate NaOH, dissolution of digested ore by HCl, uranium and thorium precipitation and crystallization of Na3PO4 which is by-product from this process. The model named RRDCMBP was prepared in Visual Basic language. The modelling program can be run on personal computer and it is interactive and easy to use. User is able to choose any equipment in each unit process and input data to get output of mass balance results. The model could be helpful in the process analysis for the further process adjustment and development

  8. The Demand Side in Economic Models of Energy Markets: The Challenge of Representing Consumer Behavior

    Energy Technology Data Exchange (ETDEWEB)

    Krysiak, Frank C., E-mail: frank.krysiak@unibas.ch; Weigt, Hannes [Department of Business and Economics, University of Basel, Basel (Switzerland)

    2015-05-19

    Energy models play an increasing role in the ongoing energy transition processes either as tools for forecasting potential developments or for assessments of policy and market design options. In recent years, these models have increased in scope and scale and provide a reasonable representation of the energy supply side, technological aspects and general macroeconomic interactions. However, the representation of the demand side and consumer behavior has remained rather simplistic. The objective of this paper is twofold. First, we review existing large-scale energy model approaches, namely bottom-up and top-down models, with respect to their demand-side representation. Second, we identify gaps in existing approaches and draft potential pathways to account for a more detailed demand-side and behavior representation in energy modeling.

  9. The Demand Side in Economic Models of Energy Markets: The Challenge of Representing Consumer Behavior

    Directory of Open Access Journals (Sweden)

    Frank eKrysiak

    2015-05-01

    Full Text Available Energy models play an increasing role in the ongoing energy transition processes either as tools for forecasting potential developments or for assessments of policy and market design options. In recent years these models have increased in scope and scale and provide a reasonable representation of the energy supply side, technological aspects and general macroeconomic interactions. However, the representation of the demand side and consumer behavior has remained rather simplistic. The objective of this paper is twofold. First, we review existing large scale energy model approaches, namely bottom-up and top-down models, with respect to their demand side representation. Second, we identify gaps in existing approaches and draft potential pathways to account for a more detailed demand side and behavior representation in energy modeling.

  10. The Demand Side in Economic Models of Energy Markets: The Challenge of Representing Consumer Behavior

    International Nuclear Information System (INIS)

    Krysiak, Frank C.; Weigt, Hannes

    2015-01-01

    Energy models play an increasing role in the ongoing energy transition processes either as tools for forecasting potential developments or for assessments of policy and market design options. In recent years, these models have increased in scope and scale and provide a reasonable representation of the energy supply side, technological aspects and general macroeconomic interactions. However, the representation of the demand side and consumer behavior has remained rather simplistic. The objective of this paper is twofold. First, we review existing large-scale energy model approaches, namely bottom-up and top-down models, with respect to their demand-side representation. Second, we identify gaps in existing approaches and draft potential pathways to account for a more detailed demand-side and behavior representation in energy modeling.

  11. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  12. Charged particle detection performances of CMOS pixel sensors produced in a 0.18 μm process with a high resistivity epitaxial layer

    Science.gov (United States)

    Senyukov, S.; Baudot, J.; Besson, A.; Claus, G.; Cousin, L.; Dorokhov, A.; Dulinski, W.; Goffe, M.; Hu-Guo, C.; Winter, M.

    2013-12-01

    The apparatus of the ALICE experiment at CERN will be upgraded in 2017/18 during the second long shutdown of the LHC (LS2). A major motivation for this upgrade is to extend the physics reach for charmed and beauty particles down to low transverse momenta. This requires a substantial improvement of the spatial resolution and the data rate capability of the ALICE Inner Tracking System (ITS). To achieve this goal, the new ITS will be equipped with 50 μm thin CMOS Pixel Sensors (CPS) covering either the three innermost layers or all the 7 layers of the detector. The CPS being developed for the ITS upgrade at IPHC (Strasbourg) is derived from the MIMOSA 28 sensor realised for the STAR-PXL at RHIC in a 0.35 μm CMOS process. In order to satisfy the ITS upgrade requirements in terms of readout speed and radiation tolerance, a CMOS process with a reduced feature size and a high resistivity epitaxial layer should be exploited. In this respect, the charged particle detection performance and radiation hardness of the TowerJazz 0.18 μm CMOS process were studied with the help of the first prototype chip MIMOSA 32. The beam tests performed with negative pions of 120 GeV/c at the CERN-SPS allowed to measure a signal-to-noise ratio (SNR) for the non-irradiated chip in the range between 22 and 32 depending on the pixel design. The chip irradiated with the combined dose of 1 MRad and 1013neq /cm2 was observed to yield an SNR ranging between 11 and 23 for coolant temperatures varying from 15 °C to 30 °C. These SNR values were measured to result in particle detection efficiencies above 99.5% and 98% before and after irradiation, respectively. These satisfactory results allow to validate the TowerJazz 0.18 μm CMOS process for the ALICE ITS upgrade.

  13. Charged particle detection performances of CMOS pixel sensors produced in a 0.18μm process with a high resistivity epitaxial layer

    Energy Technology Data Exchange (ETDEWEB)

    Senyukov, S., E-mail: serhiy.senyukov@cern.ch; Baudot, J.; Besson, A.; Claus, G.; Cousin, L.; Dorokhov, A.; Dulinski, W.; Goffe, M.; Hu-Guo, C.; Winter, M.

    2013-12-01

    The apparatus of the ALICE experiment at CERN will be upgraded in 2017/18 during the second long shutdown of the LHC (LS2). A major motivation for this upgrade is to extend the physics reach for charmed and beauty particles down to low transverse momenta. This requires a substantial improvement of the spatial resolution and the data rate capability of the ALICE Inner Tracking System (ITS). To achieve this goal, the new ITS will be equipped with 50μm thin CMOS Pixel Sensors (CPS) covering either the three innermost layers or all the 7 layers of the detector. The CPS being developed for the ITS upgrade at IPHC (Strasbourg) is derived from the MIMOSA 28 sensor realised for the STAR-PXL at RHIC in a 0.35μm CMOS process. In order to satisfy the ITS upgrade requirements in terms of readout speed and radiation tolerance, a CMOS process with a reduced feature size and a high resistivity epitaxial layer should be exploited. In this respect, the charged particle detection performance and radiation hardness of the TowerJazz0.18μm CMOS process were studied with the help of the first prototype chip MIMOSA 32. The beam tests performed with negative pions of 120 GeV/c at the CERN-SPS allowed to measure a signal-to-noise ratio (SNR) for the non-irradiated chip in the range between 22 and 32 depending on the pixel design. The chip irradiated with the combined dose of 1 MRad and 10{sup 13}n{sub eq}/cm{sup 2} was observed to yield an SNR ranging between 11 and 23 for coolant temperatures varying from 15 °C to 30 °C. These SNR values were measured to result in particle detection efficiencies above 99.5% and 98% before and after irradiation, respectively. These satisfactory results allow to validate the TowerJazz0.18μm CMOS process for the ALICE ITS upgrade.

  14. Side Effects of Nitrification Inhibitors on Non Target Microbial Processes in Soils

    OpenAIRE

    Johannes Carl Gottlieb Ottow; Gero Benckiser; Ferisman Tindaon

    2011-01-01

    Agricultural chemicals have been used extensively in modern agriculture and toxicological studies suggest a great potential for inducing undesirable effects on non target organisms. A model experiment was conducted in order to determine side effects of three nitrification inhibitors (NIs, 3,4dimethylpyrazolephosphate = DMPP, 4-Chlormethylpyrazole phosphate = ClMPP and dicyandiamide = DCD) on non target microbial processes in soils. Side effects and dose response curve of three NIs were quanti...

  15. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  16. Extreme triple asymmetric (ETAS) epitaxial designs for increased efficiency at high powers in 9xx-nm diode lasers

    Science.gov (United States)

    Kaul, T.; Erbert, G.; Maaßdorf, A.; Martin, D.; Crump, P.

    2018-02-01

    Broad area lasers that are tailored to be most efficient at the highest achievable optical output power are sought by industry to decrease operation costs and improve system performance. Devices using Extreme-Double-ASymmetric (EDAS) epitaxial designs are promising candidates for improved efficiency at high optical output powers due to low series resistance, low optical loss and low carrier leakage. However, EDAS designs leverage ultra-thin p-side waveguides, meaning that the optical mode is shifted into the n-side waveguide, resulting in a low optical confinement in the active region, low gain and hence high threshold current, limiting peak performance. We introduce here explicit design considerations that enable EDAS-based devices to be developed with increased optical confinement in the active layer without changing the p-side layer thicknesses. Specifically, this is realized by introducing a third asymmetric component in the vicinity of the quantum well. We call this approach Extreme-Triple-ASymmetric (ETAS) design. A series of ETAS-based vertical designs were fabricated into broad area lasers that deliver up to 63% power conversion efficiency at 14 W CW optical output power from a 100 μm stripe laser, which corresponds to the operation point of a kW optical output power in a laser bar. The design process, the impact of structural changes on power saturation mechanisms and finally devices with improved performance will be presented.

  17. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  18. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  19. Side Chain Engineering in Solution-Processable Conjugated Polymers

    KAUST Repository

    Mei, Jianguo

    2014-01-14

    Side chains in conjugated polymers have been primarily utilized as solubilizing groups. However, these side chains have roles that are far beyond. We advocate using side chain engineering to tune a polymer\\'s physical properties, including absorption, emission, energy level, molecular packing, and charge transport. To date, numerous flexible substituents suitable for constructing side chains have been reported. In this Perspective article, we advocate that the side chain engineering approach can advance better designs for next-generation conjugated polymers. © 2013 American Chemical Society.

  20. Managing Change: the people side of implementing CRM processes

    OpenAIRE

    Hann, David

    2006-01-01

    This report has been produced with the remit of analysing the people side of change management with regard to a Customer Relationship Management (CRM) process implementation at Jupiter Design (Jupiter). An increasing churn of clients and 12- years of growth has made Jupiter realise they must maximise revenues from existing clients. The adoption of a CRM approach has been suggested as a possible solution.

  1. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  2. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  3. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  4. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  5. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  6. Electrolytic in process dressing (ELID) applied to double side grinding of ceramic materials

    Science.gov (United States)

    Spanu, Cristian E.

    The objective of the present work is to design, optimize, and validate an electrolytic in-process dressing (ELID)-assisted double side grinding process for finishing advanced ceramic components. To attain this objective, an original ELID double side grinding system was designed, fabricated, and operated at Precision Micro-Machining Center at The University of Toledo, Ohio. The ELID technique was selected from among other options to assure the in-situ dressing of the metal-bonded superabrasive grinding wheel and to maintain its cutting ability throughout the operation, which is, otherwise, a challenging enterprise. Optimizing the ELID double side grinding process parameters is an important goal of the present study. To achieve this goal, a complex integrated model was developed and validated through extensive experimental testing. Four analytical computerized models were developed and integrated: (1) an improved kinematic model of double side grinding accounting for workpiece rotation, which is used to simulate the grinding trajectories; (2) a microscopic model of the interaction between a single diamond grit and the work surface, which is used to predict the volume of material removed; (3) a stochastic model for the topographical characterization of the superabrasive wheel, which leads to a new prediction method of depth of indentation; and (4) an electrolytic oxidation model, which explains the dynamics of the oxide layer. In order to validate the models and to confirm the optimized process, experimental tests were conducted under different conditions: with vitrified and metallic bond grinding wheels, with various average grain sizes of diamond grits, with different superabrasive concentrations, with different grinding fluids, with and without ELID assistance. Our findings show that an optimized ceramic double side grinding process using fine diamond grit is more efficient than lapping in producing very fine surfaces. The experiments confirmed the superiority of

  7. Oral history of Florence Downs; the early years.

    Science.gov (United States)

    Fairman, J; Mahon, M M

    2001-01-01

    Florence Downs is a well-recognized nursing leader, educator, editor, and scholar who helped shape nursing as an intellectual discipline, and wrote extensively about the importance of links between research and practice. Through the use of oral history data garnered over 15 hours of interviews, we constructed a narrative that describes some of Downs' formative experiences. Oral history is used to place the "stories" of an individual into a social and cultural context, in this case, the development of the profession of nursing. From the interviews, several strands emerged that defined Downs' extended career, including the importance of developing a community of scholars both in and outside of nursing, the dangers of parochialism, and the necessity of a perspective on life that melded a keen sense of humor. Factors that affected Downs' style and choice, especially her mother, and her educational experiences, were revealed. From the interviews we gained a sense of how Downs constructed her conceptual universe of nursing, as well as the language and political effectiveness to overcome barriers confronting the intellectual growth of nursing mounted by other nursing leaders as well as traditional academic disciplines.

  8. The Four-Part Literature Review Process: Breaking It Down for Students

    Science.gov (United States)

    Price, Rebecca H.

    2017-01-01

    Both undergraduate and graduate students face similar challenges when tasked with writing literature reviews. Breaking down the literature review into a four-part process helps students decrease frustration and increase quality. This article provides usable advice for anyone teaching or writing literature reviews. Tips and illustrations illuminate…

  9. Mount Protects Thin-Walled Glass or Ceramic Tubes from Large Thermal and Vibration Loads

    Science.gov (United States)

    Amato, Michael; Schmidt, Stephen; Marsh. James; Dahya, Kevin

    2011-01-01

    object. A release agent is applied to the inside surface of the mount just before the binding potting material is injected in the mount. This prevents the potting material from bonding to the mount, and thus prevents stress from being applied, at very low temperatures, to the fragile object being mounted. The potting material mixing and curing is temperature- and humidity-controlled. The mount has radial grooves cut in it that the potting material fills, thus controlling the vertical position of the mounted object. The design can easily be used for long and thin objects, short and wide objects, and any shape in between. The design s advantages are amplified for long and thin fragile objects. The general testing range was 45 to +45 C, but multiple mounts were successfully tested down to 60 and up to 50 C and the design can be adjusted for larger ranges.

  10. TEM study of xenon bubbles evolution in Xe-implanted Cu. Bubbles shape, epitaxial orientation and adsorption phenomenon

    International Nuclear Information System (INIS)

    Guillot, J.; Cartraud, M.; Garem, H.; Templier, C.; Desoyer, J.C.

    1987-01-01

    TEM is used to perform a study of xenon clusters changes in Xe-implanted Cu. After implantation, xenon is gathered into f.c.c. crystalline precipitates with a lattice parameter value of 0.580 nm. During annealing at 600 0 C large (110) facetted bubbles appear (2r≅35 nm) which contain fluid xenon. When cooling down to 100 K, xenon solidifies on bubbles facets in form of a thin membrane. The epitaxial orientations between solid xenon and copper are the same as adsorbed Xe on Cu(110) [fr

  11. Monitoring the snowpack volume in a sinkhole on Mount Lebanon using time lapse Photogrammetry

    Science.gov (United States)

    Abou Chakra, C.; Gascoin, S.; Somma, J.; Drapeau, L.; Fanise, P.

    2017-12-01

    Lebanon is one of the richest country in the Middle East for water resources, thanks to its mountain ranges that trigger precipitation from the moist air masses coming from the Mediterranean Sea. Snowpack acts as natural water storage in winter and supply fresh water during spring and summer. Yet, Lebanon is facing a serious water scarcity problem due to: i) decreasing amount of precipitation and climate change; ii) major growth of population of original residence and large number of refugees during regional wars. Therefore, continuous and systematic monitoring of the Lebanese water resources is becoming crucial. The Mount Lebanon is made of karstic depressions named "sinkholes". It is important to monitor the snowmelt process inside these sinkholes because of their key role as "containers" of seasonal snow. By isolating the snowpack from sun radiation and wind, they slow down the natural melting process and sublimation, thus delaying as well the low water flow period. An observatory is set up to monitor the snowpack evolution in a pilot sinkhole located in Mount Lebanon. The system uses three time-lapse cameras and structure-from-motion principles to reconstruct the snow volume within the sinkhole. The approach is validated by standard topographic surveys. The results indicate that snow depth can be retrieved with an accuracy between 20 and 60 cm (residuals standard deviation) and a low bias of 50 cm after coregistration of the digital elevation models.

  12. Side Chain Engineering in Solution-Processable Conjugated Polymers

    KAUST Repository

    Mei, Jianguo; Bao, Zhenan

    2014-01-01

    Side chains in conjugated polymers have been primarily utilized as solubilizing groups. However, these side chains have roles that are far beyond. We advocate using side chain engineering to tune a polymer's physical properties, including absorption

  13. Cathodoluminescence of GaN diped with Zn in the process of epitaxial growth and by the method of ionic implantation

    International Nuclear Information System (INIS)

    Khasanov, I.Sh.; Gippius, A.A.; Kuznetsov, A.V.; Petrov, M.N.; Sletov, M.M.

    1984-01-01

    The cathodoluminescence (CL) method was used to investigate the epitaxial GaN layers doped with Zn both in the process of growth and by the method of ionic implantation for the purpose of clarifying the effect of doping technique on impurity luminescence. It was shown that (2-3)x10 18 cm -3 concentration is optimal with respect to intensity of impurity ''blue'' luminescence for gallium nitride doped with Zn. The intensity of GaN ''blue'' luminescence during Zn ionic implantation is several times lower as compared with doping in the process of growth. This is related to incomplete optical activation of impurity atoms in the process of postimplantation thermal annealing. Increase of zinc concentration above optimal during ionic implantation intensifies crystal lattice disordering, which is supported by intensification of 1.7 eV cathodoluminescence band in spectra conditioned by defects

  14. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  15. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  16. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  17. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  18. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  19. Inferential processes in readers with Down syndrome

    Directory of Open Access Journals (Sweden)

    Rosende-Vázquez, Marta

    2013-03-01

    Full Text Available The aim of this work is to know if the source of the difficulty in making inferences, readers with Down syndrome, is in access to prior knowledge or constructing ideas from purely textual knowledge (based on Saldaña and Frith, 2002 for autism. Involved a sample of 20 students with Down syndrome and mild mental retardation (mean IQ = 60 and a control group of 20 children without cognitive deficits. They were matched as to their extent read metal age via Prueba de Evaluación del Retraso Lector (average 8 years. We created two experimental situations: a subjects had to generate inferences based on physical knowledge, b social inferences about knowledge. The ability to check and reaction times in the activation of inferences about physical and social knowledge. We also analyzed the influence that the effect "priming". Results showed: a a rate of correct inferences similar verification tasks between the two groups, b Down subjects take longer to access knowledge that the previous text, c reaction times used by subjects Down were higher in activating physical inferences, d there were no significant differences in the population without reaction times gap between physical and social inferences e subjects without deficits benefited effect "priming" in both types of inferences f Down subjects only improve reaction time in the inferences of social nature. El presente estudio pretende conocer si el origen de la dificultad para realizar inferencias, en lectores con Síndrome de Down, se encuentra en el acceso al conocimiento previo o en la construcción de ideas a partir del conocimiento puramente textual (basándonos en Saldaña y Frith, 2002 para autismo. Participó una muestra de 20 alumnos con Síndrome de Down y discapacidad mental leve (media de C.I.= 60 y un grupo control de 20 alumnos sin déficit cognitivo. Ambos fueron igualados en cuanto a su edad mental lectora medida a través de la Prueba de Evaluación del Retraso Lector (media 8 a

  20. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  1. Polymethylmethacrylate-based luminescent solar concentrators with bottom-mounted solar cells

    International Nuclear Information System (INIS)

    Zhang, Yi; Sun, Song; Kang, Rui; Zhang, Jun; Zhang, Ningning; Yan, Wenhao; Xie, Wei; Ding, Jianjun; Bao, Jun; Gao, Chen

    2015-01-01

    Graphical abstract: - Highlights: • Bottom-mounted luminescent solar concentrators on dye-doped plates were studied. • The mechanism of transport process was proposed. • The fabricated luminescent solar concentrator achieved a gain of 1.38. • Power conversion efficiency of 5.03% was obtained with cell area coverage of 27%. • The lowest cost per watt of $1.89 was optimized with cell area coverage of 18%. - Abstract: Luminescent solar concentrators offer an attractive approach to concentrate sunlight economically without tracking, but the narrow absorption band of luminescent materials hinders their further development. This paper describes bottom-mounted luminescent solar concentrators on dye-doped polymethylmethacrylate plates that absorb not only the waveguided light but also the transmitted sunlight and partial fluorescent light in the escape cone. A series of bottom-mounted luminescent solar concentrators with size of 78 mm × 78 mm × 7 mm were fabricated and their gain and power conversion efficiency were investigated. The transport process of the waveguided light and the relationship between the bottom-mounted cells were studied to optimize the performance of the device. The bottom-mounted luminescent solar concentrator with cell area coverage of 9% displayed a cell gain of 1.38, to our best knowledge, which is the highest value for dye-doped polymethylmethacrylate plate luminescent solar concentrators. Power conversion efficiency as high as 5.03% was obtained with cell area coverage of 27%. Furthermore, the bottom-mounted luminescent solar concentrator was found to have a lowest cost per watt of $1.89 with cell area coverage of 18%. These results suggested that the fabricated bottom-mounted luminescent solar concentrator may have a potential in low-cost building integrated photovoltaic application

  2. Characterization of reclaimed GaAs substrates and investigation of reuse for thin film InGaAlP LED epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Englhard, M.; Klemp, C.; Behringer, M.; Rudolph, A. [OSRAM Opto Semiconductors GmbH, Leibnizstr. 4, 93055 Regensburg (Germany); Skibitzki, O.; Zaumseil, P. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Institute of Physics and Chemistry, BTU Cottbus-Senftenberg, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2016-07-28

    This study reports a method to reuse GaAs substrates with a batch process for thin film light emitting diode (TF-LED) production. The method is based on an epitaxial lift-off technique. With the developed reclaim process, it is possible to get an epi-ready GaAs surface without additional time-consuming and expensive grinding/polishing processes. The reclaim and regrowth process was investigated with a one layer epitaxial test structure. The GaAs surface was characterized by an atomic force microscope directly after the reclaim process. The crystal structure of the regrown In{sub 0.5}(Ga{sub 0.45}Al{sub 0.55}){sub 0.5}P (Q{sub 55}) layer was investigated by high resolution x-ray diffraction and scanning transmission electron microscopy. In addition, a complete TF-LED grown on reclaimed GaAs substrates was electro-optically characterized on wafer level. The crystal structure of the epitaxial layers and the performance of the TF-LED grown on reclaimed substrates are not influenced by the developed reclaim process. This process would result in reducing costs for LEDs and reducing much arsenic waste for the benefit of a green semiconductor production.

  3. Shoulder-Mounted Robot for MRI-guided arthrography: Accuracy and mounting study.

    Science.gov (United States)

    Monfaredi, R; Wilson, E; Sze, R; Sharma, K; Azizi, B; Iordachita, I; Cleary, K

    2015-08-01

    A new version of our compact and lightweight patient-mounted MRI-compatible 4 degree-of-freedom (DOF) robot for MRI-guided arthrography procedures is introduced. This robot could convert the traditional two-stage arthrography procedure (fluoroscopy-guided needle insertion followed by a diagnostic MRI scan) to a one-stage procedure, all in the MRI suite. The results of a recent accuracy study are reported. A new mounting technique is proposed and the mounting stability is investigated using optical and electromagnetic tracking on an anthropomorphic phantom. Five volunteer subjects including 2 radiologists were asked to conduct needle insertion in 4 different random positions and orientations within the robot's workspace and the displacement of the base of the robot was investigated during robot motion and needle insertion. Experimental results show that the proposed mounting method is stable and promising for clinical application.

  4. Micro-inverter solar panel mounting

    Science.gov (United States)

    Morris, John; Gilchrist, Phillip Charles

    2016-02-02

    Processes, systems, devices, and articles of manufacture are provided. Each may include adapting micro-inverters initially configured for frame-mounting to mounting on a frameless solar panel. This securement may include using an adaptive clamp or several adaptive clamps secured to a micro-inverter or its components, and using compressive forces applied directly to the solar panel to secure the adaptive clamp and the components to the solar panel. The clamps can also include compressive spacers and safeties for managing the compressive forces exerted on the solar panels. Friction zones may also be used for managing slipping between the clamp and the solar panel during or after installation. Adjustments to the clamps may be carried out through various means and by changing the physical size of the clamps themselves.

  5. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  6. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  7. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  8. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  9. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  10. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  11. Direct Down-scale Experiments of Concentration Column Designs for SHINE Process

    Energy Technology Data Exchange (ETDEWEB)

    Youker, Amanda J. [Argonne National Lab. (ANL), Argonne, IL (United States); Stepinski, Dominique C. [Argonne National Lab. (ANL), Argonne, IL (United States); Vandegrift, George F. [Argonne National Lab. (ANL), Argonne, IL (United States)

    2017-05-01

    Argonne is assisting SHINE Medical Technologies in their efforts to become a domestic Mo-99 producer. The SHINE accelerator-driven process uses a uranyl-sulfate target solution for the production of fission-product Mo-99. Argonne has developed a molybdenum recovery and purification process for this target solution. The process includes an initial Mo recovery column followed by a concentration column to reduce the product volume from 15-25 L to < 1 L prior to entry into the LEU Modified Cintichem (LMC) process for purification.1 This report discusses direct down-scale experiments of the plant-scale concentration column design, where the effects of loading velocity and temperature were investigated.

  12. Microstructures and Microhardness Properties of CMSX-4® Additively Fabricated Through Scanning Laser Epitaxy (SLE)

    Science.gov (United States)

    Basak, Amrita; Holenarasipura Raghu, Shashank; Das, Suman

    2017-12-01

    Epitaxial CMSX-4® deposition is achieved on CMSX-4® substrates through the scanning laser epitaxy (SLE) process. A thorough analysis is performed using various advanced material characterization techniques, namely high-resolution optical microscopy, scanning electron microscopy, energy-dispersive x-ray spectroscopy, x-ray diffraction, and Vickers microhardness measurements, to characterize and compare the quality of the SLE-fabricated CMSX-4® deposits to the CMSX-4® substrates. The results show that the CMSX-4® deposits have smaller primary dendritic arm spacing, finer γ/ γ' size, weaker elemental segregation, and higher microhardness compared to the investment cast CMSX-4® substrates. The results presented here demonstrate that CMSX-4® is an attractive material for laser-based AM processing and, therefore, can be used in the fabrication of gas turbine hot-section components through AM processing.

  13. Analysis of adjusting effects of mounting force on frequency conversion of mounted nonlinear optics.

    Science.gov (United States)

    Su, Ruifeng; Liu, Haitao; Liang, Yingchun; Lu, Lihua

    2014-01-10

    Motivated by the need to increase the second harmonic generation (SHG) efficiency of nonlinear optics with large apertures, a novel mounting configuration with active adjusting function on the SHG efficiency is proposed and mechanically and optically studied. The adjusting effects of the mounting force on the distortion and stress are analyzed by the finite element methods (FEM), as well as the contribution of the distortion and stress to the change in phase mismatch, and the SHG efficiency are theoretically stated. Further on, the SHG efficiency is calculated as a function of the mounting force. The changing trends of the distortion, stress, and the SHG efficiency with the varying mounting force are obtained, and the optimal ones are figured out. Moreover, the mechanism of the occurrence of the optimal values is studied and the adjusting strategy is put forward. Numerical results show the robust adjustment of the mounting force, as well as the effectiveness of the mounting configuration, in increasing the SHG efficiency.

  14. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  15. Surface Reaction Kinetics of Ga(1-x)In(x)P Growth During Pulsed Chemical Beam Epitaxy

    National Research Council Canada - National Science Library

    Dietz, N; Beeler, S. C; Schmidt, J. W; Tran, H. T

    2000-01-01

    ... into the surface reaction kinetics during an organometallic deposition process. These insights will allow us to move the control point closer to the point where the growth occurs, which in a chemical been epitaxy process is a surface reaction layer (SRL...

  16. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  17. Synthesis of side-chain polystyrenes for all organic solution processed OLEDs

    OpenAIRE

    Lorente Sánchez, Alejandro Jose (Dr.)

    2017-01-01

    In the present work side-chain polystyrenes were synthesized and characterized, in order to be applied in multilayer OLEDs fabricated by solution process techniques. Manufacture of optoelectronic devices by solution process techniques is meant to decrease significantly fabrication cost and allow large scale production of such devices. This dissertation focusses in three series, enveloped in two material classes. The two classes differ to each other in the type of charge transport exhibited...

  18. Self-propelled trolley with articulated arms, tank and disengageable driving device to be mounted on the said trolley

    International Nuclear Information System (INIS)

    Asty, M.; Lerat, B.; Saglio, R.; Viard, J.

    1984-01-01

    This trolley can move between two walls, especially in the vessels inter-space of a fast reactor. This trolley has two wheels keeping in contact with one of the two walls and connected to the body of the trolley by two longitudinal arms, and two wheels keeping in contact with the other wall symmetrically mounted with regard to the others and connected to the body of the trolley by two side arms. The longitudinal and side arm are folding to allow the passage of the present device through a penetration shaft. The trolley carries a tank feeding a liquid onto the walls. The liquid is used for coupling the walls to ultrasonic transducers mounted on the trolley and employed to locate any defects in the walls. The present invention can be applied to ultrasonic testing of fast reactor vessels, to detect defects in metal walls, especially at welds [fr

  19. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  20. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  1. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  2. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  3. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  4. Efficient Exciton Diffusion and Resonance-Energy Transfer in Multi-Layered Organic Epitaxial Nanofibers

    DEFF Research Database (Denmark)

    Tavares, Luciana; Cadelano, Michele; Quochi, Francesco

    2015-01-01

    Multi-layered epitaxial nanofibers are exemplary model systems for the study of exciton dynamics and lasing in organic materials due to their well-defined morphology, high luminescence efficiencies, and color tunability. We resort to temperature-dependent cw and picosecond photoluminescence (PL......) spectroscopy to quantify exciton diffusion and resonance-energy transfer (RET) processes in multi-layered nanofibers consisting of alternating layers of para-hexaphenyl (p6P) and α-sexithiophene (6T), serving as exciton donor and acceptor material, respectively. The high probability for RET processes...... is confirmed by Quantum Chemical calculations. The activation energy for exciton diffusion in p6P is determined to be as low as 19 meV, proving p6P epitaxial layers also as a very suitable donor material system. The small activation energy for exciton diffusion of the p6P donor material, the inferred high p6P...

  5. Mount Baker lahars and debris flows, ancient, modern, and future

    Science.gov (United States)

    Tucker, David S; Scott, Kevin M.; Grossman, Eric E.; Linneman, Scott

    2014-01-01

    The Middle Fork Nooksack River drains the southwestern slopes of the active Mount Baker stratovolcano in northwest Washington State. The river enters Bellingham Bay at a growing delta 98 km to the west. Various types of debris flows have descended the river, generated by volcano collapse or eruption (lahars), glacial outburst floods, and moraine landslides. Initial deposition of sediment during debris flows occurs on the order of minutes to a few hours. Long-lasting, down-valley transport of sediment, all the way to the delta, occurs over a period of decades, and affects fish habitat, flood risk, gravel mining, and drinking water.

  6. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    International Nuclear Information System (INIS)

    Dorokhov, A.; Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R.; Deveaux, M.; Doziere, G.; Dulinski, W.; Fontaine, J.-C.; Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I.

    2010-01-01

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10μm pitch device was found to be ∼10 13 n eq /cm 2 , while it was only 2x10 12 n eq /cm 2 for a 20μm pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10 14 ) n eq /cm 2 . This goal relies on a fabrication process featuring a 15μm thin, high resistivity (∼1kΩcm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages ( 13 n eq /cm 2 ), making evidence of a significant extension of the radiation tolerance limits of MAPS.

  7. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  8. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  9. The Osceola Mudflow from Mount Rainier: Sedimentology and hazard implications of a huge clay-rich debris flow

    Science.gov (United States)

    Vallance, J.W.; Scott, K.M.

    1997-01-01

    The 3.8 km3 Osceola Mudflow began as a water-saturated avalanche during phreatomagmatic eruptions at the summit of Mount Rainier about 5600 years ago. It filled valleys of the White River system north and northeast of Mount Rainier to depths of more than 100 m, flowed northward and westward more than 120 km, covered more than 200 km2 of the Puget Sound lowland, and extended into Puget Sound. The lahar had a velocity of ???19 m/s and peak discharge of ???2.5 ?? 106 m3/s, 40 to 50 km downstream, and was hydraulically dammed behind a constriction. It was coeval with the Paradise lahar, which flowed down the south side of Mount Rainier, and was probably related to it genetically. Osceola Mudflow deposits comprise three facies. The axial facies forms normally graded deposits 1.5 to 25 m thick in lowlands and valley bottoms and thinner ungraded deposits in lowlands; the valley-side facies forms ungraded deposits 0.3 to 2 m thick that drape valley slopes; and the hummocky facies, interpreted before as a separate (Greenwater) lahar, forms 2-10-m-thick deposits dotted with numerous hummocks up to 20 m high and 60 m in plan. Deposits show progressive downstream improvement in sorting, increase in sand and gravel, and decrease in clay. These downstream progressions are caused by incorporation (bulking) of better sorted gravel and sand. Normally graded axial deposits show similar trends from top to bottom because of bulking. The coarse-grained basal deposits in valley bottoms are similar to deposits near inundation limits. Normal grading in deposits is best explained by incremental aggradation of a flow wave, coarser grained at its front than at its tail. The Osceola Mudflow transformed completely from debris avalanche to clay-rich (cohesive) lahar within 2 km of its source because of the presence within the preavalanche mass of large volumes of pore water and abundant weak hydrothermally altered rock. A survey of cohesive lahars suggests that the amount of hydrothermally

  10. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  11. Using Priors to Compensate Geometrical Problems in Head-Mounted Eye Trackers

    DEFF Research Database (Denmark)

    Batista Narcizo, Fabricio; Ahmed, Zaheer; Hansen, Dan Witzner

    The use of additional information (a.k.a. priors) to help the eye tracking process is presented as an alternative to compensate classical geometrical problems in head-mounted eye trackers. Priors can be obtained from several distinct sources, such as: sensors to collect information related...... estimation specially for uncalibrated head-mounted setups....

  12. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  13. Mounting for ceramic scroll

    Science.gov (United States)

    Petty, Jack D.

    1993-01-01

    A mounting for a ceramic scroll on a metal engine block of a gas turbine engine includes a first ceramic ring and a pair of cross key connections between the first ceramic ring, the ceramic scroll, and the engine block. The cross key connections support the scroll on the engine block independent of relative radial thermal growth and for bodily movement toward an annular mounting shoulder on the engine. The scroll has an uninterrupted annular shoulder facing the mounting shoulder on the engine block. A second ceramic ring is captured between mounting shoulder and the uninterrupted shoulder on the scroll when the latter is bodily shifted toward the mouting shoulder to define a gas seal between the scroll and the engine block.

  14. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth processEpitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  15. Effects of pollutants on bottom-up and top-down processes in insect-plant interactions

    International Nuclear Information System (INIS)

    Butler, Casey D.; Trumble, John T.

    2008-01-01

    Bottom-up (host plant quality) and top-down (natural enemies) forces both influence the fitness and population dynamics of herbivores. However, the impact of pollutants acting on these forces has not been examined, which prompted us to review the literature to test hypotheses regarding this area of research. A comprehensive literature search found 126 references which examined fitness components and population dynamics of 203 insect herbivores. One hundred and fifty-three of the 203 herbivores (75.4%) had fitness impacted due to bottom-up factors in polluted environments. In contrast, only 20 of the 203 (9.9%) had fitness significantly impacted due to top-down factors in polluted environments. The paucity of results for top-down factors impacting fitness does not necessarily mean that top-down factors are less important, but rather that fewer studies include natural enemies. We provide a synthesis of available data by pollution type and herbivore guild, and suggest future research to address this issue. - Pollutants can affect insect herbivores through bottom-up and, possibly, top-down processes

  16. Solar panel truss mounting systems and methods

    Energy Technology Data Exchange (ETDEWEB)

    Al-Haddad, Tristan Farris; Cavieres, Andres; Gentry, Russell; Goodman, Joseph; Nolan, Wade; Pitelka, Taylor; Rahimzadeh, Keyan; Brooks, Bradley; Lohr, Joshua; Crooks, Ryan; Porges, Jamie; Rubin, Daniel

    2016-06-28

    An exemplary embodiment of the present invention provides a solar panel truss mounting system comprising a base and a truss assembly coupled to the base. The truss assembly comprises a first panel rail mount, second panel rail mount parallel to the first panel rail mount, base rail mount parallel to the first and second panel rail mounts, and a plurality of support members. A first portion of the plurality of support members extends between the first and second panel rail mounts. A second portion of the plurality of support members extends between the first panel rail mount and the base rail mount. A third portion of the plurality of support members extends between the second panel rail mount and the base rail mount. The system can further comprise a plurality of connectors for coupling a plurality of photovoltaic solar panels to the truss assembly.

  17. Solar panel truss mounting systems and methods

    Energy Technology Data Exchange (ETDEWEB)

    Al-Haddad, Tristan Farris; Cavieres, Andres; Gentry, Russell; Goodman, Joseph; Nolan, Wade; Pitelka, Taylor; Rahimzadeh, Keyan; Brooks, Bradley; Lohr, Joshua; Crooks, Ryan; Porges, Jamie; Rubin, Daniel

    2018-01-30

    An exemplary embodiment of the present invention provides a solar panel truss mounting system comprising a base and a truss assembly coupled to the base. The truss assembly comprises a first panel rail mount, second panel rail mount parallel to the first panel rail mount, base rail mount parallel to the first and second panel rail mounts, and a plurality of support members. A first portion of the plurality of support members extends between the first and second panel rail mounts. A second portion of the plurality of support members extends between the first panel rail mount and the base rail mount. A third portion of the plurality of support members extends between the second panel rail mount and the base rail mount. The system can further comprise a plurality of connectors for coupling a plurality of photovoltaic solar panels to the truss assembly.

  18. Solar panel truss mounting systems and methods

    Science.gov (United States)

    Al-Haddad, Tristan Farris; Cavieres, Andres; Gentry, Russell; Goodman, Joseph; Nolan, Wade; Pitelka, Taylor; Rahimzadeh, Keyan; Brooks, Bradley; Lohr, Joshua; Crooks, Ryan; Porges, Jamie; Rubin, Daniel

    2015-10-20

    An exemplary embodiment of the present invention provides a solar panel truss mounting system comprising a base and a truss assembly coupled to the base. The truss assembly comprises a first panel rail mount, second panel rail mount parallel to the first panel rail mount, base rail mount parallel to the first and second panel rail mounts, and a plurality of support members. A first portion of the plurality of support members extends between the first and second panel rail mounts. A second portion of the plurality of support members extends between the first panel rail mount and the base rail mount. A third portion of the plurality of support members extends between the second panel rail mount and the base rail mount. The system can further comprise a plurality of connectors for coupling a plurality of photovoltaic solar panels to the truss assembly.

  19. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  20. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  1. The head-mounted microscope.

    Science.gov (United States)

    Chen, Ting; Dailey, Seth H; Naze, Sawyer A; Jiang, Jack J

    2012-04-01

    Microsurgical equipment has greatly advanced since the inception of the microscope into the operating room. These advancements have allowed for superior surgical precision and better post-operative results. This study focuses on the use of the Leica HM500 head-mounted microscope for the operating phonosurgeon. The head-mounted microscope has an optical zoom from 2× to 9× and provides a working distance from 300 mm to 700 mm. The headpiece, with its articulated eyepieces, adjusts easily to head shape and circumference, and offers a focus function, which is either automatic or manually controlled. We performed five microlaryngoscopic operations utilizing the head-mounted microscope with successful results. By creating a more ergonomically favorable operating posture, a surgeon may be able to obtain greater precision and success in phonomicrosurgery. Phonomicrosurgery requires the precise manipulation of long-handled cantilevered instruments through the narrow bore of a laryngoscope. The head-mounted microscope shortens the working distance compared with a stand microscope, thereby increasing arm stability, which may improve surgical precision. Also, the head-mounted design permits flexibility in head position, enabling operator comfort, and delaying musculoskeletal fatigue. A head-mounted microscope decreases the working distance and provides better ergonomics in laryngoscopic microsurgery. These advances provide the potential to promote precision in phonomicrosurgery. Copyright © 2011 The American Laryngological, Rhinological, and Otological Society, Inc.

  2. Improved radiation tolerance of MAPS using a depleted epitaxial layer

    Energy Technology Data Exchange (ETDEWEB)

    Dorokhov, A., E-mail: Andrei.Dorokhov@IReS.in2p3.f [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Bertolone, G.; Baudot, J.; Brogna, A.S.; Colledani, C.; Claus, G.; De Masi, R. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Deveaux, M. [Goethe-Universitaet Frankfurt am Main, Senckenberganlage 31, 60325 Frankfurt am Main (Germany); Doziere, G.; Dulinski, W. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France); Fontaine, J.-C. [Groupe de Recherche en Physique des Hautes Energies (GRPHE), Universite de Haute Alsace, 61, rue Albert Camus, 68093 Mulhouse (France); Goffe, M.; Himmi, A.; Hu-Guo, Ch.; Jaaskelainen, K.; Koziel, M.; Morel, F.; Santos, C.; Specht, M.; Valin, I. [Institut Pluridisciplinaire Hubert Curien (IPHC), 23 rue du loess, BP 28, 67037 Strasbourg (France)

    2010-12-11

    Tracking performance of Monolithic Active Pixel Sensors (MAPS) developed at IPHC (Turchetta, et al., 2001) have been extensively studied (Winter, et al., 2001; Gornushkin, et al., 2002) . Numerous sensor prototypes, called MIMOSA, were fabricated and tested since 1999 in order to optimise the charge collection efficiency and power dissipation, to minimise the noise and to increase the readout speed. The radiation tolerance was also investigated. The highest fluence tolerable for a 10{mu}m pitch device was found to be {approx}10{sup 13}n{sub eq}/cm{sup 2}, while it was only 2x10{sup 12}n{sub eq}/cm{sup 2} for a 20{mu}m pitch device. The purpose of this paper is to show that the tolerance to non-ionising radiation may be extended up to O(10{sup 14}) n{sub eq}/cm{sup 2}. This goal relies on a fabrication process featuring a 15{mu}m thin, high resistivity ({approx}1k{Omega}cm) epitaxial layer. A sensor prototype (MIMOSA-25) was fabricated in this process to explore its detection performance. The depletion depth of the epitaxial layer at standard CMOS voltages (<5V) is similar to the layer thickness. Measurements with m.i.p.s show that the charge collected in the seed pixel is at least twice larger for the depleted epitaxial layer than for the undepleted one, translating into a signal-to-noise ratio (SNR) of {approx}50. Tests after irradiation have shown that this excellent performance is maintained up to the highest fluence considered (3x10{sup 13}n{sub eq}/cm{sup 2}), making evidence of a significant extension of the radiation tolerance limits of MAPS.

  3. Side-emitting fiber optic position sensor

    Science.gov (United States)

    Weiss, Jonathan D [Albuquerque, NM

    2008-02-12

    A side-emitting fiber optic position sensor and method of determining an unknown position of an object by using the sensor. In one embodiment, a concentrated beam of light source illuminates the side of a side-emitting fiber optic at an unknown axial position along the fiber's length. Some of this side-illuminated light is in-scattered into the fiber and captured. As the captured light is guided down the fiber, its intensity decreases due to loss from side-emission away from the fiber and from bulk absorption within the fiber. By measuring the intensity of light emitted from one (or both) ends of the fiber with a photodetector(s), the axial position of the light source is determined by comparing the photodetector's signal to a calibrated response curve, look-up table, or by using a mathematical model. Alternatively, the side-emitting fiber is illuminated at one end, while a photodetector measures the intensity of light emitted from the side of the fiber, at an unknown position. As the photodetector moves further away from the illuminated end, the detector's signal strength decreases due to loss from side-emission and/or bulk absorption. As before, the detector's signal is correlated to a unique position along the fiber.

  4. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  5. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Ting; Araki, Tsutomu; Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens; Chen, Li-Chyong; Chen, Kuei-Hsien; Nanishi, Yasushi

    2013-01-01

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm

  6. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Ting [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Araki, Tsutomu [Department of Electrical and Electronic Engineering, Ritsumeikan University, 525-8577 Shiga (Japan); Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Chen, Li-Chyong [Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Chen, Kuei-Hsien [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Nanishi, Yasushi [Global Innovation Research Organization, Ritsumeikan University, 525-8577 Shiga (Japan)

    2013-11-11

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm.

  7. Liquid phase epitaxy of gallium arsenide - a review

    International Nuclear Information System (INIS)

    Alexiev, D.; Edmondson, M.; Butcher, K.S.A.; Tansley, T.

    1992-07-01

    Liquid phase epitaxy of gallium arsenide has been investigated intensively from the late 1960's to the present and has now a special place in the manufacture of wide band, compound semiconductor radiation detectors. Although this particular process appears to have gained prominence in the last three decades, the authors point out that its origins reach back to 1836 when Frankenheim made his first observations. A brief review is presented from a semiconductor applications point of view on how this subject developed. 70 refs., 5 figs

  8. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  9. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  10. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  11. Auditory and Visual Memory Span: Cognitive Processing by TMR Individuals with Down Syndrome or Other Etiologies.

    Science.gov (United States)

    Varnhagen, Connie K.; And Others

    1987-01-01

    Auditory and visual memory span were examined with 13 Down Syndrome and 15 other trainable mentally retarded young adults. Although all subjects demonstrated relatively poor auditory memory span, Down Syndrome subjects were especially poor at long-term memory access for visual stimulus identification and short-term storage and processing of…

  12. Influence of the side-by-side arrangement on the performance of a small Savonius wind turbine

    Directory of Open Access Journals (Sweden)

    Jang Choon-Man

    2016-01-01

    Full Text Available Scaled-down Savonius turbine rotors arrayed side-by-side are introduced to analyze the effects of design parameters on the performance between turbine rotors. Unsteady flow simulation and experimental measurement have been performed to compare turbine performance and validate the numerical simulation of the turbine rotor. Commercial code, SC/Tetra, which uses an unstructured grid system, has been used to solve the three-dimensional unsteady Reynolds-averaged Navier–Stokes equations. Single turbine rotors and two turbine rotors arrayed side-by-side were numerically analyzed. The distance between rotor tips is 0.5 times the rotor diameter. Throughout the numerical simulation, the power coefficient obtained by the time-averaged result of unsteady flow simulation was found to be in good agreement with the experimental result. A discussion on the design parameters using both a single and arrayed turbine rotors is presented based on the results of the unsteady flow simulation, including the flow field, power coefficient, velocity and vorticity contours.

  13. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  14. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  15. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  16. Side scanner for supermarkets: a new scanner design standard

    Science.gov (United States)

    Cheng, Charles K.; Cheng, J. K.

    1996-09-01

    High speed UPC bar code has become a standard mode of data capture for supermarkets in the US, Europe, and Japan. The influence of the ergonomics community on the design of the scanner is evident. During the past decade the ergonomic issues of cashier in check-outs has led to occupational hand-wrist cumulative trauma disorders, in most cases causing carpal tunnel syndrome, a permanent hand injury. In this paper, the design of a side scanner to resolve the issues is discussed. The complex optical module and the sensor for aforesaid side scanner is described. The ergonomic advantages offer the old counter mounted vertical scanner has been experimentally proved by the industrial funded study at an independent university.

  17. Channel uranium-graphite reactor mounting

    International Nuclear Information System (INIS)

    Polushkin, K.K.; Kuznetsov, A.G.; Zheleznyakov, B.N.

    1981-01-01

    According to theoretical principles of general engineering technology the engineering experience of construction-mounting works at the NPP with channel uranium-graphite reactors is systematized. Main parameters and structural features of the 1000 MW channel uranium-graphite reactors are considered. The succession of mounting operations, premounting equipment and pipelines preparation and mounting works technique are described. The most efficient methods of fitting, welding and machining of reactor elements are recommended. Main problems of technical control service are discussed. A typical netted diagram of main equipment of channel uranium-graphite reactors mounting is given

  18. Flat mount preparation for observation and analysis of zebrafish embryo specimens stained by whole mount in situ hybridization.

    Science.gov (United States)

    Cheng, Christina N; Li, Yue; Marra, Amanda N; Verdun, Valerie; Wingert, Rebecca A

    2014-07-17

    The zebrafish embryo is now commonly used for basic and biomedical research to investigate the genetic control of developmental processes and to model congenital abnormalities. During the first day of life, the zebrafish embryo progresses through many developmental stages including fertilization, cleavage, gastrulation, segmentation, and the organogenesis of structures such as the kidney, heart, and central nervous system. The anatomy of a young zebrafish embryo presents several challenges for the visualization and analysis of the tissues involved in many of these events because the embryo develops in association with a round yolk mass. Thus, for accurate analysis and imaging of experimental phenotypes in fixed embryonic specimens between the tailbud and 20 somite stage (10 and 19 hours post fertilization (hpf), respectively), such as those stained using whole mount in situ hybridization (WISH), it is often desirable to remove the embryo from the yolk ball and to position it flat on a glass slide. However, performing a flat mount procedure can be tedious. Therefore, successful and efficient flat mount preparation is greatly facilitated through the visual demonstration of the dissection technique, and also helped by using reagents that assist in optimal tissue handling. Here, we provide our WISH protocol for one or two-color detection of gene expression in the zebrafish embryo, and demonstrate how the flat mounting procedure can be performed on this example of a stained fixed specimen. This flat mounting protocol is broadly applicable to the study of many embryonic structures that emerge during early zebrafish development, and can be implemented in conjunction with other staining methods performed on fixed embryo samples.

  19. An Appreciative View of the Brighter Side of Terror Management Processes

    Directory of Open Access Journals (Sweden)

    Kenneth E. Vail, III

    2015-10-01

    Full Text Available Physical death is an inevitable part of life. From the perspective of terror management theory (TMT, people’s efforts to manage the awareness of death can sometimes have harmful social consequences. However, those negative consequences are merely one side of the existential coin. In considering the other side of the coin, the present article highlights the more beneficial trajectories of the terror management process. For example, the awareness of mortality can motivate people to prioritize their physical health; uphold prosocial values; build loving relationships and peaceful, charitable communities; and foster open-mindedness. Further, the article explores the possible balance between defense and growth motivations, including the motivations toward integrative self-expansion, creativity, and well-being. And finally, we tentatively consider the potential positive impacts of direct confrontations with mortality on terror management processes. In sum, the present analysis suggests that although death awareness can sometimes produce some harmful outcomes, at least under certain conditions it can also motivate attitudes and behaviors that have positive personal and social consequences.

  20. Observations of paraglacial processes on glacier forelands in Aoraki/Mount Cook National Park, Southern Alps, New Zealand

    Science.gov (United States)

    Winkler, Stefan

    2015-04-01

    The large and extensively debris-covered valley glaciers in Aoraki/Mount Cook National Park immediate east of the Main Divide in the Southern Alps of New Zealand experienced a substantial frontal retreat and vertical downwasting during the past few decades, often connected with the development of a proglacial lake and retreat by calving. Their Holocene glacier forelands are characterised by huge lateral moraines and multi-ridged lateral moraine systems alongside smaller terminal moraines and frontal outwash heads. Placed within a very dynamic general geomorphological regime of various efficient process-systems, these Holocene glacier forelands are currently affected by substantial paraglacial modification. These paraglacial processes have already caused some consequences for the touristic infrastructure in the area and are likely to cause further problems for the accessibility of established tramping routes, tourist huts, and lookouts in the near and medium future. One of the first steps in a project under development presented here is a detailed visual comparison of changes documented during the past 15 Years on the glacier forelands of Hooker, Mueller and Tasman Glaciers in Aoraki/Mount Cook National Park. It reveals considerable erosion especially on the proximal slopes of the lateral moraines by gully development and retreat of erosion scars at their crest in order of several metres in just a few years. Different processes contribute to high erosion rates, among others rill erosion connected to mid-slope springs that only are temporarily active following substantial rainfall events, efficient gully incision, and slumping. Although any quantification of the actual erosion rates is just preliminary and further studies are necessary in order to make reliable predictions for future development, the amount of paraglacial erosion in this environment is very high compared to other regions and highlights the current importance of the paraglacial process-system in the

  1. Head-mounted display for use in functional endoscopic sinus surgery

    Science.gov (United States)

    Wong, Brian J.; Lee, Jon P.; Dugan, F. Markoe; MacArthur, Carol J.

    1995-05-01

    Since the introduction of functional endoscopic sinus surgery (FESS), the procedure has undergone rapid change with evolution keeping pace with technological advances. The advent of low cost charge coupled device 9CCD) cameras revolutionized the practice and instruction of FESS. Video-based FESS has allowed for documentation of the surgical procedure as well as interactive instruction during surgery. Presently, the technical requirements of video-based FESS include the addition of one or more television monitors positioned strategically in the operating room. Thought video monitors have greatly enhanced surgical endoscopy by re- involving nurses and assistants in the actual mechanics of surgery, video monitors require the operating surgeon to be focused on the screen instead of the patient. In this study, we describe the use of a new low-cost liquid crystal display (LCD) based device that functions as a monitor but is mounted on the head on a visor (PT-O1, O1 Products, Westlake Village, CA). This study illustrates the application of these HMD devices to FESS operations. The same surgeon performed the operation in each patient. In one nasal fossa, surgery was performed using conventional video FESS methods. The contralateral side was operated on while wearing the head mounted video display. The device had adequate resolution for the purposes of FESS. No adverse effects were noted intraoperatively. The results on the patients ipsalateral and contralateral sides were similar. The visor did eliminated significant torsion of the surgeon's neck during the operation, while at the same time permitted simultaneous viewing of both the patient and the intranasal surgical field.

  2. Resistivity of epitaxial copper nanolines with trapezoidal cross-section

    International Nuclear Information System (INIS)

    Lu, Zonghuan; Frey, David M.; Merkh, Thomas; Lord, Robert; Washington, Morris A.; Lu, Toh-Ming

    2016-01-01

    The resistivity of epitaxial Cu nanolines with line width ranging from 20 to 180 nm and line height from 40 to 50 nm was measured using a four-point probe technique. The Cu nanolines were fabricated using ebeam lithography with a polymethyl methacrylate bilayer resist system for improved line edge smoothness. The cross-section profile of the lines was examined using the focused ion beam milling technique. The results indicate that the cross-section should be more accurately described as trapezoidal rather than as rectangular. Using the trapezoidal profile, the electrical resistivity was calculated from the measured resistance data. Modeling based on the Fuchs–Sondheimer (FS) theory using the trapezoidal profile was also carried out. The results were compared with the experimentally calculated resistivity data. For Cu lines with line width less than 30 nm, the measured resistivity was shown to be up to 20% higher than the value predicted by the FS theory. Further examination of Cu lines using atomic force microscopy and scanning electron microscopy was conducted to extract the surface roughness and line edge roughness information. Their contribution to the resistivity increase was estimated to be only up to 3% for the Cu nanolines fabricated, which did not significantly contribute to the overall resistivity for Cu lines with line width less than 30 nm. Other possible factors affecting the resistivity of the Cu nanolines were also discussed, including the oxide formation on the surface of the Cu lines. - Highlights: • Epitaxial copper nanolines were fabricated using ebeam lithography. • The effect of line cross-section profiles on electrical resistivity was studied. • Trapezoidal cross−section gives better resistivity estimation for lines down to 20 nm. • Impact of surface roughness and line edge roughness to resistivity is small. • Oxidization layer has an effect on the resistivity increase.

  3. Contribution of numerical simulation to silicon carbide bulk growth and epitaxy

    International Nuclear Information System (INIS)

    Meziere, Jerome; Pons, Michel; Cioccio, Lea Di; Blanquet, Elisabeth; Ferret, Pierre; Dedulle, Jean-Marc; Baillet, Francis; Pernot, Etienne; Anikin, Michail; Madar, Roland; Billon, Thierry

    2004-01-01

    High temperature epitaxial processes for SiC bulk and thin films by physical vapour transport and chemical vapour deposition are reviewed from an academic point of view using heat and mass transfer modelling and simulation. The objective is to show that this modelling approach could provide information on fabrication and characterization for the improvement of the knowledge of the growth history. Recent results of our integrated research programme on SiC, taking into account the fabrication, process modelling and characterization, will be presented

  4. Imaging Hybrid Photon Detectors with a Reflective Photocathode

    CERN Document Server

    Ferenc, D

    2000-01-01

    Modern epitaxially grown photocathodes, like GaAsP, bring a very high inherent quantum efficiency, but are rather expensive due to the complicated manufacturing and mounting process. We argue that such photocathodes could be used in reflective mode, in order to avoid the risky and expensive removal of the epitaxial growth substrate. Besides that the quantum efficiency should increase considerably. In this paper we present results of the development of large imaging Hybrid Photon Detectors (HPDs), particularly designed for such reflective photocathodes.

  5. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  6. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  7. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  8. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  9. High-Temperature Nucleosynthesis Processes on the Proton-Rich Side of Stability: the Alpha-Rich Freezeout and the rp^2-Process

    Science.gov (United States)

    Meyer, Bradley S.

    2001-10-01

    Nucleosynthesis on the proton-rich side of stability has at least two intriguing aspects. First, the most abundant of the stable iron-group isotopes, such as ^48Ti, ^52Cr, and ^56,57Fe, are synthesized as proton-rich, radioactive parents in alpha-rich freezeouts from equilibrium. The production of these radioactive progenitors depends in large measure on reactions on the proton-rich side of stability. The second intriguing aspect is that explosive nucleosynthesis in a hydrogen-rich environment (namely, the rp-process) may be associated with exotic astrophysical settings, such as x-ray bursts, and may be responsible for production of some of the light p-process nuclei (for example, ^92,94Mo and ^96,98Ru). We have developed web-based tools to help nuclear physicists determine which nuclear reactions on the proton-rich side of stability govern the nucleosynthesis in these processes. For the alpha-rich freezeout, one may determine the effect of any one of 2,140 reactions on the yield of any isotope in the nuclear reaction network with the web calculator. As a relevant example, I will discuss the governing role of ^57Ni (n,p)^57Co in the synthesis of the important astronomical observable ^57Co. As for explosive, proton-rich burning, I will discuss the synthesis of p-process nuclei in the repetitive rp-process (the rp^2-process). movies/rp.html>Movies of the rp^2-process illustrate its important features and give some indications of the important nuclear reactions.

  10. Integrated X-ray and charged particle active pixel CMOS sensor arrays using an epitaxial silicon sensitive region

    International Nuclear Information System (INIS)

    Kleinfelder, Stuart; Bichsel, Hans; Bieser, Fred; Matis, Howard S.; Rai, Gulshan; Retiere, Fabrice; Weiman, Howard; Yamamoto, Eugene

    2002-01-01

    Integrated CMOS Active Pixel Sensor (APS) arrays have been fabricated and tested using X-ray and electron sources. The 128 by 128 pixel arrays, designed in a standard 0.25 micron process, use a ∼10 micron epitaxial silicon layer as a deep detection region. The epitaxial layer has a much greater thickness than the surface features used by standard CMOS APS, leading to stronger signals and potentially better signal-to-noise ratio (SNR). On the other hand, minority carriers confined within the epitaxial region may diffuse to neighboring pixels, blur images and reduce peak signal intensity. But for low-rate, sparse-event images, centroid analysis of this diffusion may be used to increase position resolution. Careful trade-offs involving pixel size and sense-node area verses capacitance must be made to optimize overall performance. The prototype sensor arrays, therefore, include a range of different pixel designs, including different APS circuits and a range of different epitaxial layer contact structures. The fabricated arrays were tested with 1.5 GeV electrons and Fe-55 X-ray sources, yielding a measured noise of 13 electrons RMS and an SNR for single Fe-55 X-rays of greater than 38

  11. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  12. Detector Mount Design for IGRINS

    Directory of Open Access Journals (Sweden)

    Jae Sok Oh

    2014-06-01

    Full Text Available The Immersion Grating Infrared Spectrometer (IGRINS is a near-infrared wide-band high-resolution spectrograph jointly developed by the Korea Astronomy and Space Science Institute and the University of Texas at Austin. IGRINS employs three HAWAII-2RG Focal Plane Array (H2RG FPA detectors. We present the design and fabrication of the detector mount for the H2RG detector. The detector mount consists of a detector housing, an ASIC housing, a Field Flattener Lens (FFL mount, and a support base frame. The detector and the ASIC housing should be kept at 65 K and the support base frame at 130 K. Therefore they are thermally isolated by the support made of GFRP material. The detector mount is designed so that it has features of fine adjusting the position of the detector surface in the optical axis and of fine adjusting yaw and pitch angles in order to utilize as an optical system alignment compensator. We optimized the structural stability and thermal characteristics of the mount design using computer-aided 3D modeling and finite element analysis. Based on the structural and thermal analysis, the designed detector mount meets an optical stability tolerance and system thermal requirements. Actual detector mount fabricated based on the design has been installed into the IGRINS cryostat and successfully passed a vacuum test and a cold test.

  13. Driving Down HB-LED Costs. Implementation of Process Simulation Tools and Temperature Control Methods of High Yield MOCVD Growth

    Energy Technology Data Exchange (ETDEWEB)

    Quinn, William [Veeco Process Equipment, Inc., Plainview, NY (United States)

    2012-04-30

    The overall objective of this multi-faceted program is to develop epitaxial growth systems that meet a goal of 75% (4X) cost reduction in the epitaxy phase of HB-LED manufacture. A 75% reduction in yielded epitaxy cost is necessary in order to achieve the cost goals for widespread penetration of HB-LED's into back-lighting units (BLU) for LCD panels and ultimately for solid-state lighting (SSL). To do this, the program will address significant improvements in overall equipment Cost of Ownership, or CoO. CoO is a model that includes all costs associated with the epitaxy portion of production. These aspects include cost of yield, capital cost, operational costs, and maintenance costs. We divide the program into three phases where later phases will incorporate the gains of prior phases. Phase one activities are enabling technologies. In collaboration with Sandia National Laboratories we develop a Fluent-compatible chemistry predictive model and a set of mid-infrared and near-ultraviolet pyrometer monitoring tools. Where previously the modeling of the reactor dynamics were studied within FLUENT alone, here, FLUENT and Chemkin are integrated into a comprehensive model of fluid dynamics and the most advanced transport equations developed for Chemkin. Specifically, the Chemkin model offered the key reaction terms for gas-phase nucleation, a key consideration in the optimization of the MOCVD process. This new predictive model is used to design new MOCVD reactors with optimized growth conditions and the newly developed pyrometers are used monitor and control the MOCVD process temperature to within 0.5°C run-to-run and within each wafer. This portion of the grant is in collaboration with partners at Sandia National Laboratories. Phase two activities are continuous improvement projects which extend the current reactor platform along the lines of improved operational efficiency, improved systems control for throughput, and carrier modifications for increased yield

  14. GaAs structures with InAs and As quantum dots produced in a single molecular beam epitaxy process

    International Nuclear Information System (INIS)

    Nevedomskii, V. N.; Bert, N. A.; Chaldyshev, V. V.; Preobrazhenskii, V. V.; Putyato, M. A.; Semyagin, B. R.

    2009-01-01

    Epitaxial GaAs layers containing InAs semiconductor quantum dots and As metal quantum dots are grown by molecular beam epitaxy. The InAs quantum dots are formed by the Stranskii-Krastanow mechanism, whereas the As quantum dots are self-assembled in the GaAs layer grown at low temperature with a large As excess. The microstructure of the samples is studied by transmission electron microscopy. It is established that the As metal quantum dots formed in the immediate vicinity of the InAs semiconductor quantum dots are larger in size than the As quantum dots formed far from the InAs quantum dots. This is apparently due to the effect of strain fields of the InAs quantum dots upon the self-assembling of As quantum dots. Another phenomenon apparently associated with local strains around the InAs quantum dots is the formation of V-like defects (stacking faults) during the overgrowth of the InAs quantum dots with the GaAs layer by low-temperature molecular beam epitaxy. Such defects have a profound effect on the self-assembling of As quantum dots. Specifically, on high-temperature annealing needed for the formation of large-sized As quantum dots by Ostwald ripening, the V-like defects bring about the dissolution of the As quantum dots in the vicinity of the defects. In this case, excess arsenic most probably diffuses towards the open surface of the sample via the channels of accelerated diffusion in the planes of stacking faults.

  15. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  16. Mount Athos: Between autonomy and statehood

    Directory of Open Access Journals (Sweden)

    Avramović Dragutin

    2013-01-01

    Full Text Available Legal status of the Mount Athos is characterized by many special features that make it internationally unique legal regime. The author analyzes peculiarities of Mount Athos territorial status, legal position of residents and visitors, as well as organization of Mount Athos authorities. The author concludes that the Mount Athos is characterized by a kind of para-sovereignty. Its autonomy involves not only the internal organization, autonomous governance and religious autonomy, but it also includes many elements of secular life of their visitors. Mount Athos has its own, separate legislative, administrative and judicial powers, while the Statute of the Mount Athos has greater legal force than all the other laws of the Greek state, because the state can not unilaterally change its provisions. Having in mind that the wide self-government is vested in church authorities and that the monks have very specific way of living, the author takes a position that the Mount Athos represent 'monastic state', but without statehood. The author also states that the Mount Athos will be faced with many challenges in the context of spreading of an assimilating, universal conception of human rights.

  17. Additive Manufacturing of IN100 Superalloy Through Scanning Laser Epitaxy for Turbine Engine Hot-Section Component Repair: Process Development, Modeling, Microstructural Characterization, and Process Control

    Science.gov (United States)

    Acharya, Ranadip; Das, Suman

    2015-09-01

    This article describes additive manufacturing (AM) of IN100, a high gamma-prime nickel-based superalloy, through scanning laser epitaxy (SLE), aimed at the creation of thick deposits onto like-chemistry substrates for enabling repair of turbine engine hot-section components. SLE is a metal powder bed-based laser AM technology developed for nickel-base superalloys with equiaxed, directionally solidified, and single-crystal microstructural morphologies. Here, we combine process modeling, statistical design-of-experiments (DoE), and microstructural characterization to demonstrate fully metallurgically bonded, crack-free and dense deposits exceeding 1000 μm of SLE-processed IN100 powder onto IN100 cast substrates produced in a single pass. A combined thermal-fluid flow-solidification model of the SLE process compliments DoE-based process development. A customized quantitative metallography technique analyzes digital cross-sectional micrographs and extracts various microstructural parameters, enabling process model validation and process parameter optimization. Microindentation measurements show an increase in the hardness by 10 pct in the deposit region compared to the cast substrate due to microstructural refinement. The results illustrate one of the very few successes reported for the crack-free deposition of IN100, a notoriously "non-weldable" hot-section alloy, thus establishing the potential of SLE as an AM method suitable for hot-section component repair and for future new-make components in high gamma-prime containing crack-prone nickel-based superalloys.

  18. Demand-side management process evaluations - the management perspective

    International Nuclear Information System (INIS)

    Perrault, G.A.; Barrett, L.B.

    1993-01-01

    A demand-side management (DSM) process evaluation is a qualitative, expert assessment of how a utility marketing program is being conducted. It reviews the efficiency and effectiveness in which a utility plans, manages, executes, and monitors the delivery of DSM programs to its marketplace. Process evaluations,which includes load impact, customer satisfaction and cost-effectiveness analysis, are becoming an increasingly significant component. The process evaluation focus is on the program planning and delivery process as opposed to the energy impacts resulting from the specific measures or products of the program. Because of this process-oriented focus, such evaluations can identify important opportunities for improving the cost-effectiveness of a program without significantly changing product lines. The evaluation may identify administrative or delivery process improvements. In addition, the evaluation may identify ways of improving the degree to which the customer is satisfied with the program or the utility. Since process evaluations are usually conducted as part of a utility's mandated DSM measurement and evaluation plan, they tend to focus mainly on the stated needs of the regulator as opposed to company management. This can be a problem. Although the regulatory perspective is important, in an increasingly competitive business environment, utilities must not overlook management's business and operational needs for specific information regarding DSM program planning, control, execution, and evaluation. This paper discusses some of the conflicts that exist between the regulator's and management's needs for DSM program evaluation results and presents some approaches for assuring that both needs are met. It is organized to first discuss the scope of a process evaluation, then the evaluation issues, the management concerns, and finally reporting of results

  19. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  20. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    Energy Technology Data Exchange (ETDEWEB)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); Vavassori, P. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); IKERBASQUE, The Basque Foundation for Science, E-48011 Bilbao (Spain); Obry, B.; Hillebrands, B. [Fachbereich Physik and Landesforschungzentrum OPTIMAS, Technische Universität Kaiserslautern, Erwin-Schrödinger-Straße 56, D-67663 Kaiserslautern (Germany); Landeros, P. [Departamento de Física, Universidad Técnica Federico Santa María, Avenida España 1680, 2390123 Valparaíso (Chile)

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field angle dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.

  1. Modeling occupants in far-side impacts.

    Science.gov (United States)

    Douglas, Clay; Fildes, Brian; Gibson, Tom

    2011-10-01

    Far-side impacts are not part of any regulated NCAP, FMVSS, or similar test regime despite accounting for 43 percent of the seriously injured persons and 30 percent of the harm in U.S. side impact crashes. Furthermore, injuries to the head and thorax account for over half of the serious injuries sustained by occupants in far-side crashes. Despite this, there is no regulated or well-accepted anthropomorphic test device (ATD) or computer model available to investigate far-side impacts. As such, this presents an opportunity to assess a computer model that can be used to measure the effect of varying restraint parameters on occupant biomechanics in far-side impacts. This study sets out to demonstrate the modified TASS human facet model's (MOTHMO) capabilities in modeling whole-body response in far-side impacts. MOTHMO's dynamic response was compared to that of postmortem human subjects (PMHS), WorldSID, and Thor-NT in a series of far-side sled tests. The advantages, disadvantages, and differences of using MOTHMO compared to ATDs were highlighted and described in terms of model design and instrumentation. Potential applications and improvements for MOTHMO were also recommended. The results showed that MOTHMO is capable of replicating the seat belt-to-shoulder complex interaction, pelvis impacts, head displacement, neck and shoulder belt loading from inboard mounted belts, and impacts from multiple directions. Overall, the model performed better than Thor-NT and at least as well as WorldSID when compared to PMHS results. Though WorldSID and Thor-NT ATDs were capable of reproducing many of these impact loads, measuring the seat belt-to-shoulder complex interaction and thoracic deflection at multiple sites and directions was less accurately handled. This study demonstrated that MOTHMO is capable of modeling whole-body response in far-side impacts. Furthermore, MOTHMO can be used as a virtual design tool to explore the effect of varying restraint parameters on occupant

  2. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  3. Astrophotography on the go using short exposures with light mounts

    CERN Document Server

    Ashley, Joseph

    2015-01-01

    No longer are heavy, sturdy, expensive mounts and tripods required to photograph deep space. With today's advances in technology, all that is required is an entry-DSLR and an entry level GoTo telescope. Here is all of the information needed to start photographing the night sky without buying expensive tracking mounts. By using multiple short exposures and combining them with mostly ‘freeware’ computer programs, the effect of image rotation can be minimized to a point where it is undetectable in normal astrophotography, even for a deep-sky object such as a galaxy or nebula. All the processes, techniques, and equipment needed to use inexpensive, lightweight altazimuth and equatorial mounts and very short exposures photography to image deep space objects are explained, step-by-step, in full detail, supported by clear, easy to understand graphics and photographs.   Currently available lightweight mounts and tripods are identified and examined from an economic versus capability perspective to help users deter...

  4. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  5. Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)

    2017-11-15

    We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Cradling Side Preference Is Associated with Lateralized Processing of Baby Facial Expressions in Females

    Science.gov (United States)

    Huggenberger, Harriet J.; Suter, Susanne E.; Reijnen, Ester; Schachinger, Hartmut

    2009-01-01

    Women's cradling side preference has been related to contralateral hemispheric specialization of processing emotional signals; but not of processing baby's facial expression. Therefore, 46 nulliparous female volunteers were characterized as left or non-left holders (HG) during a doll holding task. During a signal detection task they were then…

  7. Pulsed high-pressure (PHP) drain-down of steam generating system

    International Nuclear Information System (INIS)

    Petrusek, R.A.

    1991-01-01

    This patent describes an improved method of draining down contained reactor-coolant water from the inverted vertical U-tubes of at least one vertical-type steam generator in which the upper inverted U-shaped ends of the tubes are closed and the lower ends thereof are open, the steam generator having a channel head at its lower end including a vertical dividing wall defining a primary water inlet side and a primary water outlet side of the generator, the steam generator having chemical volume control system means and residual heat removal system means, and the steam generator being part of a nuclear-powered steam generating system wherein the reactor-coolant water is normally circulated from and back into the reactor via a loop comprising the steam generator and inlet and outlet conduits connected to the lower end of the steam generator, and the reactor being in communication with pressurizer means and comprising the steps of introducing a gas which is inert to the system and which is under pressure above atmospheric pressure into at least one of the downwardly facing open ends of each of the U-tubes from below the tubesheet in which the open ends of the U-tubes are mounted adjacent the lower end of the steam generator while permitting the water to flow out from the open ends of the U-tubes, the improvement in combination therewith for substantially increasing the effectiveness and efficiency of such water removal from the tubes. It includes determining the parameters effecting a first average volumetric rate of removal for a predetermined period of time, infra, of the reactor-coolant water from the inverted vertical U-tubes, the specific unit for the first average volumetric rate expressing properties identical with the properties expressed in a second average volumetric rate maintained in a later mentioned step

  8. Process for detecting leak faults using a helium mass spectrometer

    International Nuclear Information System (INIS)

    Divet, Claude; Morin, Claude.

    1977-01-01

    The description is given of a process for detecting very small leak faults putting into communication the outer and inner sides of the wall of a containment, one of these wall sides being in contact with gaseous helium under a pressure of around one torr, the other side being one of the limits of a space pumped down to a residual gas pressure under 10 -3 torr. This space is in communication with the measuring cell of a helium mass spectrometer. This process may be applied to the detection of faults in metal claddings of the fuel rods used in nuclear reactors [fr

  9. Flush Mounting Of Thin-Film Sensors

    Science.gov (United States)

    Moore, Thomas C., Sr.

    1992-01-01

    Technique developed for mounting thin-film sensors flush with surfaces like aerodynamic surfaces of aircraft, which often have compound curvatures. Sensor mounted in recess by use of vacuum pad and materials selected for specific application. Technique involves use of materials tailored to thermal properties of substrate in which sensor mounted. Together with customized materials, enables flush mounting of thin-film sensors in most situations in which recesses for sensors provided. Useful in both aircraft and automotive industries.

  10. Spatially assisted down-track median filter for GPR image post-processing

    Science.gov (United States)

    Paglieroni, David W; Beer, N Reginald

    2014-10-07

    A method and system for detecting the presence of subsurface objects within a medium is provided. In some embodiments, the imaging and detection system operates in a multistatic mode to collect radar return signals generated by an array of transceiver antenna pairs that is positioned across the surface and that travels down the surface. The imaging and detection system pre-processes the return signal to suppress certain undesirable effects. The imaging and detection system then generates synthetic aperture radar images from real aperture radar images generated from the pre-processed return signal. The imaging and detection system then post-processes the synthetic aperture radar images to improve detection of subsurface objects. The imaging and detection system identifies peaks in the energy levels of the post-processed image frame, which indicates the presence of a subsurface object.

  11. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  12. Crystallization process of a three-dimensional complex plasma

    Science.gov (United States)

    Steinmüller, Benjamin; Dietz, Christopher; Kretschmer, Michael; Thoma, Markus H.

    2018-05-01

    Characteristic timescales and length scales for phase transitions of real materials are in ranges where a direct visualization is unfeasible. Therefore, model systems can be useful. Here, the crystallization process of a three-dimensional complex plasma under gravity conditions is considered where the system ranges up to a large extent into the bulk plasma. Time-resolved measurements exhibit the process down to a single-particle level. Primary clusters, consisting of particles in the solid state, grow vertically and, secondarily, horizontally. The box-counting method shows a fractal dimension of df≈2.72 for the clusters. This value gives a hint that the formation process is a combination of local epitaxial and diffusion-limited growth. The particle density and the interparticle distance to the nearest neighbor remain constant within the clusters during crystallization. All results are in good agreement with former observations of a single-particle layer.

  13. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Epitaxial Ni films, e-beam nano-patterning and BMR

    Science.gov (United States)

    Lukaszew, R. Alejandra; Zhang, Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-05-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts.

  15. Epitaxial Ni films, e-beam nano-patterning and BMR

    International Nuclear Information System (INIS)

    Lukaszew, R.A.; Zhang Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-01-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts

  16. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    Science.gov (United States)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  17. Characterization of Engine Mount Elastomers

    National Research Council Canada - National Science Library

    Szabo, Jeffrey P

    2005-01-01

    As part of a project to develop methods for modelling the performance of engine mounts, several oil resistant alternative materials were prepared, and compared to conventional materials from mounts...

  18. Side Effects of Nitrification Inhibitors on Non Target Microbial Processes in Soils

    Directory of Open Access Journals (Sweden)

    Johannes Carl Gottlieb Ottow

    2011-01-01

    Full Text Available Agricultural chemicals have been used extensively in modern agriculture and toxicological studies suggest a great potential for inducing undesirable effects on non target organisms. A model experiment was conducted in order to determine side effects of three nitrification inhibitors (NIs, 3,4dimethylpyrazolephosphate = DMPP, 4-Chlor-methylpyrazole phosphate = ClMPP and dicyandiamide = DCD on non target microbial processes in soils. Side effects and dose response curve of three NIs were quantified under laboratory conditions using silty clay, loam and a sandy soils. Dehydrogenase, dimethylsulfoxide reductase as well as nitrogenase activity (NA and potential denitrification capacity were measured as common and specific non target microbial processes. The influence of 5-1000 times the base concentration, dose response curves were examined, and no observable effect level = NOEL, as well as effective dose ED10 and ED50 (10% and 50% inhibition were calculated. The NOEL for microbial non target processes were about 30–70 times higher than base concentration in all investigated soils. The potential denitrification capacity revealed to be the most sensitive parameter. ClMPP exhibited the strongest influence on the non target microbial processes in the three soils. The NOEL, ED10 and ED50 values were higher in clay than in loamy or sandy soil. The NIs was the most effective in sandy soils.

  19. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  20. Using wintergreen oil for mounting mosquito larvae: a safer alternative to xylene.

    Science.gov (United States)

    Koay, J B; Natasya, N N; Nashithatul, Mag; Ihsanuddin, R; Salleh, F M; Azil, A H

    2016-01-01

    Permanent mounting of fourth instar mosquito larvae is essential for identifying Aedes spp. This procedure requires extensive exposure to xylene, a clearing agent in the mounting process. We investigated wintergreen oil as a substitute for xylene. Five hundred larvae were mounted on slides to evaluate shrinkage or expansion of specimens after clearing using xylene or wintergreen oil. We examined the ventral brush and siphonal hair tufts for species identification and for preservation of morphological characteristics after clearing specimens in xylene or wintergreen oil. Shrinkage of the length of whole larvae and width of the head, thorax and abdomen after mounting was significantly greater after clearing with xylene than with wintergreen oil. The length of the comb scale nearest the ventral brush was similar for both clearing agents. The clarity of the specimens after mounting was improved by clearing with wintergreen oil, but the integrity of the ventral brush and siphonal hair tufts were similar for both clearing agents.

  1. Developing a demand side management strategic framework through a collaborative [process

    International Nuclear Information System (INIS)

    Kostler, J.

    1992-01-01

    Alberta Power Ltd. is developing a demand side management (DSM) strategic framework through a collaborative process that began in September 1991. The process is seen to have the advantages of involving customers in DSM issues, giving them the opportunity to determine the outcome, being less confrontational, and having the capability of arriving at solutions unattainable through other processes. Issues being considered in the collaborative process include cost effectiveness, externalities, screening and analyzing of DSM measures, cost allocation and recovery, DSM lost revenues, the utility role in DSM, measurement criteria, and incentives. The process includes day-long meetings of a 12-member collaborative group comprising representatives from Alberta Power, government agencies, industry and municipal associations, and environmental and consumer organizations. A professional facilitator and an expert consultant from outside Alberta Power were employed to support the collaborative process. The process is working well and is on track to present the utility with a strategic framework to deal with DSM

  2. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  3. Nozzle Mounting Method Optimization Based on Robot Kinematic Analysis

    Science.gov (United States)

    Chen, Chaoyue; Liao, Hanlin; Montavon, Ghislain; Deng, Sihao

    2016-08-01

    Nowadays, the application of industrial robots in thermal spray is gaining more and more importance. A desired coating quality depends on factors such as a balanced robot performance, a uniform scanning trajectory and stable parameters (e.g. nozzle speed, scanning step, spray angle, standoff distance). These factors also affect the mass and heat transfer as well as the coating formation. Thus, the kinematic optimization of all these aspects plays a key role in order to obtain an optimal coating quality. In this study, the robot performance was optimized from the aspect of nozzle mounting on the robot. An optimized nozzle mounting for a type F4 nozzle was designed, based on the conventional mounting method from the point of view of robot kinematics validated on a virtual robot. Robot kinematic parameters were obtained from the simulation by offline programming software and analyzed by statistical methods. The energy consumptions of different nozzle mounting methods were also compared. The results showed that it was possible to reasonably assign the amount of robot motion to each axis during the process, so achieving a constant nozzle speed. Thus, it is possible optimize robot performance and to economize robot energy.

  4. Epitaxial growth by monolayer restricted galvanic displacement

    Directory of Open Access Journals (Sweden)

    Vasilić Rastko

    2012-01-01

    Full Text Available The development of a new method for epitaxial growth of metals in solution by galvanic displacement of layers pre-deposited by underpotential deposition (UPD was discussed and experimentally illustrated throughout the lecture. Cyclic voltammetry (CV and scanning tunneling microscopy (STM are employed to carry out and monitor a “quasi-perfect”, two-dimensional growth of Ag on Au(111, Cu on Ag(111, and Cu on Au(111 by repetitive galvanic displacement of underpotentially deposited monolayers. A comparative study emphasizes the displacement stoichiometry as an efficient tool for thickness control during the deposition process and as a key parameter that affects the deposit morphology. The excellent quality of layers deposited by monolayer-restricted galvanic displacement is manifested by a steady UPD voltammetry and ascertained by a flat and uniform surface morphology maintained during the entire growth process.

  5. Spin-dependent transport in epitaxial Fe wires on GaAs(110); Spinabhaengiger Transport in epitaktischen Fe-Leiterbahnen auf GaAs(110)

    Energy Technology Data Exchange (ETDEWEB)

    Hassel, Christoph

    2009-08-11

    In the present thesis, the spin dependent transport in epitaxial Fe wires as well as in perpendicularly magnetized multilayer wires is investigated. The main focus is on the investigation of quantum transport phenomena, the domain wall resistance as well as the current induced domain wall motion. Epitaxial Fe wires are prepared from epitaxial Fe films by means of electron beam lithography. Because of the intrinsic magnetic anisotropy, it is possible to prepare wires with a remanent transversal magnetization. Magnetic force microscopy is used to image the magnetic state of single wires. The magnetization reversal behaviour of these wires is investigated in detail using magnetoresistance measurements. These measurements are dominated by effects of the anisotropic magnetoresistance and can be explained by micromagnetic calculations. For the first time, quantum transport phenomena in epitaxial Fe wires are studied by magnetoresistance measurements for temperatures down to 20 mK. These measurements clearly indicate that, independent of the wire width and orientation, no contribution due to weak electron localization can be observed. The results are quantitatively explained within the framework of enhanced electron-electron interactions. Furthermore, by reducing the wire width the onset of the transition from two-dimensional to one-dimensional behaviour is found. To determine the domain wall resistance, a different number of domain walls is created in various structures, whereby the epitaxial samples allow to investigate different domain wall structures. First, a technique based on the stray field of a magnetic force microscope tip is presented. Furthermore, the influence of the shape anisotropy on the coercive field of single wires is used. Contributions to the observed resistance change due to the anisotropic magnetoresistance are calculated using micromagnetic simulations. A positive intrinsic relative resistance increase of 0.2% within the domain wall is found at

  6. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  7. Top-Down Beta Enhances Bottom-Up Gamma.

    Science.gov (United States)

    Richter, Craig G; Thompson, William H; Bosman, Conrado A; Fries, Pascal

    2017-07-12

    Several recent studies have demonstrated that the bottom-up signaling of a visual stimulus is subserved by interareal gamma-band synchronization, whereas top-down influences are mediated by alpha-beta band synchronization. These processes may implement top-down control of stimulus processing if top-down and bottom-up mediating rhythms are coupled via cross-frequency interaction. To test this possibility, we investigated Granger-causal influences among awake macaque primary visual area V1, higher visual area V4, and parietal control area 7a during attentional task performance. Top-down 7a-to-V1 beta-band influences enhanced visually driven V1-to-V4 gamma-band influences. This enhancement was spatially specific and largest when beta-band activity preceded gamma-band activity by ∼0.1 s, suggesting a causal effect of top-down processes on bottom-up processes. We propose that this cross-frequency interaction mechanistically subserves the attentional control of stimulus selection. SIGNIFICANCE STATEMENT Contemporary research indicates that the alpha-beta frequency band underlies top-down control, whereas the gamma-band mediates bottom-up stimulus processing. This arrangement inspires an attractive hypothesis, which posits that top-down beta-band influences directly modulate bottom-up gamma band influences via cross-frequency interaction. We evaluate this hypothesis determining that beta-band top-down influences from parietal area 7a to visual area V1 are correlated with bottom-up gamma frequency influences from V1 to area V4, in a spatially specific manner, and that this correlation is maximal when top-down activity precedes bottom-up activity. These results show that for top-down processes such as spatial attention, elevated top-down beta-band influences directly enhance feedforward stimulus-induced gamma-band processing, leading to enhancement of the selected stimulus. Copyright © 2017 Richter, Thompson et al.

  8. A review of test results on parabolic dish solar thermal power modules with dish-mounted Rankine engines and for production of process steam

    Science.gov (United States)

    Jaffe, Leonard D.

    1988-11-01

    This paper presents results of development testing of various solar thermal parabolic dish modules and assemblies. Most of the tests were at modules and assemblies that used a dish-mounted, organic Rankine cycle turbine for production of electric power. Some tests were also run on equipment for production of process steam or for production of electricity using dish-mounted reciprocating steam engines. These tests indicate that early modules achieve efficiencies of about 18 percent in converting sunlight to electricity (excluding the inverter but including parasitics). A number of malfunctions occurred. The performance measurements, as well as the malfunctions and other operating experience, provided information that should be of value in developing systems with improved performance and reduced maintenance.

  9. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  10. The alignment and isostatic mount bonding technique of the aerospace Cassegrain telescope primary mirror

    Science.gov (United States)

    Lin, Wei Cheng; Chang, Shenq-Tsong; Lin, Yu-Chuan; Hsu, Ming-Ying; Chang, Yu-Ting; Chang, Sheng-Hsiung; Huang, Ting-Ming

    2012-10-01

    In order to meet both optical performance and structural stiffness requirements of the aerospace Cassegrain telescope, iso-static mount is used as the interface between the primary mirror and the main plate. This article describes the alignment and iso-static mount bonding technique of the primary mirror by assistance of CMM. The design and assembly of mechanical ground support equipment (MGSE) which reduces the deformation of primary mirror by the gravity effect is also presented. The primary mirror adjusting MGSE consists of X-Y linear translation stages, rotation stage and kinematic constrain platform which provides the function of decenter, orientation, tilt and height adjustment of the posture sequentially. After CMM measurement, the radius of curvature, conic constant, decenter and tilt, etc. will be calculated. According to these results, the posture of the mirror will be adjusted to reduce the tilt by the designed MGSE within 0.02 degrees and the distance deviation from the best fitted profile of mirror to main plate shall be less than 0.01 mm. After that, EC 2216 adhesive is used to bond mirror and iso-static mount. During iso-static mount bonding process, CMM is selected to monitor the relative position deviation of the iso-static mount until the adhesive completely cured. After that, the wave front sensors and strain gauges are used to monitor the strain variation while the iso-static mount mounted in the main plate with the screws by the torque wrench. This step is to prevent deformation of the mirror caused from force of the iso-static mount during the mounting process. In the end, the interferometer is used for the optical performance test with +1G and -1G to check the alignment and bonding technique is well or not.

  11. Dual chamber system providing simultaneous etch and deposition on opposing substrate sides for growing low defect density epitaxial layers

    Science.gov (United States)

    Kulkarni, Nagraj S [Knoxville, TN; Kasica, Richard J. ,

    2011-03-08

    A dual-chamber reactor can include a housing enclosing a volume having a divider therein, where the divider defines a first chamber and a second chamber. The divider can include a substrate holder that supports at least one substrate and exposes a first side of the substrate to the first chamber and a second side of the substrate to the second chamber. The first chamber can include an inlet for delivering at least one reagent to the first chamber for forming a film on the first side of the substrate, and the second chamber can include a removal device for removing material from the second side of the substrate.

  12. Top-down modulation of visual processing and knowledge after 250 ms supports object constancy of category decisions

    Directory of Open Access Journals (Sweden)

    Haline E. Schendan

    2015-09-01

    Full Text Available People categorize objects slowly when visual input is highly impoverished instead of optimal. While bottom-up models may explain a decision with optimal input, perceptual hypothesis testing (PHT theories implicate top-down processes with impoverished input. Brain mechanisms and the time course of PHT are largely unknown. This event-related potential study used a neuroimaging paradigm that implicated prefrontal cortex in top-down modulation of occipitotemporal cortex. Subjects categorized more impoverished and less impoverished real and pseudo objects. PHT theories predict larger impoverishment effects for real than pseudo objects because top-down processes modulate knowledge only for real objects, but different PHT variants predict different timing. Consistent with parietal-prefrontal PHT variants, around 250 ms, the earliest impoverished real object interaction started on an N3 complex, which reflects interactive cortical activity for object cognition. N3 impoverishment effects localized to both prefrontal and occipitotemporal cortex for real objects only. The N3 also showed knowledge effects by 230 ms that localized to occipitotemporal cortex. Later effects reflected (a word meaning in temporal cortex during the N400, (b internal evaluation of prior decision and memory processes and secondary higher-order memory involving anterotemporal parts of a default mode network during posterior positivity (P600, and (c response related activity in posterior cingulate during an anterior slow wave (SW after 700 ms. Finally, response activity in supplementary motor area during a posterior SW after 900 ms showed impoverishment effects that correlated with RTs. Convergent evidence from studies of vision, memory, and mental imagery which reflects purely top-down inputs, indicates that the N3 reflects the critical top-down processes of PHT. A hybrid multiple-state interactive, PHT and decision theory best explains the visual constancy of object cognition.

  13. Top-down modulation of visual processing and knowledge after 250 ms supports object constancy of category decisions.

    Science.gov (United States)

    Schendan, Haline E; Ganis, Giorgio

    2015-01-01

    People categorize objects more slowly when visual input is highly impoverished instead of optimal. While bottom-up models may explain a decision with optimal input, perceptual hypothesis testing (PHT) theories implicate top-down processes with impoverished input. Brain mechanisms and the time course of PHT are largely unknown. This event-related potential study used a neuroimaging paradigm that implicated prefrontal cortex in top-down modulation of occipitotemporal cortex. Subjects categorized more impoverished and less impoverished real and pseudo objects. PHT theories predict larger impoverishment effects for real than pseudo objects because top-down processes modulate knowledge only for real objects, but different PHT variants predict different timing. Consistent with parietal-prefrontal PHT variants, around 250 ms, the earliest impoverished real object interaction started on an N3 complex, which reflects interactive cortical activity for object cognition. N3 impoverishment effects localized to both prefrontal and occipitotemporal cortex for real objects only. The N3 also showed knowledge effects by 230 ms that localized to occipitotemporal cortex. Later effects reflected (a) word meaning in temporal cortex during the N400, (b) internal evaluation of prior decision and memory processes and secondary higher-order memory involving anterotemporal parts of a default mode network during posterior positivity (P600), and (c) response related activity in posterior cingulate during an anterior slow wave (SW) after 700 ms. Finally, response activity in supplementary motor area during a posterior SW after 900 ms showed impoverishment effects that correlated with RTs. Convergent evidence from studies of vision, memory, and mental imagery which reflects purely top-down inputs, indicates that the N3 reflects the critical top-down processes of PHT. A hybrid multiple-state interactive, PHT and decision theory best explains the visual constancy of object cognition.

  14. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  15. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  16. Evaluation of HOPG mounting possibilities for multiplexing spectrometers

    DEFF Research Database (Denmark)

    Groitl, Felix; Bartkowiak, Marek; Bergmann, Ryan M.

    2017-01-01

    Four different methods for mounting HOPG analyzer crystals on Si holders have been evaluated in the design process of the new multiplexing spectrometer CAMEA. Contrary to neutron optics used in standard spectrometers, the new instrument concept employs a series of analyzer segments behind each...

  17. Eruptive history of Mount Katmai, Alaska

    Science.gov (United States)

    Hildreth, Edward; Fierstein, Judith

    2012-01-01

    Mount Katmai has long been recognized for its caldera collapse during the great pyroclastic eruption of 1912 (which vented 10 km away at Novarupta in the Valley of Ten Thousand Smokes), but little has previously been reported about the geology of the remote ice-clad stratovolcano itself. Over several seasons, we reconnoitered all parts of the edifice and sampled most of the lava flows exposed on its flanks and caldera rim. The precipitous inner walls of the 1912 caldera remain too unstable for systematic sampling; so we provide instead a photographic and interpretive record of the wall sequences exposed. In contrast to the several andesite-dacite stratovolcanoes nearby, products of Mount Katmai range from basalt to rhyolite. Before collapse in 1912, there were two overlapping cones with separate vent complexes and craters; their products are here divided into eight sequences of lava flows, agglutinates, and phreatomagmatic ejecta. Latest Pleistocene and Holocene eruptive units include rhyodacite and rhyolite lava flows along the south rim; a major 22.8-ka rhyolitic plinian fall and ignimbrite deposit; a dacite-andesite zoned scoria fall; a thick sheet of dacite agglutinate that filled a paleocrater and draped the west side of the edifice; unglaciated leveed dacite lava flows on the southeast slope; and the Horseshoe Island dacite dome that extruded on the caldera floor after collapse. Pre-collapse volume of the glaciated Katmai edifice was ∼30 km3, and eruptive volume is estimated to have been 57±13 km3. The latter figure includes ∼40±6 km3 for the edifice, 5±2 km3 for off-edifice dacite pyroclastic deposits, and 12±5 km3 for the 22.8-ka rhyolitic pyroclastic deposits. To these can be added 13.5 km3 of magma that erupted at Novarupta in 1912, all or much of which is inferred to have been withdrawn from beneath Mount Katmai. The oldest part of the edifice exposed is a basaltic cone, which gave a 40Ar/39Ar plateau age of 89 ± 25 ka.

  18. Solar panel parallel mounting configuration

    Science.gov (United States)

    Mutschler, Jr., Edward Charles (Inventor)

    1998-01-01

    A spacecraft includes a plurality of solar panels interconnected with a power coupler and an electrically operated device to provide power to the device when the solar cells are insolated. The solar panels are subject to bending distortion when entering or leaving eclipse. Spacecraft attitude disturbances are reduced by mounting each of the solar panels to an elongated boom made from a material with a low coefficient of thermal expansion, so that the bending of one panel is not communicated to the next. The boom may be insulated to reduce its bending during changes in insolation. A particularly advantageous embodiment mounts each panel to the boom with a single mounting, which may be a hinge. The single mounting prevents transfer of bending moments from the panel to the boom.

  19. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    Various physical properties of epitaxial graphene grown on SiC(0001) are studied. First, the electronic transport in epitaxial bilayer graphene on SiC(0001) and quasi-free-standing bilayer graphene on SiC(0001) is investigated. The dependences of the resistance and the polarity of the Hall resistance at zero gate voltage on the top-gate voltage show that the carrier types are electron and hole, respectively. The mobility evaluated at various carrier densities indicates that the quasi-free-standing bilayer graphene shows higher mobility than the epitaxial bilayer graphene when they are compared at the same carrier density. The difference in mobility is thought to come from the domain size of the graphene sheet formed. To clarify a guiding principle for controlling graphene quality, the mechanism of epitaxial graphene growth is also studied theoretically. It is found that a new graphene sheet grows from the interface between the old graphene sheets and the SiC substrate. Further studies on the energetics reveal the importance of the role of the step on the SiC surface. A first-principles calculation unequivocally shows that the C prefers to release from the step edge and to aggregate as graphene nuclei along the step edge rather than be left on the terrace. It is also shown that the edges of the existing graphene more preferentially absorb the isolated C atoms. For some annealing conditions, experiments can also provide graphene islands on SiC(0001) surfaces. The atomic structures are studied theoretically together with their growth mechanism. The proposed embedded island structures actually act as a graphene island electronically, and those with zigzag edges have a magnetoelectric effect. Finally, the thermoelectric properties of graphene are theoretically examined. The results indicate that reducing the carrier scattering suppresses the thermoelectric power and enhances the thermoelectric figure of merit. The fine control of the Fermi energy position is thought to

  20. Preparation of source mounts for 4π counting

    International Nuclear Information System (INIS)

    Johnson, E.P.

    1991-01-01

    The 4πβ/γ counter in the ANSTO radioisotope standards laboratory at Lucas Heights constitutes part of the Australian national standard for radioactivity. Sources to be measured in the counter must be mounted on a substrate which is strong enough to withstand careful handling and transport. The substrate must also be electrically conducting to minimise counting errors caused by charging of the source, and it must have very low superficial density so that little or none of the radiation is absorbed. The entire process of fabrication of VYNS films, coating them with gold/palladium and transferring them to source mount rings, as carried out in the radioisotope standards laboratory, is documented. 3 refs., 2 tabs., 6 figs

  1. Semipolar (202̅1) III-Nitride P-Down LEDs with in situ anneal to reduce the Mg memory effect

    Science.gov (United States)

    Forman, C.; Leonard, J.; Yonkee, B.; Pynn, C.; Mates, T.; Cohen, D.; Farrell, R.; Margalith, T.; DenBaars, S.; Speck, J.; Nakamura, S.

    2017-04-01

    P-down LEDs (PDLEDs) have the potential to open up new design schemes for III-nitride LEDs compared to conventional n-down LEDs (NDLEDs). For light emitters operating above 480 nm, the PDLED design enables the epitaxial advantages of semipolar (202̅1) and gains the polarization benefits of semipolar (202̅1̅). Here, we investigated semipolar (202̅1) InGaN-based PDLEDs in terms of their photoluminescence (PL) spectra and compositional profile. Despite concerns of the Mg memory effect degrading PDLED performance due to Mg-related non-radiative recombination centers, the PL intensities were nearly identical between the NDLED and PDLEDs, which emitted at wavelengths centered near 500 nm. Secondary ion mass spectrometry revealed that the Mg doping levels in the multiple quantum well (MQW) active region were comparable for each structure, with average values of 2.9×1018 cm-3 for the NDLED and 1.8×1018 cm-3 for the PDLED. Prior to growing the active region MQW, a 700 °C in situ anneal was carried out to reduce the average Mg concentration in the PDLED MQW to 3.7×1017 cm-3. Its hydrogen concentration remained at 5×1019 cm-3 in the p-type GaN region, which suggests that hydrogen passivation occurs during the growth of subsequent epitaxial layers in ammonia.

  2. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Brä se, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Mü llen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wö ll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  3. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan

    2012-12-04

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  4. Angular dependence of magnetization reversal in epitaxial chromium telluride thin films with perpendicular magnetic anisotropy

    Energy Technology Data Exchange (ETDEWEB)

    Pramanik, Tanmoy, E-mail: pramanik.tanmoy@utexas.edu; Roy, Anupam, E-mail: anupam@austin.utexas.edu; Dey, Rik, E-mail: rikdey@utexas.edu; Rai, Amritesh; Guchhait, Samaresh; Movva, Hema C.P.; Hsieh, Cheng-Chih; Banerjee, Sanjay K.

    2017-09-01

    Highlights: • Perpendicular magnetic anisotropy in epitaxial Cr{sub 2}Te{sub 3} has been investigated. • Presence of a relatively strong second order anisotropy contribution is observed. • Magnetization reversal is explained quantitatively using a 1D defect model. • Relative roles of nucleation and pinning in magnetization reversal are discussed. • Domain structures and switching process are visualized by micromagnetic simulation. - Abstract: We investigate magnetic anisotropy and magnetization reversal mechanism in chromium telluride thin films grown by molecular beam epitaxy. We report existence of strong perpendicular magnetic anisotropy in these thin films, along with a relatively strong second order anisotropy contribution. The angular variation of the switching field observed from the magnetoresistance measurement is explained quantitatively using a one-dimensional defect model. The model reveals the relative roles of nucleation and pinning in the magnetization reversal, depending on the applied field orientation. Micromagnetic simulations are performed to visualize the domain structure and switching process.

  5. Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide

    International Nuclear Information System (INIS)

    Kukushkin, S A; Osipov, A V

    2017-01-01

    The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)

  6. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  7. Mounting Thin Samples For Electrical Measurements

    Science.gov (United States)

    Matus, L. G.; Summers, R. L.

    1988-01-01

    New method for mounting thin sample for electrical measurements involves use of vacuum chuck to hold a ceramic mounting plate, which holds sample. Contacts on mounting plate establish electrical connection to sample. Used to make electrical measurements over temperature range from 77 to 1,000 K and does not introduce distortions into magnetic field during Hall measurements.

  8. Dual-Task Processing as a Measure of Executive Function: A Comparison between Adults with Williams and Down Syndromes

    Science.gov (United States)

    Kittler, Phyllis M.; Krinsky-McHale, Sharon J.; Devenny, Darlynne A.

    2008-01-01

    Behavioral phenotypes of individuals with Williams syndrome and individuals with Down syndrome have been contrasted in relation to short-term memory. People with Down syndrome are stronger visuospatially and those with Williams syndrome are stronger verbally. We examined short-term memory, then explored whether dual-task processing further…

  9. Interface formation and defect structures in epitaxial La2Zr2O7 thin films on (111) Si

    International Nuclear Information System (INIS)

    Seo, J.W.; Fompeyrine, J.; Guiller, A.; Norga, G.; Marchiori, C.; Siegwart, H.; Locquet, J.-P.

    2003-01-01

    We have studied the growth of epitaxial La 2 Zr 2 O 7 thin films on (111) Si. Although the interface structure can be strongly affected by the Si oxidation during the deposition process, epitaxial growth of La 2 Zr 2 O 7 was obtained. A detailed study by means of transmission electron microscopy reveals two types of structures (pyrochlore and fluorite) with the same average chemical composition but strong differences in reactivity and interface formation. The structural complexity of the ordered pyrochlore structure seems to prevent excess oxygen diffusion and interfacial SiO 2 formation

  10. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  11. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  12. The Impact of Top-Down Prediction on Emotional Face Processing in Social Anxiety

    Directory of Open Access Journals (Sweden)

    Guangming Ran

    2017-07-01

    Full Text Available There is evidence that people with social anxiety show abnormal processing of emotional faces. To investigate the impact of top-down prediction on emotional face processing in social anxiety, brain responses of participants with high and low social anxiety (LSA were recorded, while they performed a variation of the emotional task, using high temporal resolution event-related potential techniques. Behaviorally, we reported an effect of prediction with higher accuracy for predictable than unpredictable faces. Furthermore, we found that participants with high social anxiety (HSA, but not with LSA, recognized angry faces more accurately than happy faces. For the P100 and P200 components, HSA participants showed enhanced brain activity for angry faces compared to happy faces, suggesting a hypervigilance to angry faces. Importantly, HSA participants exhibited larger N170 amplitudes in the right hemisphere electrodes than LSA participants when they observed unpredictable angry faces, but not when the angry faces were predictable. This probably reflects the top-down prediction improving the deficiency at building a holistic face representation in HSA participants.

  13. Controlled synthesis of organic single-crystalline nanowires via the synergy approach of the bottom-up/top-down processes.

    Science.gov (United States)

    Zhuo, Ming-Peng; Zhang, Ye-Xin; Li, Zhi-Zhou; Shi, Ying-Li; Wang, Xue-Dong; Liao, Liang-Sheng

    2018-03-15

    The controlled fabrication of organic single-crystalline nanowires (OSCNWs) with a uniform diameter in the nanoscale via the bottom-up approach, which is just based on weak intermolecular interaction, is a great challenge. Herein, we utilize the synergy approach of the bottom-up and the top-down processes to fabricate OSCNWs with diameters of 120 ± 10 nm through stepwise evolution processes. Specifically, the evolution processes vary from the self-assembled organic micro-rods with a quadrangular pyramid-like end-structure bounded with {111}s and {11-1}s crystal planes to the "top-down" synthesized organic micro-rods with the flat cross-sectional {002}s plane, to the organic micro-tubes with a wall thickness of ∼115 nm, and finally to the organic nanowires. Notably, the anisotropic etching process caused by the protic solvent molecules (such as ethanol) is crucial for the evolution of the morphology throughout the whole top-down process. Therefore, our demonstration opens a new avenue for the controlled-fabrication of organic nanowires, and also contributes to the development of nanowire-based organic optoelectronics such as organic nanowire lasers.

  14. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  15. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  16. Preparation and structural characterization of FeCo epitaxial thin films on insulating single-crystal substrates

    International Nuclear Information System (INIS)

    Nishiyama, Tsutomu; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    FeCo epitaxial films were prepared on MgO(111), SrTiO 3 (111), and Al 2 O 3 (0001) single-crystal substrates by ultrahigh vacuum molecular beam epitaxy. The effects of insulating substrate material on the film growth process and the structures were investigated. FeCo(110) bcc films grow on MgO substrates with two type domains, Nishiyama-Wassermann (NW) and Kurdjumov-Sachs (KS) relationships. On the contrary, FeCo films grown on SrTiO 3 and Al 2 O 3 substrates include FeCo(111) bcc crystal in addition to the FeCo(110) bcc crystals with NW and KS relationships. The FeCo(111) bcc crystal consists of two type domains whose orientations are rotated around the film normal by 180 deg. each other. The out-of-plane and the in-plane lattice spacings of FeCo(110) bcc and FeCo(111) bcc crystals formed on the insulating substrates are in agreement with those of the bulk Fe 50 Co 50 (at. %) crystal with small errors ranging between +0.2% and +0.4%, showing that the strains in the epitaxial films are very small.

  17. Resilient mounting systems in buildings

    NARCIS (Netherlands)

    Breeuwer, R.; Tukker, J.C.

    1976-01-01

    The basic elements of resilient mounting systems are described and various measures for quantifying the effect of such systems defined. Using electrical analogue circuits, the calculation of these measures is illustrated. With special reference to resilient mounting systems in buildings, under

  18. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  19. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  20. Investigation of silicon sensors quality as a function of the ohmic side processing technology

    CERN Document Server

    Bloch, P; Golubkov, S A; Golutvin, I A; Egorov, N; Konjkov, K; Kozlov, Y; Peisert, Anna; Sidorov, A; Zamiatin, N I; Cheremuhin, A E

    2002-01-01

    Silicon sensors designed for the CMS Preshower detector must have a high breakdown voltage in order to be fully efficient after a strong irradiation. Studies made by several groups left bracket 1,2,3 right bracket have underlined the importance of the p**+ side geometrical parameters, such as the metal width and the number and spacing of guard rings. We have in addition investigated the effects related to the ohmic side processing and found that the breakdown voltage depends strongly on the depth of the effective "dead" n**+ layer. By increasing this thickness from mum to 2.5mum, the fraction of sensors with breakdown voltage higher than 500V increased from 22% to more than 80%. On the other hand, it was noticed that the starting surface quality of the wafer (double side polished or single side polished) does not affect the detectors parameters for a given production technology. The thick n**+-layer protects against initial wafer surface and defects caused by the technological treatment during the detector pr...

  1. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  2. The deregulation of the Italian natural gas industry and diversification processes in the down-stream sector

    International Nuclear Information System (INIS)

    Fazioli, R.; Quaglino, S.; Di Domenico, M.

    2000-01-01

    The opening of the italian gas market , due to the privatisation and liberalisation process, has been implemented by the important normative evolution of this sector both at european and national level. The debate following this process in the gas market has focussed, basically, on the up-stream sector leaving aside the important down-stream sector also concerning gas local distribution and sales. In this article it is paid more attention to the down-stream sector considering the firms' evolution from a basic mono utility to multi utility and multi services organisational structure. This potential firms' evolution in the italian gas market will be considered also referring to specific international experience in this market [it

  3. Low-Thermal-Resistance Baseplate Mounting

    Science.gov (United States)

    Perreault, W. T.

    1984-01-01

    Low-thermal-resistance mounting achieved by preloading baseplate to slight convexity with screws threaded through beam. As mounting bolts around edge of base-place tightened, baseplate and cold plate contact first in center, with region of intimate contact spreading outward as bolts tightened.

  4. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  5. Liquid Solution Phase Epitaxial Growth of Al-doped f-SiC for LEDs

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; van der Eijk, Casper

    light quality and longer lifespan, compared to the current yellow phosphor based white LEDs. Liquid phase epitaxy technology is able to yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium process. In addition, the technological equipment...... are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  6. An improved loopless mounting method for cryocrystallography

    International Nuclear Information System (INIS)

    Jian-Xun, Qi; Fan, Jiang

    2010-01-01

    Based on a recent loopless mounting method, a simplified loopless and bufferless crystal mounting method is developed for macromolecular crystallography. This simplified crystal mounting system is composed of the following components: a home-made glass capillary, a brass seat for holding the glass capillary, a flow regulator, and a vacuum pump for evacuation. Compared with the currently prevalent loop mounting method, this simplified method has almost the same mounting procedure and thus is compatible with the current automated crystal mounting system. The advantages of this method include higher signal-to-noise ratio, more accurate measurement, more rapid flash cooling, less x-ray absorption and thus less radiation damage to the crystal. This method can be extended to the flash-freeing of a crystal without or with soaking it in a lower concentration of cryoprotectant, thus it may be the best option for data collection in the absence of suitable cryoprotectant. Therefore, it is suggested that this mounting method should be further improved and extensively applied to cryocrystallographic experiments. (general)

  7. An improved loopless mounting method for cryocrystallography

    Science.gov (United States)

    Qi, Jian-Xun; Jiang, Fan

    2010-01-01

    Based on a recent loopless mounting method, a simplified loopless and bufferless crystal mounting method is developed for macromolecular crystallography. This simplified crystal mounting system is composed of the following components: a home-made glass capillary, a brass seat for holding the glass capillary, a flow regulator, and a vacuum pump for evacuation. Compared with the currently prevalent loop mounting method, this simplified method has almost the same mounting procedure and thus is compatible with the current automated crystal mounting system. The advantages of this method include higher signal-to-noise ratio, more accurate measurement, more rapid flash cooling, less x-ray absorption and thus less radiation damage to the crystal. This method can be extended to the flash-freeing of a crystal without or with soaking it in a lower concentration of cryoprotectant, thus it may be the best option for data collection in the absence of suitable cryoprotectant. Therefore, it is suggested that this mounting method should be further improved and extensively applied to cryocrystallographic experiments.

  8. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  9. Power module packaging with double sided planar interconnection and heat exchangers

    Science.gov (United States)

    Liang, Zhenxian; Marlino, Laura D.; Ning, Puqi; Wang, Fei

    2015-05-26

    A double sided cooled power module package having a single phase leg topology includes two IGBT and two diode semiconductor dies. Each IGBT die is spaced apart from a diode semiconductor die, forming a switch unit. Two switch units are placed in a planar face-up and face-down configuration. A pair of DBC or other insulated metallic substrates is affixed to each side of the planar phase leg semiconductor dies to form a sandwich structure. Attachment layers are disposed on outer surfaces of the substrates and two heat exchangers are affixed to the substrates by rigid bond layers. The heat exchangers, made of copper or aluminum, have passages for carrying coolant. The power package is manufactured in a two-step assembly and heating process where direct bonds are formed for all bond layers by soldering, sintering, solid diffusion bonding or transient liquid diffusion bonding, with a specially designed jig and fixture.

  10. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  11. Fluorescent visualization of macromolecules in Drosophila whole mounts.

    Science.gov (United States)

    Ramos, Ricardo Guelerman Pinheiro; Machado, Luciana Claudia Herculano; Moda, Livia Maria Rosatto

    2010-01-01

    The ability to determine the expression dynamics of individual genes "in situ" by visualizing the precise spatial and temporal distribution of their products in whole mounts by histochemical and immunocytochemical reactions has revolutionized our understanding of cellular processes. Drosophila developmental genetics was one of the fields that benefited most from these technologies, and a variety of fluorescent methods were specifically designed for investigating the localization of developmentally important proteins and cell markers during embryonic and post embryonic stages of this model organism. In this chapter we present detailed protocols for fluorescence immunocytochemistry of whole mount embryos, imaginal discs, pupal retinas, and salivary glands of Drosophila melanogaster, as well as methods for fluorescent visualization of specific subcellular structures in these tissues.

  12. Initiation of Recent Debris Flows on Mount Rainier, Washington: A Climate Warming Signal?

    Science.gov (United States)

    Copeland, E. A.; Kennard, P.; Nolin, A. W.; Lancaster, S. T.; Grant, G. E.

    2008-12-01

    The first week of November 2006 an intense rainstorm inundated the Pacific Northwest and triggered debris flows on many large volcanoes in the Cascade Range of Washington and Oregon. At Mount Rainier, Washington, 45.7 cm of rain was recorded in 36 hours; the storm was preceded by a week of light precipitation and moderate temperatures, so that rain fell on nearly-saturated ground with minimal snow cover. The November 2006 storm was exceptional in that it resulted in a 100-year flood and caused an unprecedented six-month closure of Mount Rainier National Park. It also focused inquiry as to whether debris flows from Cascade volcanoes are likely to occur more frequently in the future as glaciers recede due to climate warming, leaving unstable moraines and sediment that can act as initiation sites. We examined the recent history of debris flows from Mount Rainier using aerial photographs and field surveyed debris flow tracks. Prior to 2001, debris flows were recorded in association with rainfall or glacial outburst floods in 4 drainages, but 3 additional drainages were first impacted by debris flows in 2001, 2005, and 2006, respectively. We discovered that most of the recent debris flows initiated as small gullies in unconsolidated material at the edge of fragmented glaciers or areas of permanent snow and ice. Other initiation sites occur on steep-sided un-vegetated moraines. Of the 28 named glaciers on Mount Rainier, debris flows initiated near five glaciers in the exceptional storm of 2006 (Winthrop, Inter, Kautz-Success, Van Trump, Pyramid, and South Tahoma). Less exceptional storms, however, have also produced wide-spread debris flows: in September 2005, 15.3 cm of rain fell in 48 hours on minimal snow cover and caused debris flows in all except 2 of the glacier drainages that initiated in 2006. Debris flows from both storms initiated at elevations of 1980 to 2400 m, traveled 5 to 10 kilometers, and caused significant streambed aggradation. These results suggest a

  13. ZnO nanorods arrays with Ag nanoparticles on the (002) plane derived by liquid epitaxy growth and electrodeposition process

    International Nuclear Information System (INIS)

    Yin Xingtian; Que Wenxiu; Shen Fengyu

    2011-01-01

    Well-aligned ZnO nanorods (NRs) arrays with Ag nanoparticles (NPs) on the (002) plane are obtained by combining a liquid epitaxy technique with an electrodeposition process. Cyclic voltammetry study is employed to understand the electrochemical behaviors of the electrodeposition system, and potentiostatic method is employed to deposit silver NPs on the ZnO NRs in the electrolyte with an Ag + concentration of 1 mM. X-ray diffraction analysis is used to study the crystalline properties of the as-prepared samples, and energy dispersive X-ray is adopted to confirm the composition at the surface of the deposited samples. Results indicate only a small quantity of silver can be deposited on the surface of the samples. Effect of the deposition potential and time on the morphological properties of the resultant Ag NPs/ZnO NRs are investigated in detail. Scanning electron microscopy images and transmission electron microscopy images indicate that the Ag NPs deposited on the (002) plane of the ZnO NRs with a large dispersion in diameter can be obtained by a single potentiostatic deposition process, while dense Ag NPs with a much smaller diameter dispersion on the top of the ZnO NRs, most of which locate on the conical tip of the ZnO NRs, can be obtained by a two-potentiostatic deposition process, The mechanism of this deposition process is also suggested.

  14. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  15. Multi-Mounted X-Ray Computed Tomography.

    Science.gov (United States)

    Fu, Jian; Liu, Zhenzhong; Wang, Jingzheng

    2016-01-01

    Most existing X-ray computed tomography (CT) techniques work in single-mounted mode and need to scan the inspected objects one by one. It is time-consuming and not acceptable for the inspection in a large scale. In this paper, we report a multi-mounted CT method and its first engineering implementation. It consists of a multi-mounted scanning geometry and the corresponding algebraic iterative reconstruction algorithm. This approach permits the CT rotation scanning of multiple objects simultaneously without the increase of penetration thickness and the signal crosstalk. Compared with the conventional single-mounted methods, it has the potential to improve the imaging efficiency and suppress the artifacts from the beam hardening and the scatter. This work comprises a numerical study of the method and its experimental verification using a dataset measured with a developed multi-mounted X-ray CT prototype system. We believe that this technique is of particular interest for pushing the engineering applications of X-ray CT.

  16. Side-by-side comparisons of evacuated compound parabolic concentrator and flat plate solar collector systems at temperatures of 90 to 100C

    Science.gov (United States)

    Allen, J. W.; Schertz, W. W.; Wantroba, A. S.

    1987-03-01

    This collector system study is an extension of a previous system study in which Argonne National Laboratory (ANL) compared the performance of three solar energy systems operated side by side for over a year. In the present system study, four solar energy systems were operated side by side for part of a year. Two of the collector systems used commercially available compound parabolic concentrator (CPC) collectors, one used a commercially available flat plate collector, and one used an experimental CPC collector built by The University of Chicago. The collectors were mounted in fixed positions; they did not track the Sun, and their tilt angles were not seasonally adjusted. All of the collector arrays faced south and were tilted at 42 deg with respect to the horizon (to match the 42 deg N latitude at ANL). All four collector systems started each day with their storage temperatures at 90 C. During the day, each system was operated by its own solar controller. At the end of the day, the tanks were mixed and the temperature changes in the tanks were measured. The change in storage energy was calculated from the temperature change, the heat capacity of the storage system, and the pump energy.

  17. Nonlinear side effects of fs pulses inside corneal tissue during photodisruption

    Science.gov (United States)

    Heisterkamp, A.; Ripken, T.; Mamom, T.; Drommer, W.; Welling, H.; Ertmer, W.; Lubatschowski, H.

    In order to evaluate the potential for refractive surgery, fs laser pulses of 150-fs pulse duration were used to process corneal tissue of dead and living animal eyes. By focusing the laser radiation down to spot sizes of several microns, very precise cuts could be achieved inside the treated cornea, accompanied with minimum collateral damage to the tissue by thermal or mechanical effects. During histo-pathological analysis by light and transmission electron microscopy considerable side effects of fs photodisruption were found. Due to the high intensities at the focal region several nonlinear effects occurred. Self-focusing, photodissociation, UV-light production were observed, leading to streak formation inside the cornea.

  18. Progress on the development of a detector mounted analog and digital readout system for the ATLAS TRT

    CERN Document Server

    Baxter, C; Dressnandt, N; Gay, C; Lundberg, B; Munar, A; Mayers, G; Newcomer, M; Van Berg, R; Williams, H H

    2004-01-01

    The 430,000 element ATLAS Transition Radiation straw tube Tracker (TRT) is divided into a central barrel tracker consisting of 104,000 axially mounted straws and two radially arranged end caps on either side of the barrel with 160,000 straws each. To achieve a track position resolution of 140 mu m, the front end electronics must operate at a low (2fC) threshold with a time marking capability of ~1ns. Two ASICs, the ASDBLR and DTMROC provide the complete pipelined readout chain. Custom designed FBGA packages for the ASICs provide a small enough outline to be detector mounted and the extensive use of low level differential signals make mounting the analog packages on printed circuit boards directly opposite the 40 MHz digital chips feasible. The readout electronics for the barrel occupies a potentially important part of the active tracker volume and an aggressive effort has been made to make it as compact as possible. Utilizing a single board for both analog and digital ASICS a 0.1 cm /sup 3/ per channel volume...

  19. Double-sided anodic titania nanotube arrays: a lopsided growth process.

    Science.gov (United States)

    Sun, Lidong; Zhang, Sam; Sun, Xiao Wei; Wang, Xiaoyan; Cai, Yanli

    2010-12-07

    In the past decade, the pore diameter of anodic titania nanotubes was reported to be influenced by a number of factors in organic electrolyte, for example, applied potential, working distance, water content, and temperature. All these were closely related to potential drop in the organic electrolyte. In this work, the essential role of electric field originating from the potential drop was directly revealed for the first time using a simple two-electrode anodizing method. Anodic titania nanotube arrays were grown simultaneously at both sides of a titanium foil, with tube length being longer at the front side than that at the back side. This lopsided growth was attributed to the higher ionic flux induced by electric field at the front side. Accordingly, the nanotube length was further tailored to be comparable at both sides by modulating the electric field. These results are promising to be used in parallel configuration dye-sensitized solar cells, water splitting, and gas sensors, as a result of high surface area produced by the double-sided architecture.

  20. Housing And Mounting Structure

    Science.gov (United States)

    Anderson, Gene R.; Armendariz, Marcelino G.; Baca, Johnny R.F.; Bryan, Robert P.; Carson, Richard F.; Duckett, III, Edwin B.; McCormick, Frederick B.; Miller, Gregory V.; Peterson, David W.; Smith, Terrance T.

    2005-03-08

    This invention relates to an optical transmitter, receiver or transceiver module, and more particularly, to an apparatus for connecting a first optical connector to a second optical connector. The apparatus comprises: (1) a housing having at least a first end and at least a second end, the first end of the housing capable of receiving the first optical connector, and the second end of the housing capable of receiving the second optical connector; (2) a longitudinal cavity extending from the first end of the housing to the second end of the housing; and (3) an electromagnetic shield comprising at least a portion of the housing. This invention also relates to an apparatus for housing a flexible printed circuit board, and this apparatus comprises: (1) a mounting structure having at least a first surface and a second surface; (2) alignment ridges along the first and second surfaces of the mounting structure, the alignment ridges functioning to align and secure a flexible printed circuit board that is wrapped around and attached to the first and second surfaces of the mounting structure; and (3) a series of heat sink ridges adapted to the mounting structure, the heat sink ridges functioning to dissipate heat that is generated from the flexible printed circuit board.

  1. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  2. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  3. High efficiency double sided solar cells

    International Nuclear Information System (INIS)

    Seddik, M.M.

    1990-06-01

    Silicon technology state of the art for single crystalline was given to be limited to less than 20% efficiency. A proposed new form of photovoltaic solar cell of high current high efficiency with double sided structures has been given. The new forms could be n ++ pn ++ or p ++ np ++ double side junctions. The idea of double sided devices could be understood as two solar cells connected back-to-back in parallel electrical connection, in which the current is doubled if the cell is illuminated from both sides by a V-shaped reflector. The cell is mounted to the reflector such that each face is inclined at an angle of 45 deg. C to each side of the reflector. The advantages of the new structure are: a) High power devices. b) Easy to fabricate. c) The cells are used vertically instead of horizontal use of regular solar cell which require large area to install. This is very important in power stations and especially for satellite installation. If the proposal is made real and proved to be experimentally feasible, it would be a new era for photovoltaic solar cells since the proposal has already been extended to even higher currents. The suggested structures could be stated as: n ++ pn ++ Vp ++ np ++ ;n ++ pn ++ Vn ++ pn ++ ORp ++ np ++ Vp ++ np ++ . These types of structures are formed in wedged shape to employ indirect illumination by either parabolic; conic or V-shaped reflectors. The advantages of these new forms are low cost; high power; less in size and space; self concentrating; ... etc. These proposals if it happens to find their ways to be achieved experimentally, I think they will offer a short path to commercial market and would have an incredible impact on solar cell technology and applications. (author). 12 refs, 5 figs

  4. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  5. TiO2 effect on break-down of low-grade tantalum-niobium concentrates in the process of sulphatization

    International Nuclear Information System (INIS)

    Petrova, N.V.; Popov, A.D.; Mulenko, V.N.

    1982-01-01

    The effect of TiO 2 additive or materials containing it on tantalum-niobate decomposition in the process of sulphatization is investigated. It is shown that favourable effect of titanium dioxide in the process of sulphatization is especially noticeable in reprocessing of hard-brokening down tantalum-niobium concentrates with low Nb:Ta ratio etc. Chemical composition and type of tantalum-niobium mineralization of enrichment products used in the given investigation is presented. It is stated that the degree of concentrate break-down under similar sulphatization conditions (t=230 deg C; tau=2 h) essentially depends on the quantity of the introduced titanium dioxide. It is shown that sulphatization in the presence of titanium dioxide additive or materials containing it permits to exercise practically complete break-down of lean tantalum-niobium raw material, to avoid application of complexers in leaching of sulphatization products

  6. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  7. Epitaxial growth of Cu on Cu(001): Experiments and simulations

    International Nuclear Information System (INIS)

    Furman, Itay; Biham, Ofer; Zuo, Jiang-Kai; Swan, Anna K.; Wendelken, John

    2000-01-01

    A quantitative comparison between experimental and Monte Carlo simulation results for the epitaxial growth of Cu/Cu(001) in the submonolayer regime is presented. The simulations take into account a complete set of hopping processes whose activation energies are derived from semiempirical calculations using the embedded-atom method. The island separation is measured as a function of the incoming flux and the temperature. A good quantitative agreement between the experiment and simulation is found for the island separation, the activation energies for the dominant processes, and the exponents that characterize the growth. The simulation results are then analyzed at lower coverages, which are not accessible experimentally, providing good agreement with theoretical predictions as well

  8. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  9. Sodium levels in Canadian fast-food and sit-down restaurants.

    Science.gov (United States)

    Scourboutakos, Mary J; L'Abbé, Mary R

    2013-01-31

    To evaluate the sodium levels in Canadian restaurant and fast-food chain menu items. Nutrition information was collected from the websites of major sit-down (n=20) and fast-food (n=65) restaurants across Canada in 2010 and a database was constructed. Four thousand and forty-four meal items, baked goods, side dishes and children's items were analyzed. Sodium levels were compared to the recommended adequate intake level (AI), tolerable upper intake level (UL) and the US National Sodium Reduction Initiative (NSRI) targets. On average, individual sit-down restaurant menu items contained 1455 mg sodium/serving (or 97% of the AI level of 1500 mg/day). Forty percent of all sit-down restaurant items exceeded the AI for sodium and more than 22% of sit-down restaurant stir fry entrées, sandwiches/wraps, ribs, and pasta entrées with meat/seafood exceeded the daily UL for sodium (2300 mg). Fast-food restaurant meal items contained, on average, 1011 mg sodium (68% of the daily AI), while side dishes (from sit-down and fast-food restaurants) contained 736 mg (49%). Children's meal items contained, on average, 790 mg/serving (66% of the sodium AI for children of 1200 mg/day); a small number of children's items exceeded the children's daily UL. On average, 52% of establishments exceeded the 2012 NSRI density targets and 69% exceeded the 2014 targets. The sodium content in Canadian restaurant foods is alarmingly high. A population-wide sodium reduction strategy needs to address the high levels of sodium in restaurant foods.

  10. Potential errors in optical density measurements due to scanning side in EBT and EBT2 Gafchromic film dosimetry.

    Science.gov (United States)

    Desroches, Joannie; Bouchard, Hugo; Lacroix, Frédéric

    2010-04-01

    The purpose of this study is to determine the effect on the measured optical density of scanning on either side of a Gafchromic EBT and EBT2 film using an Epson (Epson Canada Ltd., Toronto, Ontario) 10000XL flat bed scanner. Calibration curves were constructed using EBT2 film scanned in landscape orientation in both reflection and transmission mode on an Epson 10000XL scanner. Calibration curves were also constructed using EBT film. Potential errors due to an optical density difference from scanning the film on either side ("face up" or "face down") were simulated. Scanning the film face up or face down on the scanner bed while keeping the film angular orientation constant affects the measured optical density when scanning in reflection mode. In contrast, no statistically significant effect was seen when scanning in transmission mode. This effect can significantly affect relative and absolute dose measurements. As an application example, the authors demonstrate potential errors of 17.8% by inverting the film scanning side on the gamma index for 3%-3 mm criteria on a head and neck intensity modulated radiotherapy plan, and errors in absolute dose measurements ranging from 10% to 35% between 2 and 5 Gy. Process consistency is the key to obtaining accurate and precise results in Gafchromic film dosimetry. When scanning in reflection mode, care must be taken to place the film consistently on the same side on the scanner bed.

  11. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  12. PECULIARITIES OF ASSIGNMENT OF ROLLING BEARING MOUNTING AND PARAMETERS OF GEOMETRIC ACCURACY OF MOUNTING SURFACES OF SHAFTS AND FRAMES

    Directory of Open Access Journals (Sweden)

    Adamenko Yu. І.

    2017-04-01

    Full Text Available The standards and methods concerning assignment of rolling bearing fit with shafts and frames via example of bearing 6-208 are analyzed. We set certain differences of recommendations according to GOST 3325-85, "Rolling bearings. Tolerance zones and technical requirements to mounting surfaces of shafts and frames. Attachment" and by reference of rolling bearing manufacturers. The following factors should be taken into consideration when assigning the mounting with the tension the internal ring of the bearing with shaft and mounting with a gap in the outer ring with a housing bore. The methods of achieving accuracy of mounting surfaces of shafts and frames via form tolerance assignment: roundness tolerance, profile of longitudinal cut, cross section, cylindricity and others. It is possible to limit the bearing rings in different ways, for example appointing the cylindrical mounting surfaces and bead end surfaces the appropriate tolerances, namely: coaxiality tolerance or full radial beat of mounting surfaces, and also perpendicularity tolerance, butt beats and full butt beats of mounting end surfaces. We suggest to expand methods of achieving the accuracy of shafts and frames depending on seriation of production and production operations metrology support.

  13. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-12-15

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al{sub 2}O{sub 3} and HfO{sub 2}. However, there has been much effort to deposit ternary oxides, such as perovskites (ABO{sub 3}), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable.

  14. Atomic layer deposition of perovskite oxides and their epitaxial integration with Si, Ge, and other semiconductors

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Hu, Shen; Ekerdt, John G.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    Atomic layer deposition (ALD) is a proven technique for the conformal deposition of oxide thin films with nanoscale thickness control. Most successful industrial applications have been with binary oxides, such as Al 2 O 3 and HfO 2 . However, there has been much effort to deposit ternary oxides, such as perovskites (ABO 3 ), with desirable properties for advanced thin film applications. Distinct challenges are presented by the deposition of multi-component oxides using ALD. This review is intended to highlight the research of the many groups that have deposited perovskite oxides by ALD methods. Several commonalities between the studies are discussed. Special emphasis is put on precursor selection, deposition temperatures, and specific property performance (high-k, ferroelectric, ferromagnetic, etc.). Finally, the monolithic integration of perovskite oxides with semiconductors by ALD is reviewed. High-quality epitaxial growth of oxide thin films has traditionally been limited to physical vapor deposition techniques (e.g., molecular beam epitaxy). However, recent studies have demonstrated that epitaxial oxide thin films may be deposited on semiconductor substrates using ALD. This presents an exciting opportunity to integrate functional perovskite oxides for advanced semiconductor applications in a process that is economical and scalable

  15. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  16. Optimal Multi-scale Demand-side Management for Continuous Power-Intensive Processes

    Science.gov (United States)

    Mitra, Sumit

    With the advent of deregulation in electricity markets and an increasing share of intermittent power generation sources, the profitability of industrial consumers that operate power-intensive processes has become directly linked to the variability in energy prices. Thus, for industrial consumers that are able to adjust to the fluctuations, time-sensitive electricity prices (as part of so-called Demand-Side Management (DSM) in the smart grid) offer potential economical incentives. In this thesis, we introduce optimization models and decomposition strategies for the multi-scale Demand-Side Management of continuous power-intensive processes. On an operational level, we derive a mode formulation for scheduling under time-sensitive electricity prices. The formulation is applied to air separation plants and cement plants to minimize the operating cost. We also describe how a mode formulation can be used for industrial combined heat and power plants that are co-located at integrated chemical sites to increase operating profit by adjusting their steam and electricity production according to their inherent flexibility. Furthermore, a robust optimization formulation is developed to address the uncertainty in electricity prices by accounting for correlations and multiple ranges in the realization of the random variables. On a strategic level, we introduce a multi-scale model that provides an understanding of the value of flexibility of the current plant configuration and the value of additional flexibility in terms of retrofits for Demand-Side Management under product demand uncertainty. The integration of multiple time scales leads to large-scale two-stage stochastic programming problems, for which we need to apply decomposition strategies in order to obtain a good solution within a reasonable amount of time. Hence, we describe two decomposition schemes that can be applied to solve two-stage stochastic programming problems: First, a hybrid bi-level decomposition scheme with

  17. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  18. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  19. Siting study for small platform-mounted industrial energy reactors

    International Nuclear Information System (INIS)

    1975-07-01

    Utilizing an existing 313 MW(t) ship propulsion reactor design, a concept has been formulated for a floating platform-mounted nuclear plant and an evaluation has been made to determine reductions in construction time and cost achievable by repetitive platform construction in a shipyard. Concepts and estimates are presented for siting platform-mounted nuclear plants at the location of industrial facilities where the nuclear plants would furnish industrial process heat and/or electrical power. The representative industrial site designated for this study is considered typical of sites that might be used along the extensive network of navigable canals adjacent to the ocean and is similar to potential sites along the inland waterways of the United States

  20. Mounting support for a photovoltaic module

    Science.gov (United States)

    Brandt, Gregory Michael; Barsun, Stephan K.; Coleman, Nathaniel T.; Zhou, Yin

    2013-03-26

    A mounting support for a photovoltaic module is described. The mounting support includes a foundation having an integrated wire-way ledge portion. A photovoltaic module support mechanism is coupled with the foundation.

  1. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  2. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  3. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  4. Geologic Map of Mount Mazama and Crater Lake Caldera, Oregon

    Science.gov (United States)

    Bacon, Charles R.

    2008-01-01

    Crater Lake partly fills one of the most spectacular calderas of the world, an 8-by-10-km basin more than 1 km deep formed by collapse of the volcano known as Mount Mazama (fig. 1) during a rapid series of explosive eruptions about 7,700 years ago. Having a maximum depth of 594 m, Crater Lake is the deepest lake in the United States. Crater Lake National Park, dedicated in 1902, encompasses 645 km2 of pristine forested and alpine terrain, including the lake itself, virtually all of Mount Mazama, and most of the area of the geologic map. The geology of the area was first described in detail by Diller and Patton (1902) and later by Williams (1942), whose vivid account led to international recognition of Crater Lake as the classic collapse caldera. Because of excellent preservation and access, Mount Mazama, Crater Lake caldera, and the deposits formed by the climactic eruption constitute a natural laboratory for study of volcanic and magmatic processes. For example, the climactic ejecta are renowned among volcanologists as evidence for systematic compositional zonation within a subterranean magma chamber. Mount Mazama's climactic eruption also is important as the source of the widespread Mazama ash, a useful Holocene stratigraphic marker throughout the Pacific Northwest, adjacent Canada, and offshore. A detailed bathymetric survey of the floor of Crater Lake in 2000 (Bacon and others, 2002) provides a unique record of postcaldera eruptions, the interplay between volcanism and filling of the lake, and sediment transport within this closed basin. Knowledge of the geology and eruptive history of the Mount Mazama edifice, greatly enhanced by the caldera wall exposures, gives exceptional insight into how large volcanoes of magmatic arcs grow and evolve. Lastly, the many smaller volcanoes of the High Cascades beyond the limits of Mount Mazama are a source of information on the flux of mantle-derived magma through the region. General principles of magmatic and eruptive

  5. Mechanical exfoliation of epitaxial graphene on Ir(111) enabled by Br2 intercalation.

    Science.gov (United States)

    Herbig, Charlotte; Kaiser, Markus; Bendiab, Nedjma; Schumacher, Stefan; Förster, Daniel F; Coraux, Johann; Meerholz, Klaus; Michely, Thomas; Busse, Carsten

    2012-08-08

    We show here that Br(2) intercalation is an efficient method to enable exfoliation of epitaxial graphene on metals by adhesive tape. We exemplify this method for high-quality graphene of macroscopic extension on Ir(111). The sample quality and the transfer process are monitored using low-energy electron diffraction (LEED), scanning tunneling microscopy (STM), scanning electron microscopy (SEM) and Raman spectroscopy. The developed process provides an opportunity for preparing graphene of strictly monatomic thickness and well-defined orientation including the transfer to poly(ethylene terephthalate) (PET) foil.

  6. Simulation study of the initial crystallization processes of poly(3-hexylthiophene) in solution: ordering dynamics of main chains and side chains.

    Science.gov (United States)

    Takizawa, Yuumi; Shimomura, Takeshi; Miura, Toshiaki

    2013-05-23

    We study the initial nucleation dynamics of poly(3-hexylthiophene) (P3HT) in solution, focusing on the relationship between the ordering process of main chains and that of side chains. We carried out Langevin dynamics simulation and found that the initial nucleation processes consist of three steps: the ordering of ring orientation, the ordering of main-chain vectors, and the ordering of side chains. At the start, the normal vectors of thiophene rings aligned in a very short time, followed by alignment of main-chain end-to-end vectors. The flexible side-chain ordering took almost 5 times longer than the rigid-main-chain ordering. The simulation results indicated that the ordering of side chains was induced after the formation of the regular stack structure of main chains. This slow ordering dynamics of flexible side chains is one of the factors that cause anisotropic nuclei growth, which would be closely related to the formation of nanofiber structures without external flow field. Our simulation results revealed how the combined structure of the planar and rigid-main-chain backbones and the sparse flexible side chains lead to specific ordering behaviors that are not observed in ordinary linear polymer crystallization processes.

  7. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  8. Systems and methods for mirror mounting with minimized distortion

    Science.gov (United States)

    Antonille, Scott R. (Inventor); Wallace, Thomas E. (Inventor); Content, David A. (Inventor); Wake, Shane W. (Inventor)

    2012-01-01

    A method for mounting a mirror for use in a telescope includes attaching the mirror to a plurality of adjustable mounts; determining a distortion in the mirror caused by the plurality adjustable mounts, and, if the distortion is determined to be above a predetermined level: adjusting one or more of the adjustable mounts; and determining the distortion in the mirror caused by the adjustable mounts; and in the event the determined distortion is determined to be at or below the predetermined level, rigidizing the adjustable mounts.

  9. The December 2015 Mount Etna eruption: An analysis of inflation/deflation phases and faulting processes

    Science.gov (United States)

    Aloisi, Marco; Jin, Shuanggen; Pulvirenti, Fabio; Scaltrito, Antonio

    2017-06-01

    During the first days of December 2015, there were four paroxysmal events at the ;Voragine; crater on Mount Etna, which were among the most violent observed during the last two decades. A few days after the ;Voragine; paroxysms, the Pernicana - Provenzana fault system, located near the crater area, underwent an intense seismic swarm with a maximum ;local; magnitude ML of 3.6. This paper investigates the relationship between the eruptive phenomenon and the faulting process in terms of Coulomb stress changes. The recorded seismicity is compatible with a multicausal stress redistribution inside the volcano edifice, occurring after the four paroxysmal episodes that interrupted the usual trend of inflation observed at Mt. Etna. The recorded seismicity falls within the framework of a complex chain of various and intercorrelated processes that started with the inflation preparing the ;Voragine; magmatic activity. This was followed with the rapid deflation of the volcano edifice during the paroxysmal episodes. We determined that the recorded deflation was not the direct cause of the seismic swarm. In fact, the associated Coulomb stress change, in the area of seismic swarm, was of about -1 [bar]. Instead, the fast deflation caused the rarely observed inversion of dislocation in the eastern flank at the same time as intense hydrothermal activity that, consequently, underwent an alteration. This process probably reduced the friction along the fault system. Then, the new phase of inflation, observed at the end of the magmatic activity, triggered the faulting processes.

  10. Steam generator secondary side chemical cleaning at Gentilly-2

    International Nuclear Information System (INIS)

    Plante, S.

    2006-01-01

    After more than 20 years of operation, the secondary side of the four steam generators at Gentilly-2 were chemically cleaned during the 2005 annual outage. The FRAMATOME ANP high temperature cleaning process used to remove magnetite loading involved stepwise injection of solvent with PHT temperature in the range 160 o C to 175 o C. The heat required to maintain the PHT temperature was provided by the operation of the main PHT pumps and the reactor core residual heat. The temperature control was accomplished by the shutdown cooling system heat exchangers. A total of 1280 kg of magnetite was removed from the four steam generators. A copper-cleaning step was applied after the iron step. The PHT has been cooled down and the steam generators drained to temporary tanks and dried in preparation of the copper step. The process has been applied at room temperature, two boilers at a time. The solvent removed a total of 116 kg of copper. During the iron step, steam flow to the feedwater tank chemically contaminate the Balance Of Plant (BOP) systems. The isolation of this path should have been part of the G2 procedures. Around 700 m3 of water had to be drained to interim storage tanks for subsequent resin treatment before disposal. Visual inspection of BO1 tubesheet and first support plate showed clean surfaces without measurable sludge pile. Upper support plates visual inspection of BO4 revealed that broach holes blockage reported in 2000 is still present in peripheral area. Following the plant restart, the medium range level measurement instability observed since several years for BO3 was no more present. As anticipated, it also has been observed that the medium and wide range level measurements have shifted down as a result of downcomer flow increase after the cleaning. The cleaning objectives were achieved regarding the fouling reduction on the steam generators secondary side but broach holes blockage of the upper support plate is still present in periphery. (author)

  11. Magnetization switching behavior with competing anisotropies in epitaxial Co3FeN /MnN exchange-coupled bilayers

    Science.gov (United States)

    Hajiri, T.; Yoshida, T.; Jaiswal, S.; Filianina, M.; Borie, B.; Ando, H.; Asano, H.; Zabel, H.; Kläui, M.

    2016-11-01

    We report unusual magnetization switching processes and angular-dependent exchange bias effects in fully epitaxial Co3FeN /MnN bilayers, where magnetocrystalline anisotropy and exchange coupling compete, probed by longitudinal and transverse magneto-optic Kerr effect (MOKE) magnetometry. The MOKE loops show multistep jumps corresponding to the nucleation and propagation of 90∘ domain walls in as-grown bilayers. By inducing exchange coupling, we confirm changes of the magnetization switching process due to the unidirectional anisotropy field of the exchange coupling. Taking into account the experimentally obtained values of the fourfold magnetocrystalline anisotropy, the unidirectional anisotropy field, the exchange-coupling constant, and the uniaxial anisotropy including its direction, the calculated angular-dependent exchange bias reproduces the experimental results. These results demonstrate the essential role of the competition between magnetocrystalline anisotropy and exchange coupling for understanding and tailoring exchange-coupling phenomena usable for engineering switching in fully epitaxial bilayers made of tailored materials.

  12. Metamorphic distributed Bragg reflectors for the 1440–1600 nm spectral range: Epitaxy, formation, and regrowth of mesa structures

    International Nuclear Information System (INIS)

    Egorov, A. Yu.; Karachinsky, L. Ya.; Novikov, I. I.; Babichev, A. V.; Berezovskaya, T. N.; Nevedomskiy, V. N.

    2015-01-01

    It is shown that metamorphic In 0.3 Ga 0.7 As/In 0.3 Al 0.7 As distributed Bragg reflectors (DBRs) with a reflection band at 1440–1600 nm and a reflectance of no less than 0.999 can be fabricated by molecular beam epitaxy (MBE) on a GaAs substrate. It is demonstrated that mesa structures formed from metamorphic DBRs on a GaAs substrate can be regrown by MBE and microcavities can be locally formed in two separate epitaxial processes. The results obtained can find wide application in the fabrication of vertical-cavity surface-emitting lasers (VCSELs) with a buried tunnel junction

  13. Obtaining of bilateral high voltage epitaxial p—i—n Si structures by LPE method

    Directory of Open Access Journals (Sweden)

    Vakiv N. M.

    2013-12-01

    Full Text Available Silicon p—i—n-structures are usually obtained using conventional diffusion method or liquid phase epitaxy (LPE. In both cases, the formation of p- and n-layers occurs in two stages. This technological approach is quite complex. Moreover, when forming bilateral high-voltage epitaxial layers, their parameters significantly deteriorate as a result of prolonged heat treatment of active high-resistivity layer. Besides, when using diffusion method, it is impossible to provide good reproducibility of the process. In this paper a technique of growing bilateral high-voltage silicon p—i—n-structures by LPE in a single process is proposed. The authors have obtained the optimum compounds of silicon-undersaturated molten solutions for highly doped (5•1018 cm–3 contact layers: 0.4—0.8 at. % aluminum in gallium melt for growing p-Si-layers and 0.03—0.15 at. % ytterbium in tin melt for n-Si-layers. Parameters of such structures provide for manufacturing of high-voltage diodes on their basis. Such diodes can be used in navigational equipment, communication systems for household and special purposes, on-board power supply systems, radar systems, medical equipment, etc.

  14. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  15. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  16. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  17. Introducing Electronic Textbooks as Daily-Use Technology in Schools: A Top-Down Adoption Process

    Science.gov (United States)

    Chiu, Thomas K. F.

    2017-01-01

    This study took frequency of use and the adoption process into account to define the participants and external variables of the research model. School electronic textbooks are a daily-use technology and they are adopted in a compulsory, top-down way. Their introduction can evoke feelings of anxiety among teachers because of a possible increase in…

  18. Technical preparation of the Yuzhteploehnergomontazh trust for technological equipment mounting

    International Nuclear Information System (INIS)

    Zayats, A.I.

    1982-01-01

    Measures of technical preparation for equipment mounting at the Zaporozhe NPP developed with the Yuzhteploehnergomontazh trust experts are considered. These measures envisage the construction of mounting base of heat facilities, calculation of labour contents and determination of necessary quantity of mounters, development of optimal flowsheet of mounting control, improvement of mounting qualification and creation of stable collective body, improvement of technical level of mounting and welding works, organizational-technical measures on mounting logistics. Factors affecting negatively technical preparation quality of equipment mounting at the Zaporozhe NPP are discussed. The flowsheet of mounting control is presented

  19. Amphibole trace elements as indicators of magmatic processes at Mount St. Helens

    Science.gov (United States)

    Hampel, T. R.; Rowe, M. C.; Kent, A.; Thornber, C. R.

    2011-12-01

    Amphibole has the capability of incorporating a wide variety of trace elements resulting from a range of magmatic processes. Prior studies have used trace elements such as Li and Cu in amphibole to investigate volatile mobility associated with magma ascent regarding the 2004-2008 eruption of Mount St. Helens (Rowe et al. 2008). In order to investigate magmatic processes associated with the 2004-2008 eruption of Mount St. Helens we have measured a range of fluid-mobile trace elements in conjunction with major element compositions of amphibole phenocrysts in dacite lava. Major elements and volatiles (Cl, F) were measured by electron microprobe analysis at Washington State University and trace elements (Li, Sc, Co, Cu, Zn, Sr, Y, Zr, Mo, Ag, Sn, Sb, Te, Ba, Ce, W, and Pb) were analyzed by laser ablation (LA)-ICP-MS at Oregon State University. Amphibole crystallization temperatures were calculated after Ridolfi et al. (2010). Core to rim transects were measured by electron microprobe to evaluate volatile concentrations and temperature profiles across individual phenocrysts. Core temperatures from 17 days and 226 days post eruption are consistently hotter than the rim temperatures 997 to 881 degrees C, respectively. Amphiboles from the end of the eruption (811 days post eruption) appear to be more complex, with phenocrysts having both increasing and decreasing temperatures toward the rims. The overall calculated temperature range of the amphiboles at the end of the eruption is 1022 to 919 degrees C. There is much diversity in the concentrations of Li and Cu within the phenocrysts in both the samples and throughout the eruption. Concentrations steadily increase in the beginning of the eruption then drop dramatically toward the middle, slowly increase toward the end eruption. Overall concentrations of Sr, Sb, Co, Sn, Mo, Ba, Ce, Sc, and Y do not change over the course of the eruption but do vary sample to sample. Preliminary data for Zn, Sb, Ag, and W suggest the

  20. Measuring Down: Evaluating Digital Storytelling as a Process for Narrative Health Promotion.

    Science.gov (United States)

    Gubrium, Aline C; Fiddian-Green, Alice; Lowe, Sarah; DiFulvio, Gloria; Del Toro-Mejías, Lizbeth

    2016-05-15

    Digital storytelling (DST) engages participants in a group-based process to create and share narrative accounts of life events. We present key evaluation findings of a 2-year, mixed-methods study that focused on effects of participating in the DST process on young Puerto Rican Latina's self-esteem, social support, empowerment, and sexual attitudes and behaviors. Quantitative results did not show significant changes in the expected outcomes. However, in our qualitative findings we identified several ways in which the DST made positive, health-bearing effects. We argue for the importance of "measuring down" to reflect the locally grounded, felt experiences of participants who engage in the process, as current quantitative scales do not "measure up" to accurately capture these effects. We end by suggesting the need to develop mixed-methods, culturally relevant, and sensitive evaluation tools that prioritize process effects as they inform intervention and health promotion. © The Author(s) 2016.

  1. Properties of ion implanted epitaxial CoSi2/Si(1 0 0) after rapid thermal oxidation

    International Nuclear Information System (INIS)

    Zhao, Q.T.; Kluth, P.; Xu, J.; Kappius, L.; Zastrow, U.; Wang, Z.L.; Mantl, S.

    2000-01-01

    Epitaxial CoSi 2 layers were grown on Si(1 0 0) using molecular beam allotaxy. Boron ion implantations and rapid thermal oxidation (RTO) were performed. During oxidation, SiO 2 formed on the surface of the CoSi 2 layers, and the silicides was pushed into the substrate. The diffusion of boron was slightly retarded during oxidation for the specimen with a 20 nm epitaxial CoSi 2 capping layer as compared to the specimen without CoSi 2 capping layer. The electrical measurements showed that the silicide has good Schottky contacts with the boron doped silicon layer after RTO. A nanometer silicide patterning process, based on local oxidation of silicide (LOCOSI) layer, was also investigated. It shows two back-to-back Schottky diodes between the two separated parts of the silicide

  2. 45° sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers

    Science.gov (United States)

    Hajiri, T.; Yoshida, T.; Filianina, M.; Jaiswal, S.; Borie, B.; Asano, H.; Zabel, H.; Kläui, M.

    2018-01-01

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45° period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co3FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies.

  3. Combat vehicle crew helmet-mounted display: next generation high-resolution head-mounted display

    Science.gov (United States)

    Nelson, Scott A.

    1994-06-01

    The Combat Vehicle Crew Head-Mounted Display (CVC HMD) program is an ARPA-funded, US Army Natick Research, Development, and Engineering Center monitored effort to develop a high resolution, flat panel HMD for the M1 A2 Abrams main battle tank. CVC HMD is part of the ARPA High Definition Systems (HDS) thrust to develop and integrate small (24 micrometers square pels), high resolution (1280 X 1024 X 6-bit grey scale at 60 frame/sec) active matrix electroluminescent (AMEL) and active matrix liquid crystal displays (AMLCD) for head mounted and projection applications. The Honeywell designed CVC HMD is a next generation head-mounted display system that includes advanced flat panel image sources, advanced digital display driver electronics, high speed (> 1 Gbps) digital interconnect electronics, and light weight, high performance optical and mechanical designs. The resulting dramatic improvements in size, weight, power, and cost have already led to program spin offs for both military and commercial applications.

  4. Structural properties of relaxed thin film germanium layers grown by low temperature RF-PECVD epitaxy on Si and Ge (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)

    2014-07-15

    We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.

  5. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  6. Virtual sine arm kinematic mount system

    International Nuclear Information System (INIS)

    Xu, Z.; Randall, K.J.

    1997-01-01

    A novel kinematic mount system for a vertical focusing mirror of the soft x-ray spectroscopy beamline at the Advanced Photon Source is described. The system contains three points in a horizontal plane. Each point consists of two horizontal linear precision stages, a spherical ball bearing, and a vertical precision stage. The horizontal linear stages are aligned orthogonally and are conjoined by a spherical ball bearing, supported by the vertical linear stage at each point. The position of each confined horizontal stage is controlled by a motorized micrometer head by spring-loading the flat tip of the micrometer head onto a tooling ball fixing on the carriage of the stage. A virtual sine arm is formed by tilting the upstream horizontal stage down and the two downstream horizontal stages up by a small angle. The fine pitch motion is achieved by adjusting the upstream stage. This supporting structure is extremely steady due to a relatively large span across the supporting points and yields extremely high resolution on the pitch motion. With a one degree tilt and a microstepping motor, the authors achieved a 0.4 nanoradian resolution on the mirror pitch motion

  7. Correlations of Sensory Processing and Visual Organization Ability with Participation in School-Aged Children with Down Syndrome

    Science.gov (United States)

    Wuang, Yee-Pay; Su, Chwen-Yng

    2011-01-01

    Previous work has highlighted delays and differences in cognitive, language, and sensorimotor functions in children diagnosed with Down syndrome (DS). However, sensory processing and visual organization abilities have not been well-examined in DS to date. This study aimed to investigate the developmental profile of sensory processing and visual…

  8. Modular Systems Of Fixation And Position Jigs In The Production Process For Products And Systems

    Science.gov (United States)

    Babík, Ondrej; Šajgalík, Michal; Zaušková, Lucia; Czán, Andrej

    2015-12-01

    The project deals with design and implementation of adjustment onto mounting tables in the automotive industry. Manual control system of the jigs is replaced by a pneumatic system. Advantages of the pneumatic system are eliminating service side-time, improving work flow and increasing safety and ergonomics at work. Thanks to higher effectivity of the work process, manpower can be used in other work positions.

  9. Development of a Photoelectrochemical Etch Process to Enable Heterogeneous Substrate Integration of Epitaxial III-Nitride Semiconductors

    Science.gov (United States)

    2017-12-01

    release stack. Recently, this technique has been refined with band engineering within the release layer7 and extended to the point where it has been...liftoff. Mesas with a 200-μm diameter are lithographically defined and etched down to a depth of approximately 450 nm using a plasma etching chemistry ...etch chemistry , bonding, and other materials processing vary, but the setup created for this project can be applied to others as well. Approved

  10. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  11. Client-Side Event Processing for Personalized Web Advertisement

    Science.gov (United States)

    Stühmer, Roland; Anicic, Darko; Sen, Sinan; Ma, Jun; Schmidt, Kay-Uwe; Stojanovic, Nenad

    The market for Web advertisement is continuously growing and correspondingly, the number of approaches that can be used for realizing Web advertisement are increasing. However, current approaches fail to generate very personalized ads for a current Web user that is visiting a particular Web content. They mainly try to develop a profile based on the content of that Web page or on a long-term user's profile, by not taking into account current user's preferences. We argue that by discovering a user's interest from his current Web behavior we can support the process of ad generation, especially the relevance of an ad for the user. In this paper we present the conceptual architecture and implementation of such an approach. The approach is based on the extraction of simple events from the user interaction with a Web page and their combination in order to discover the user's interests. We use semantic technologies in order to build such an interpretation out of many simple events. We present results from preliminary evaluation studies. The main contribution of the paper is a very efficient, semantic-based client-side architecture for generating and combining Web events. The architecture ensures the agility of the whole advertisement system, by complexly processing events on the client. In general, this work contributes to the realization of new, event-driven applications for the (Semantic) Web.

  12. The Effects of Degradational Factors on the Ecosystem of Mount Madra

    Science.gov (United States)

    Efe, R.; Soykan, A.; Sönmez, S.; Cürebal, I.

    2009-04-01

    environment of Kozak Plataeu, and in addition to this, quarrying activity has recently begun in the area around Burhaniye. All these activities have led to problems such as erosion, decreased biodiversity, and pollution of water sources on Mount Madra. The forest clearances which have been made, for various reasons, on the northern and southern slopes of Mount Madra, have caused the topsoil to be worn away by surface water. The most striking examples of this can be seen on Mount Şabla (1111m) and on the southern slopes of Maya peak (1344m). The trimming recently carried out by the Forestry Commission on sections of Mount Madra has badly damaged the forest's vegetation and in a short space of time caused irreversible harm to the ecosystem of the mountain. For thousands of years, parts of the top of Mount Madra and the Kozak plateau have been used as summer grounds and, as a result, the forest has been cleared from a wide section. On the north-facing slopes of Mount Madra, the number of chestnut trees (Castanea sativa) found within pine woods is increasing daily. The pine trees around the chestnuts are being chopped down in order to increase the number of chestnut trees, whose fruit are harvested for the economic benefit they bring. The pine forests are, for this reason, in constant decline. Forest roads, both planned and unplanned, have led to further destruction of forest vegetation. Apart from the forest vegetation of the Mountain, other natural resources are under threat; particularly water sources. Facilities for fish farming have been built with no pre-planning or research, leading to the clearance of forest and pollution of the environment. Mount Madra is an important water source for the rivers in the surrounding areas. It is the source of the Madra and Karınca Rivers which flow into the Aegean Sea, the Kocaçay River which feeds Manyas Lake and several tributaries of the Bakırçay River. The protection of Mount Madra and its freshwater sources and biodiversity is

  13. Exploring the Process of Conveying Information about Side Effects: A Qualitative Study among Pharmacists

    Directory of Open Access Journals (Sweden)

    Therése Kairuz

    2013-12-01

    Full Text Available This study explored how a sample of Australian pharmacists would convey information about the side effects of a medicine, if they were to counsel a patient. A qualitative method was selected and written responses to a case-based scenario were analysed using inductive thematic analysis. The grounded theory approach elicited a fluid and dynamic model for side effect counselling. The study identified strategies for counselling, such as encouraging adherence through emphasising the benefits of the medication, referral to the prescriber, and providing empathy and reassurance to ease anxiety and address concerns. Pharmacists acknowledged the potential for risk, although only a minority used numerical descriptors. The final themes or outcomes were that pharmacists aim to allay fears, minimise harm and promote medication use when counselling about side effects. Professional empathy, the acknowledgment of patient concerns, and the importance of providing tailored information to promote medication adherence, emerged as features of the quality use of medicines. This study contributes to existing literature by identifying the role of allaying patients’ fears when conveying side effect information. It also describes a process to convey tailored information. Implications for practice include the importance of effective use of communication strategies to encourage adherence, as the appropriate use of medication can lead to positive health outcomes.

  14. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  15. Spin transport in epitaxial graphene

    Science.gov (United States)

    Tbd, -

    2014-03-01

    Spintronics is a paradigm focusing on spin as the information vector in fast and ultra-low-power non volatile devices such as the new STT-MRAM. Beyond its widely distributed application in data storage it aims at providing more complex architectures and a powerful beyond CMOS solution for information processing. The recent discovery of graphene has opened novel exciting opportunities in terms of functionalities and performances for spintronics devices. We will present experimental results allowing us to assess the potential of graphene for spintronics. We will show that unprecedented highly efficient spin information transport can occur in epitaxial graphene leading to large spin signals and macroscopic spin diffusion lengths (~ 100 microns), a key enabler for the advent of envisioned beyond-CMOS spin-based logic architectures. We will also show that how the device behavior is well explained within the framework of the Valet-Fert drift-diffusion equations. Furthermore, we will show that a thin graphene passivation layer can prevent the oxidation of a ferromagnet, enabling its use in novel humide/ambient low-cost processes for spintronics devices, while keeping its highly surface sensitive spin current polarizer/analyzer behavior and adding new enhanced spin filtering property. These different experiments unveil promising uses of graphene for spintronics.

  16. Intrinsic spin polarized electronic structure of CrO2 epitaxial film revealed by bulk-sensitive spin-resolved photoemission spectroscopy

    International Nuclear Information System (INIS)

    Fujiwara, Hirokazu; Sunagawa, Masanori; Kittaka, Tomoko; Terashima, Kensei; Wakita, Takanori; Muraoka, Yuji; Yokoya, Takayoshi

    2015-01-01

    We have performed bulk-sensitive spin-resolved photoemission spectroscopy in order to clarify the intrinsic spin-resolved electronic states of half-metallic ferromagnet CrO 2 . We used CrO 2 epitaxial films on TiO 2 (100), which shows a peak at 1 eV with a clear Fermi edge, consistent with the bulk-sensitive PES spectrum for CrO 2 . In spin-resolved spectra at 40 K, while the Fermi edge was observed in the spin up (majority spin) state, no states at the Fermi level (E F ) with an energy gap of 0.5 eV below E F were observed in the spin down (minority spin) state. At 300 K, the gap in the spin down state closes. These results are consistent with resistivity measurements and magnetic hysteresis curves of the fabricated CrO 2 film, constituting spectroscopic evidence for the half-metallicity of CrO 2 at low temperature and reducing the spin polarization at room temperature. We also discuss the electron correlation effects of Cr 3d

  17. Apparatus for servicing a jet pump hold down beam in a nuclear reactor

    International Nuclear Information System (INIS)

    Howell, D.A.; Hydeman, J.E.; Slater, J.L.; Bodnar, R.J.; Golick, L.R.; Sckera, R.S.; Roth, C.H. Jr.

    1991-01-01

    This patent describes an apparatus for replacing the hold down beam of a fluid circulating jet pump mounted in a nuclear reactor, the hold down beam having a beam body, a pair of opposed beam tabs and a pair of opposed beam positioning trunnions extending outwardly from the beam body. It comprises a housing having a lower surface configured to be positionable over the body of the hold down beam; means coupled to the housing for engaging the beam trunnions and securing the beam body against the lower surface of the housing; means coupled to the housing for depressing the beam tabs while the beam body is secured against the lower surface of the housing; means coupled to the trunnion engaging means and the beam tab depressing means for selectively actuating the trunnion engaging means and the beam tab depressing means from a position remote from the nuclear reactor; and means connectable to the housing for selectively changing the directional orientation of the beam

  18. Process of corrosion protection for a steam generator tube and device to apply it

    International Nuclear Information System (INIS)

    Malagola, P.; Vassal, J.M.

    1985-01-01

    The steam generator tube is fixed by crimping in a tube plate; a metallic layer compatible with the tube material is electrodeposited on the inner side of the tube after its mounting in the tube plate, on both side of the plate face in contact with the water to be steamed, along a length approximately longer than the transition zone between the crimped part of the tube and the part which is not crimped. The external side of the tube can be also covered by a metallic layer before its mounting through the tube plate. The metallic layer can be nickel. The invention applies, more particularly, to PWR steam generators [fr

  19. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  20. Mapping practices of project management – merging top-down and bottom-up perspectives

    DEFF Research Database (Denmark)

    Thuesen, Christian

    2015-01-01

    This paper presents a new methodology for studying different accounts of project management practices based on network mapping and analysis. Drawing upon network mapping and visualization as an analytical strategy top-down and bottom-up accounts of project management practice are analysed...... and compared. The analysis initially reveals a substantial difference between the top-down and bottom-up accounts of practice. Furthermore it identifies a soft side of project management that is central in the bottom-up account but absent from the top-down. Finally, the study shows that network mapping...

  1. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  2. 14 CFR 33.23 - Engine mounting attachments and structure.

    Science.gov (United States)

    2010-01-01

    ... 14 Aeronautics and Space 1 2010-01-01 2010-01-01 false Engine mounting attachments and structure... mounting attachments and structure. (a) The maximum allowable limit and ultimate loads for engine mounting attachments and related engine structure must be specified. (b) The engine mounting attachments and related...

  3. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  4. 76 FR 76689 - Cibola National Forest, Mount Taylor Ranger District, NM, Mount Taylor Combined Exploratory Drilling

    Science.gov (United States)

    2011-12-08

    ... National Forest, Mount Taylor Ranger District, NM, Mount Taylor Combined Exploratory Drilling AGENCY... proposed action is to approve two Plans of Operations for exploratory uranium drilling on the Cibola... San Mateo. In total, there are up to 279 drill holes that would be drilled over a period not to exceed...

  5. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  6. Surficial Geology of Mount Rainier National Park, Washington

    Science.gov (United States)

    Crandell, Dwight Raymond

    1969-01-01

    Much of the ground surface around Mount Rainier volcano is directly underlain by loose geologic deposits that veneer the hard rock formations. Examples of these deposits are sand and gravel bars along the rivers, ridges of loose rock debris beside the glaciers, and sloping aprons of rock fragments beneath almost every cliff. Even though they are generally thin and inconspicuous when compared with the rock formations, these surficial deposits are clues to geologic events that have profoundly influenced the shape of the park's landscape. Thus, from the character and extent of glacial deposits one can judge the age and size of former glaciers that carved the cirques and deep canyons of the park; from the mudflows which streamed down nearly every valley one can infer the age and size of huge landslides of the past that helped determine Mount Rainier's present shape; and from the pumice deposits some of the volcano's recent eruptive activity can be reconstructed. The map (plate 1, in pocket) that accompanies this description of the surficial deposits of Mount Rainier National Park shows the location of the various geologic formations, and the explanation shows the formations arranged in order of their relative age, with the oldest at the bottom. The text describes the surficial deposits in sequence from older to younger. A discussion of the pumice deposits of the park, which were not mapped, is followed by a description of the formations shown on the geologic map. Inspection of the geologic map may lead the viewer to question why the surficial deposits are shown in more detail in a zone several miles wide around the base of the volcano than elsewhere. This is partly because the zone is largely near or above timberline, relatively accessible, and the surficial deposits there can be readily recognized, differentiated, and mapped. In contrast, access is more difficult in the heavily timbered parts of the park, and surficial deposits there are generally blanketed by a dense

  7. Defect formation and carrier doping in epitaxial films of the ''parent'' compound SrCuO2: Synthesis of two superconductors descendants

    International Nuclear Information System (INIS)

    Feenstra, R.; Norton, D.P.; Budai, J.D.; Jones, E.C.; Christen, D.K.; Kawai, T.

    1995-04-01

    The infinite layer or parent compounds ACuO 2 (A: Ca-Sr-Ba) constitute the simplest copper oxygen perovskites that contain the CuO 2 sheets essential for superconductivity. The stabilization of these basic ''building blocks'' as epitaxial films, therefore, provides alluring opportunities towards the search for new superconducting compounds and elucidation of the underlying mechanisms. In this work, general trends of the defect formation and carrier doping for epitaxial films of the intermediate endmember SrCuO 2 are reviewed. First results are presented from successful attempts to induce hole-doped superconductivity via the processing-controlled incorporation of charge reservoir layers

  8. Consequences of Stimulus Type on Higher-Order Processing in Single-Sided Deaf Cochlear Implant Users.

    Science.gov (United States)

    Finke, Mareike; Sandmann, Pascale; Bönitz, Hanna; Kral, Andrej; Büchner, Andreas

    2016-01-01

    Single-sided deaf subjects with a cochlear implant (CI) provide the unique opportunity to compare central auditory processing of the electrical input (CI ear) and the acoustic input (normal-hearing, NH, ear) within the same individual. In these individuals, sensory processing differs between their two ears, while cognitive abilities are the same irrespectively of the sensory input. To better understand perceptual-cognitive factors modulating speech intelligibility with a CI, this electroencephalography study examined the central-auditory processing of words, the cognitive abilities, and the speech intelligibility in 10 postlingually single-sided deaf CI users. We found lower hit rates and prolonged response times for word classification during an oddball task for the CI ear when compared with the NH ear. Also, event-related potentials reflecting sensory (N1) and higher-order processing (N2/N4) were prolonged for word classification (targets versus nontargets) with the CI ear compared with the NH ear. Our results suggest that speech processing via the CI ear and the NH ear differs both at sensory (N1) and cognitive (N2/N4) processing stages, thereby affecting the behavioral performance for speech discrimination. These results provide objective evidence for cognition to be a key factor for speech perception under adverse listening conditions, such as the degraded speech signal provided from the CI. © 2016 S. Karger AG, Basel.

  9. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  10. Multi-phase AC/AC step-down converter for distribution systems

    Science.gov (United States)

    Aeloiza, Eddy C.; Burgos, Rolando P.

    2017-10-25

    A step-down AC/AC converter for use in an electric distribution system includes at least one chopper circuit for each one of a plurality of phases of the AC power, each chopper circuit including a four-quadrant switch coupled in series between primary and secondary sides of the chopper circuit and a current-bidirectional two-quadrant switch coupled between the secondary side of the chopper circuit and a common node. Each current-bidirectional two-quadrant switch is oriented in the same direction, with respect to the secondary side of the corresponding chopper circuit and the common node. The converter further includes a control circuit configured to pulse-width-modulate control inputs of the switches, to convert a first multiphase AC voltage at the primary sides of the chopper circuits to a second multiphase AC voltage at the secondary sides of the chopper circuits, the second multiphase AC voltage being lower in voltage than the first multiphase AC voltage.

  11. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  12. Experimental-statistical model of liquid-phase epitaxy for InP/InGaAsP/InP heterostructures

    International Nuclear Information System (INIS)

    Vasil'ev, M.G.; Selin, A.A.; Shelyakin, A.A.

    1985-01-01

    A mathematic model of the process of liquid-phase epitaxy for double InP/InGaAsP/InP heterostructures is constructed using statistical methods of experiment planning. The analysis of the model shows that the degree of In-P system melt supercooling affects considerably the characteristics of double heterostructures

  13. Ferroelectricity down to at least 2 nm in multiferroic BiFeO3 epitaxial thin films

    International Nuclear Information System (INIS)

    Bea, H.; Fusil, S.; Bouzehouane, K.; Sirena, M.; Herranz, G.; Jacquet, E.; Contour, J.-P.; Barthelemy, A.; Bibes, M.

    2006-01-01

    We report here on the preservation of ferroelectricity down to 2 nm in BiFeO 3 ultrathin films. The electric polarization can be switched reversibly and is stable over several days. Our findings insight on the fundamental problem of ferroelectricity at low thickness and confirm the potential of BiFeO 3 as a lead-free ferroelectric and multiferroic material for nanoscale devices. (author)

  14. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Ferralis, Nicola; Carraro, Carlo

    2014-01-01

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm −1 corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching

  15. Evolution of interfacial intercalation chemistry on epitaxial graphene/SiC by surface enhanced Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ferralis, Nicola, E-mail: ferralis@mit.edu [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Carraro, Carlo [Department of Chemical and Biomolecular Engineering, University of California, Berkeley, CA 94720 (United States)

    2014-11-30

    Highlights: • H-intercalated epitaxial graphene–SiC interface studied with surface enhanced Raman. • Evolution of graphene and H–Si interface with UV-ozone, annealing and O-exposure. • H–Si interface and quasi-freestanding graphene are retained after UV-ozone treatment. • Enhanced ozonolytic reactivity at the edges of H-intercalated defected graphene. • Novel SERS method for characterizing near-surface graphene–substrate interfaces. - Abstract: A rapid and facile evaluation of the effects of physical and chemical processes on the interfacial layer between epitaxial graphene monolayers on SiC(0 0 0 1) surfaces is essential for applications in electronics, photonics, and optoelectronics. Here, the evolution of the atomic scale epitaxial graphene-buffer-layer–SiC interface through hydrogen intercalation, thermal annealings, UV-ozone etching and oxygen exposure is studied by means of single microparticle mediated surface enhanced Raman spectroscopy (smSERS). The evolution of the interfacial chemistry in the buffer layer is monitored through the Raman band at 2132 cm{sup −1} corresponding to the Si-H stretch mode. Graphene quality is monitored directly by the selectively enhanced Raman signal of graphene compared to the SiC substrate signal. Through smSERS, a simultaneous correlation between optimized hydrogen intercalation in epitaxial graphene/SiC and an increase in graphene quality is uncovered. Following UV-ozone treatment, a fully hydrogen passivated interface is retained, while a moderate degradation in the quality of the hydrogen intercalated quasi-freestanding graphene is observed. While hydrogen intercalated defect free quasi-freestanding graphene is expected to be robust upon UV-ozone, thermal annealing, and oxygen exposure, ozonolytic reactivity at the edges of H-intercalated defected graphene results in enhanced amorphization of the quasi-freestanding (compared to non-intercalated) graphene, leading ultimately to its complete etching.

  16. Technical Note: Potential errors in optical density measurements due to scanning side in EBT and EBT2 Gafchromic film dosimetry

    International Nuclear Information System (INIS)

    Desroches, Joannie; Bouchard, Hugo; Lacroix, Frederic

    2010-01-01

    Purpose: The purpose of this study is to determine the effect on the measured optical density of scanning on either side of a Gafchromic EBT and EBT2 film using an Epson (Epson Canada Ltd., Toronto, Ontario) 10000XL flat bed scanner. Methods: Calibration curves were constructed using EBT2 film scanned in landscape orientation in both reflection and transmission mode on an Epson 10000XL scanner. Calibration curves were also constructed using EBT film. Potential errors due to an optical density difference from scanning the film on either side (''face up'' or ''face down'') were simulated. Results: Scanning the film face up or face down on the scanner bed while keeping the film angular orientation constant affects the measured optical density when scanning in reflection mode. In contrast, no statistically significant effect was seen when scanning in transmission mode. This effect can significantly affect relative and absolute dose measurements. As an application example, the authors demonstrate potential errors of 17.8% by inverting the film scanning side on the gamma index for 3%--3 mm criteria on a head and neck intensity modulated radiotherapy plan, and errors in absolute dose measurements ranging from 10% to 35% between 2 and 5 Gy. Conclusions: Process consistency is the key to obtaining accurate and precise results in Gafchromic film dosimetry. When scanning in reflection mode, care must be taken to place the film consistently on the same side on the scanner bed.

  17. Mounting apparatus for a nozzle guide vane assembly

    Science.gov (United States)

    Boyd, Gary L.; Shaffer, James E.

    1995-01-01

    The present invention provides a ceramic nozzle guide assembly with an apparatus for mounting it to a metal nozzle case that includes an intermediate ceramic mounting ring. The mounting ring includes a plurality of projections that are received within a plurality of receptacles formed in the nozzle case. The projections of the mounting ring are secured within the receptacles by a ceramic retainer that allows contact between the two components only along arcuate surfaces thus eliminating sliding contact between the components.

  18. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  19. Aqueous phase synthesis of upconversion nanocrystals through layer-by-layer epitaxial growth for in vivo X-ray computed tomography

    KAUST Repository

    Li, Feifei

    2013-05-21

    Lanthanide-doped core-shell upconversion nanocrystals (UCNCs) have tremendous potential for applications in many fields, especially in bio-imaging and medical therapy. As core-shell UCNCs are mostly synthesized in organic solvents, tedious organic-aqueous phase transfer processes are usually needed for their use in bio-applications. Herein, we demonstrate the first example of one-step synthesis of highly luminescent core-shell UCNCs in the "aqueous" phase under mild conditions using innocuous reagents. A microwave-assisted approach allowed for layer-by-layer epitaxial growth of a hydrophilic NaGdF4 shell on NaYF4:Yb, Er cores. During this process, surface defects of the nanocrystals could be gradually passivated by the homogeneous shell deposition, resulting in obvious enhancement in the overall upconversion emission efficiency. In addition, the up-down conversion dual-mode luminescent NaYF4:Yb, Er@NaGdF4:Ce, Ln (Eu, Tb, Sm, Dy) nanocrystals were also synthesized to further validate the successful formation of the core-shell structure. More significantly, based on their superior solubility and stability in water solution, high upconversion efficiency and Gd-doped predominant X-ray absorption, the as-prepared NaYF4:Yb, Er@NaGdF4 core-shell UCNCs exhibited high contrast in in vitro cell imaging and in vivo X-ray computed tomography (CT) imaging, demonstrating great potential as multiplexed luminescent biolabels and CT contrast agents.

  20. Revisiting non-degenerate parametric down-conversion

    Indian Academy of Sciences (India)

    conversion process is studied by recasting the time evolution equations for the basic op- erators in an equivalent ... We consider a model of non-degenerate parametric down-conversion process com- posed of two coupled ..... e−iωat and eiωbt have been left out in writing down the final results in ref. [4], even though these ...

  1. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  2. Mounting clips for panel installation

    Science.gov (United States)

    Cavieres, Andres; Al-Haddad, Tristan; Goodman, Joseph

    2017-07-11

    A photovoltaic panel mounting clip comprising a base, central indexing tabs, flanges, lateral indexing tabs, and vertical indexing tabs. The mounting clip removably attaches one or more panels to a beam or the like structure, both mechanically and electrically. It provides secure locking of the panels in all directions, while providing guidance in all directions for accurate installation of the panels to the beam or the like structure.

  3. 49 CFR 179.10 - Tank mounting.

    Science.gov (United States)

    2010-10-01

    ... 49 Transportation 2 2010-10-01 2010-10-01 false Tank mounting. 179.10 Section 179.10 Transportation Other Regulations Relating to Transportation PIPELINE AND HAZARDOUS MATERIALS SAFETY... Design Requirements § 179.10 Tank mounting. (a) The manner in which tanks are attached to the car...

  4. Epitaxial Garnets and Hexagonal Ferrites.

    Science.gov (United States)

    1982-04-20

    guide growth of the epitaxial YIG films. Aluminum or gallium substitu- tions for iron were used in combination with lanthanum substitutions for yttrium... gallate spinel sub- strates. There was no difficulty with nucleation in the melt and film quality appeared to be similar to that observed previously...hexagonal ferrites. We succeeded in growing the M-type lead hexaferrite (magnetoplumbite) on gallate spinel substrates. We found that the PbO-based

  5. Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study

    Directory of Open Access Journals (Sweden)

    Sangmo Koo

    2016-09-01

    Full Text Available The selective epitaxial growth of Si1-xGex and the related strain properties were studied. Epitaxial Si1-xGex films were deposited on (100 and (110 orientation wafers and on patterned Si wafers with recessed source and drain structures via ultrahigh vacuum chemical vapor deposition using different growing steps and Ge concentrations. The stepwise process was split into more than 6 growing steps that ranged in thicknesses from a few to 120 nm in order to cover the wide stages of epitaxial growth. The growth rates of SiGe on the plane and patterned wafers were examined and a dependence on the surface orientation was identified. As the germanium concentration increased, defects were generated with thinner Si1-xGex growth. The defect generation was the result of the strain evolution which was examined for channel regions with a Si1-xGex source/drain (S/D structure.

  6. 45○ sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers.

    Science.gov (United States)

    Hajiri, Tetsuya; Yoshida, Takuya; Filianina, Mariia; Jaiswal, Samridh; Borie, Benjamin; Asano, H; Zabel, Hartmut; Klaui, Mathias

    2017-11-20

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45$^\\circ$ period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co$_3$FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies. © 2017 IOP Publishing Ltd.

  7. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  8. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  9. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  10. 25 years of ecological change at Mount St. Helens.

    Science.gov (United States)

    V.H. Dale; C.M. Crisafulli; F.J. Swanson

    2005-01-01

    18 May 2005 marks the 25th anniversary of the massive eruption of Mount St. Helens. This eruption involved diverse geological processes (1) that disturbed forests, meadows, lakes, an drivers (2) (see the figure). A huge landslide and searing flows of hot gases and pumic framents (pyroclastic flows) inundated 60 km2 of land, obliterating...

  11. Wavelength tuning of InAs quantum dots grown on InP (100) by chemical-beam epitaxy

    International Nuclear Information System (INIS)

    Gong, Q.; Noetzel, R.; Veldhoven, P.J. van; Eijkemans, T.J.; Wolter, J.H.

    2004-01-01

    We report on an effective way to continuously tune the emission wavelength of InAs quantum dots (QDs) grown on InP (100) by chemical-beam epitaxy. The InAs QD layer is embedded in a GaInAsP layer lattice matched to InP. With an ultrathin GaAs layer inserted between the InAs QD layer and the GaInAsP buffer, the peak wavelength from the InAs QDs can be continuously tuned from above 1.6 μm down to 1.5 μm at room temperature. The major role of the thin GaAs layer is to greatly suppress the As/P exchange during the deposition of InAs and subsequent growth interruption under arsenic flux, as well as to consume the segregated surface In layer floating on the GaInAsP buffer layer

  12. Ocean floor mounting of wave energy converters

    Science.gov (United States)

    Siegel, Stefan G

    2015-01-20

    A system for mounting a set of wave energy converters in the ocean includes a pole attached to a floor of an ocean and a slider mounted on the pole in a manner that permits the slider to move vertically along the pole and rotate about the pole. The wave energy converters can then be mounted on the slider to allow adjustment of the depth and orientation of the wave energy converters.

  13. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  14. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  15. COMPARISON OF A HEAD MOUNTED IMPACT MEASUREMENT DEVICE TO THE HYBRID III ANTHROPOMORPHIC TESTING DEVICE IN A CONTROLLED LABORATORY SETTING.

    Science.gov (United States)

    Schussler, Eric; Stark, David; Bolte, John H; Kang, Yun Seok; Onate, James A

    2017-08-01

    Reports estimate that 1.6 to 3.8 million cases of concussion occur in sports and recreation each year in the United States. Despite continued efforts to reduce the occurrence of concussion, the rate of diagnosis continues to increase. The mechanisms of concussion are thought to involve linear and rotational head accelerations and velocities. One method of quantifying the kinematics experienced during sport participation is to place measurement devices into the athlete's helmet or directly on the athlete's head. The purpose of this research to determine the accuracy of a head mounted device for measuring the head accelerations experienced by the wearer. This will be accomplished by identifying the error in Peak Linear Acceleration (PLA), Peak Rotational Acceleration (PRA) and Peak Rotational Velocity (PRV) of the device. Laboratory study. A helmeted Hybrid III 50th percentile male headform was impacted via a pneumatic ram from the front, side, rear, front oblique and rear oblique at speeds from 1.5 to 5 m/s. The X2 Biosystems xPatch® (Seattle, WA) sensor was placed on the headform's right side at the approximate location of the mastoid process. Measures of PLA, PRA, PRV from the xPatch ® and Hybrid III were analyzed for Root Mean Square Error (RMSE), and Absolute and Relative Error (AE, RE). Seventy-six impacts were analyzed. All measures of correlation, fixed through the origin, were found to be strong: PLA R 2 =0.967 pstandard yet above the average error of testing devices in both PLA and PRA, but a low error in PRV. PLA measures from the xPatch® system demonstrated a high level of correlation with the PLA data from the Hybrid III mounted data collection system. 3.

  16. Processing of Facial Expressions of Emotions by Adults with Down Syndrome and Moderate Intellectual Disability

    Science.gov (United States)

    Carvajal, Fernando; Fernandez-Alcaraz, Camino; Rueda, Maria; Sarrion, Louise

    2012-01-01

    The processing of facial expressions of emotions by 23 adults with Down syndrome and moderate intellectual disability was compared with that of adults with intellectual disability of other etiologies (24 matched in cognitive level and 26 with mild intellectual disability). Each participant performed 4 tasks of the Florida Affect Battery and an…

  17. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  18. InGaN nanocolumn growth self-induced by in-situ annealing and ion irradiation during growth process with molecular beam epitaxy method

    Science.gov (United States)

    Xue, Junjun; Cai, Qing; Zhang, Baohua; Ge, Mei; Chen, Dunjun; Zheng, Jianguo; Zhi, Ting; Tao, Zhikuo; Chen, Jiangwei; Wang, Lianhui; Zhang, Rong; Zheng, Youdou

    2017-11-01

    Incubation and shape transition are considered as two essential processes for nucleating of self-assembly InGaN nanocolumns (NCs) in traditional way. We propose a new approach for nuclei forming directly by in-situ annealing and ion irradiating the InGaN template during growing process. The nanoislands, considered as the nuclei of NCs, were formed by a combinational effect of thermal and ion etching (TIE), which made the gaps of the V-pits deeper and wider. On account of the decomposition of InGaN during TIE process, more nitride-rich amorphous alloys would intent to accumulate in the corroded V-pits. The amorphous alloys played a key role to promote the following growth from 2D regime into Volmer-Weber growth regime so that the NC morphology took place, rather than a compact film. As growth continued, the subsequently epitaxial InGaN alloys on the annealed NC nuclei were suffered in biaxial compressive stress for losing part of indium content from the NC nuclei during the TIE process. Strain relaxation, accompanied by thread dislocations, came up and made the lattice planes misoriented, which prevented the NCs from coalescence into a compact film at later period of growing.

  19. Atypical biological motion kinematics are represented by complementary lower-level and top-down processes during imitation learning.

    Science.gov (United States)

    Hayes, Spencer J; Dutoy, Chris A; Elliott, Digby; Gowen, Emma; Bennett, Simon J

    2016-01-01

    Learning a novel movement requires a new set of kinematics to be represented by the sensorimotor system. This is often accomplished through imitation learning where lower-level sensorimotor processes are suggested to represent the biological motion kinematics associated with an observed movement. Top-down factors have the potential to influence this process based on the social context, attention and salience, and the goal of the movement. In order to further examine the potential interaction between lower-level and top-down processes in imitation learning, the aim of this study was to systematically control the mediating effects during an imitation of biological motion protocol. In this protocol, we used non-human agent models that displayed different novel atypical biological motion kinematics, as well as a control model that displayed constant velocity. Importantly the three models had the same movement amplitude and movement time. Also, the motion kinematics were displayed in the presence, or absence, of end-state-targets. Kinematic analyses showed atypical biological motion kinematics were imitated, and that this performance was different from the constant velocity control condition. Although the imitation of atypical biological motion kinematics was not modulated by the end-state-targets, movement time was more accurate in the absence, compared to the presence, of an end-state-target. The fact that end-state targets modulated movement time accuracy, but not biological motion kinematics, indicates imitation learning involves top-down attentional, and lower-level sensorimotor systems, which operate as complementary processes mediated by the environmental context. Copyright © 2015 Elsevier B.V. All rights reserved.

  20. Decision-making process to shut down, refurbish/modify, or decommission research reactors

    International Nuclear Information System (INIS)

    Stover, R.L.; Murphie, W.E.

    1992-01-01

    Most US research reactors were built more than 20 years ago and some more than 40 years ago. Many have undergone refurbishments and modifications to update their safety systems and experimental capabilities. But changing safety bases, social concerns, and budget constraints have required research reactor operators to continually make decisions to shut down or refurbish/modify their facilities. These decisions involve potential replacement of reactor equipment that has reached its lifetime limits. Changes in philosophy and operation of the reactors are also factors to be considered. In this paper, each of the four factors involved in the decision-making process are discussed in detail. Then, several examples from DOE research reactors in the United States are discussed. Finally, some general conclusions are given to aid in the decision-making process

  1. Field-trip guide to Mount Hood, Oregon, highlighting eruptive history and hazards

    Science.gov (United States)

    Scott, William E.; Gardner, Cynthia A.

    2017-06-22

    This guidebook describes stops of interest for a geological field trip around Mount Hood volcano. It was developed for the 2017 International Association of Volcanology and Chemistry of the Earth’s Interior (IAVCEI) Scientific Assembly in Portland, Oregon. The intent of this guidebook and accompanying contributions is to provide an overview of Mount Hood, including its chief geologic processes, magmatic system, eruptive history, local tectonics, and hazards, by visiting a variety of readily accessible localities. We also describe coeval, largely monogenetic, volcanoes in the region. Accompanying the field-trip guidebook are separately authored contributions that discuss in detail the Mount Hood magmatic system and its products and behavior (Kent and Koleszar, this volume); Mount Hood earthquakes and their relation to regional tectonics and the volcanic system (Thelen and Moran, this volume); and young surface faults cutting the broader Mount Hood area whose extent has come to light after acquisition of regional light detection and ranging coverage (Madin and others, this volume).The trip makes an approximately 175-mile (280-kilometer) clockwise loop around Mount Hood, starting and ending in Portland. The route heads east on Interstate 84 through the Columbia River Gorge National Scenic Area. The guidebook points out only a few conspicuous features of note in the gorge, but many other guides to the gorge are available. The route continues south on the Mount Hood National Scenic Byway on Oregon Route 35 following Hood River, and returns to Portland on U.S. Highway 26 following Sandy River. The route traverses rocks as old as the early Miocene Eagle Creek Formation and overlying Columbia River Basalt Group of middle Miocene age, but chiefly lava flows and clastic products of arc volcanism of late Miocene to Holocene age.

  2. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  3. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  4. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  5. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  6. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  7. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  8. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  9. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  10. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  11. Application of advanced one sided stress wave velocity measurement in concrete

    International Nuclear Information System (INIS)

    Lee, Joon Hyun; Song, Won Joon; Popovices, J. S.; Achenbach, J. D.

    1997-01-01

    It is of interest to reliably measure the velocity of stress waves in concrete. At present, reliable measurement is not possible for dispersive and attenuating materials such as concrete when access to only one surface of the structure is available, such as in the case of pavement structures. In this paper, a new method for one-sided stress wave velocity determination in concrete is applied to investigate the effects of composition, age and moisture content. This method uses a controlled impact as a stress wave source and two sensitive receivers mounted on the same surface as the impact sites. The novel aspect of the technique is the data collection system which automatically determines the arrival of the generated longitudinal and surface wave arrivals. A conventional ultrasonic through transmission method is used to compare with the results determined by the one-sided method.

  12. Liquid Phase Epitaxial Growth of Al-doped f-SiC for White Light-Emitting Diodes

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; can der Eijk, Casper

    efficiency, better light quality and longer lifespan, compared to the current yellow phosphor based white LEDs.Liquid phase epitaxy technology can yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium crystalline growth process. In addition....... The experimental results are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  13. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  14. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  15. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  16. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  17. Research on LQR optimal control method of active engine mount

    Science.gov (United States)

    Huan, Xie; Yu, Duan

    2018-04-01

    In this paper, the LQR control method is applied to the active mount of the engine, and a six-cylinder engine excitation model is established. Through the joint simulation of AMESim and MATLAB, the vibration isolation performance of the active mount system and the passive mount system is analyzed. Excited by the multi-engine operation, the simulation results of the vertical displacement, acceleration and dynamic deflection of the vehicle body show that the vibration isolation capability of the active mount system is superior to that of the passive mount system. It shows that compared with the passive mount, LQR active mount can greatly improve the vibration isolation performance, which proves the feasibility and effectiveness of the LQR control method.

  18. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  19. Renewable side reflector structure for a pebble bed high temperature reactor

    International Nuclear Information System (INIS)

    Martin, Roger.

    1977-01-01

    The description is given of a renewable side reflector structure for a pebble bed high temperature reactor of the kind comprising a cylindrical graphite vessel constituting the neutron reflector, this vessel being filled with graphite pebbles containing the nuclear fuel and enclosed in a concrete protective containment. The internal peripheral area of the vessel is constituted by a line of adjacent graphite rods mounted so that they can rotate about their longitudinal axis and manoeuvrable from outside the concrete containment by means of a shaft passing into it [fr

  20. Mixing monoclonal antibody formulations using bottom-mounted mixers: impact of mechanism and design on drug product quality.

    Science.gov (United States)

    Gikanga, Benson; Chen, Yufei; Stauch, Oliver B; Maa, Yuh-Fun

    2015-01-01

    Using bottom-mounted mixers, particularly those that are magnetically driven, is becoming increasingly common during the mixing process in pharmaceutical and biotechnology manufacturing because of their associated low risk of contamination, ease of use, and ability to accommodate low minimum mixing volumes. Despite these benefits, the impact of bottom-mounted mixers on biologic drug product is not yet fully understood and is scarcely reported. This study evaluated four bottom-mounted mixers to assess their impact on monoclonal antibody formulations. Changes in product quality (size variants, particles, and turbidity) and impact on process performance (sterile filtration) were evaluated after mixing. The results suggested that mixers that are designed to function with no contact between the impeller and the drive unit are the most favorable and gentle to monoclonal antibody molecules. Designs with contact or a narrow clearance tended to shear and grind the protein and resulted in high particle count in the liquid, which would subsequently foul a filter membrane during sterile filtration using a 0.22 μm pore size filter. Despite particle formation, increases in turbidity of the protein solution and protein aggregation/fragmentation were not detected. Further particle analysis indicated particles in the range of 0.2-2 μm are responsible for filter fouling. A small-scale screening model was developed using two types of magnetic stir bars mimicking the presence or absence of contact between the impeller and drive unit in the bottom-mounted mixers. The model is capable of differentiating the sensitivity of monoclonal antibody formulations to bottom-mounted mixers with a small sample size. This study fills an important gap in understanding a critical bioprocess unit operation. Mixing is an important unit operation in drug product manufacturing for compounding (dilution, pooling, homogenization, etc.). The current trend in adopting disposable bottom-mounted mixers has