WorldWideScience

Sample records for epitaxial starting material

  1. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  2. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  3. Epitaxial hexagonal materials on IBAD-textured substrates

    Science.gov (United States)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  4. Epitaxial hexagonal materials on IBAD-textured substrates

    Energy Technology Data Exchange (ETDEWEB)

    Matias, Vladimir; Yung, Christopher

    2017-08-15

    A multilayer structure including a hexagonal epitaxial layer, such as GaN or other group III-nitride (III-N) semiconductors, a <111> oriented textured layer, and a non-single crystal substrate, and methods for making the same. The textured layer has a crystalline alignment preferably formed by the ion-beam assisted deposition (IBAD) texturing process and can be biaxially aligned. The in-plane crystalline texture of the textured layer is sufficiently low to allow growth of high quality hexagonal material, but can still be significantly greater than the required in-plane crystalline texture of the hexagonal material. The IBAD process enables low-cost, large-area, flexible metal foil substrates to be used as potential alternatives to single-crystal sapphire and silicon for manufacture of electronic devices, enabling scaled-up roll-to-roll, sheet-to-sheet, or similar fabrication processes to be used. The user is able to choose a substrate for its mechanical and thermal properties, such as how well its coefficient of thermal expansion matches that of the hexagonal epitaxial layer, while choosing a textured layer that more closely lattice matches that layer.

  5. Molecular beam epitaxy applications to key materials

    CERN Document Server

    Farrow, Robin F C

    1995-01-01

    In this volume, the editor and contributors describe the use of molecular beam epitaxy (MBE) for a range of key materials systems that are of interest for both technological and fundamental reasons. Prior books on MBE have provided an introduction to the basic concepts and techniques of MBE and emphasize growth and characterization of GaAs-based structures. The aim in this book is somewhat different; it is to demonstrate the versatility of the technique by showing how it can be utilized to prepare and explore a range of distinct and diverse materials. For each of these materials systems MBE has played a key role both in their development and application to devices.

  6. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  7. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  8. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  9. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi

    2012-11-27

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered zeolite material grown epitaxially on the surface of a bulk zeolite material. Specifically, layered (2-D) MFI sheets were grown on the surface of bulk MFI crystals of different sizes (300 nm and 10 μm), thereby resulting in a hybrid material containing a unique morphology of interconnected micropores (∼0.55 nm) and mesopores (∼3 nm). The structure and morphology of this material, referred to as a "bulk MFI-layered MFI" (BMLM) material, was elucidated by a combination of XRD, TEM, HRTEM, SEM, TGA, and N2 physisorption techniques. It is conclusively shown that epitaxial growth of the 2-D layered MFI sheets occurs in at least two principal crystallographic directions of the bulk MFI crystal and possibly in the third direction as well. The BMLM material combines the properties of bulk MFI (micropore network and mechanical support) and 2-D layered MFI (large surface roughness, external surface area, and mesoporosity). As an example of the uses of the BMLM material, it was incorporated into a polyimide and fabricated into a composite membrane with enhanced permeability for CO2 and good CO2/CH4 selectivity for gas separations. SEM-EDX imaging and composition analysis showed that the polyimide and the BMLM interpenetrate into each other, thereby forming a well-adhered polymer/particle microstructure, in contrast with the defective interfacial microstructure obtained using bare MFI particles. Analysis of the gas permeation data with the modified Maxwell model also allows the estimation of the effective volume of the BMLM particles, as well as the CO2 and CH4 gas permeabilities of the interpenetrated layer at the BMLM/polyimide interface. © 2012 American Chemical Society.

  10. Molecular-beam epitaxial growth and ion-beam analysis systems for functional materials research

    International Nuclear Information System (INIS)

    Takeshita, H.; Aoki, Y.; Yamamoto, S.; Naramoto, H.

    1992-01-01

    Experimental systems for molecular beam epitaxial growth and ion beam analysis have been designed and constructed for the research of inorganic functional materials such as thin films and superlattices. (author)

  11. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  12. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    Graphene is widely regarded as an important new electronic material with interesting two-dimensional electron gas properties. Not only that, but graphene is widely considered to be an important new material for large-scale integrated electronic devices that may eventually even succeed silicon. In fact, there are countless publications that demonstrate the amazing applications potential of graphene. In order to realize graphene electronics, a platform is required that is compatible with large-scale electronics processing methods. It was clear from the outset that graphene grown epitaxially on silicon carbide substrates was exceptionally well suited as a platform for graphene-based electronics, not only because the graphene sheets are grown directly on electronics-grade silicon carbide (an important semiconductor in its own right), but also because these sheets are oriented with respect to the semiconductor. Moreover, the extremely high temperatures involved in production assure essentially defect-free and contamination-free materials with well-defined interfaces. Epitaxial graphene on silicon carbide is not a unique material, but actually a class of materials. It is a complex structure consisting of a reconstructed silicon carbide surface, which, for planar hexagonal silicon carbide, is either the silicon- or the carbon-terminated face, an interfacial carbon rich layer, followed by one or more graphene layers. Consequently, the structure of graphene films on silicon carbide turns out to be a rich surface-science puzzle that has been intensively studied and systematically unravelled with a wide variety of surface science probes. Moreover, the graphene films produced on the carbon-terminated face turn out to be rotationally stacked, resulting in unique and important structural and electronic properties. Finally, in contrast to essentially all other graphene production methods, epitaxial graphene can be grown on structured silicon carbide surfaces to produce graphene

  13. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  14. Investigation of epitaxial silicon layers as a material for radiation hardened silicon detectors

    International Nuclear Information System (INIS)

    Li, Z.; Eremin, V.; Ilyashenko, I.; Ivanov, A.; Verbitskaya, E.

    1997-12-01

    Epitaxial grown thick layers (≥ 100 micrometers) of high resistivity silicon (Epi-Si) have been investigated as a possible candidate of radiation hardened material for detectors for high-energy physics. As grown Epi-Si layers contain high concentration (up to 2 x 10 12 cm -3 ) of deep levels compared with that in standard high resistivity bulk Si. After irradiation of test diodes by protons (E p = 24 GeV) with a fluence of 1.5 x 10 11 cm -2 , no additional radiation induced deep traps have been detected. A reasonable explanation is that there is a sink of primary radiation induced defects (interstitial and vacancies), possibly by as-grown defects, in epitaxial layers. The ''sinking'' process, however, becomes non-effective at high radiation fluences (10 14 cm -2 ) due to saturation of epitaxial defects by high concentration of radiation induced ones. As a result, at neutron fluence of 1 x 10 14 cm -2 the deep level spectrum corresponds to well-known spectrum of radiation induced defects in high resistivity bulk Si. The net effective concentration in the space charge region equals to 3 x 10 12 cm -3 after 3 months of room temperature storage and reveals similar annealing behavior for epitaxial as compared to bulk silicon

  15. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  16. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  17. Molecular beam epitaxy of three-dimensional Dirac material Sr3PbO

    Science.gov (United States)

    Samal, D.; Nakamura, H.; Takagi, H.

    2016-07-01

    A series of anti-perovskites including Sr3PbO are recently predicted to be a three-dimensional Dirac material with a small mass gap, which may be a topological crystalline insulator. Here, we report the epitaxial growth of Sr3PbO thin films on LaAlO3 using molecular beam epitaxy. X-ray diffraction indicates (001) growth of Sr3PbO, where [110] of Sr3PbO matches [100] of LaAlO3. Measurements of the Sr3PbO films with parylene/Al capping layers reveal a metallic conduction with p-type carrier density of ˜1020 cm-3. The successful growth of high quality Sr3PbO film is an important step for the exploration of its unique topological properties.

  18. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  19. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  20. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  1. The invention of graphene electronics and the physics of epitaxial graphene on silicon carbide

    International Nuclear Information System (INIS)

    De Heer, Walt A

    2012-01-01

    Graphene electronics was officially invented at the Georgia Institute of Technology in 2003 after experimental and theoretical research on graphene properties starting from 2001. This paper focuses on the motivation and events leading to the invention of graphene electronics, as well as on recent developments. Graphene electronics was originally conceived as a new electronics paradigm to incorporate the room-temperature ballistic and coherent properties of carbon nanotubes in a patternable electronic material. Graphene on silicon carbide was chosen as the most suitable material. Other electronics schemes, involving transferred (exfoliated and chemical vapor deposition-produced) graphitic materials, that operate in the diffusive regime may not be competitive with standard methods and may therefore not significantly impact electronics. In recent years, epitaxial graphene has improved to the point where graphene electronics according to the original concept appears to be within reach. Beyond electronics, epitaxial graphene research has led to important developments in graphene physics in general and has become a leading platform for graphene science as well.

  2. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  3. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  4. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy.

    Science.gov (United States)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R

    2014-04-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  5. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R., E-mail: smitha2@ohio.edu [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States)

    2014-04-15

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  6. Facility for low-temperature spin-polarized-scanning tunneling microscopy studies of magnetic/spintronic materials prepared in situ by nitride molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lin, Wenzhi; Foley, Andrew; Alam, Khan; Wang, Kangkang; Liu, Yinghao; Chen, Tianjiao; Pak, Jeongihm; Smith, Arthur R.

    2014-01-01

    Based on the interest in, as well as exciting outlook for, nitride semiconductor based structures with regard to electronic, optoelectronic, and spintronic applications, it is compelling to investigate these systems using the powerful technique of spin-polarized scanning tunneling microscopy (STM), a technique capable of achieving magnetic resolution down to the atomic scale. However, the delicate surfaces of these materials are easily corrupted by in-air transfers, making it unfeasible to study them in stand-alone ultra-high vacuum STM facilities. Therefore, we have carried out the development of a hybrid system including a nitrogen plasma assisted molecular beam epitaxy/pulsed laser epitaxy facility for sample growth combined with a low-temperature, spin-polarized scanning tunneling microscope system. The custom-designed molecular beam epitaxy growth system supports up to eight sources, including up to seven effusion cells plus a radio frequency nitrogen plasma source, for epitaxially growing a variety of materials, such as nitride semiconductors, magnetic materials, and their hetero-structures, and also incorporating in situ reflection high energy electron diffraction. The growth system also enables integration of pulsed laser epitaxy. The STM unit has a modular design, consisting of an upper body and a lower body. The upper body contains the coarse approach mechanism and the scanner unit, while the lower body accepts molecular beam epitaxy grown samples using compression springs and sample skis. The design of the system employs two stages of vibration isolation as well as a layer of acoustic noise isolation in order to reduce noise during STM measurements. This isolation allows the system to effectively acquire STM data in a typical lab space, which during its construction had no special and highly costly elements included, (such as isolated slabs) which would lower the environmental noise. The design further enables tip exchange and tip coating without

  7. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  8. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  9. A high resolution cross section transmission electron microscopy study of epitaxial rare earth fluoride/GaAs(111) interfaces prepared by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chien, C.J.; Bravman, J.C.

    1990-01-01

    The authors report the HRXTEM study of epitaxial rare earth fluoride/GaAs(111) interfaces. Such interfaces are of interest because they are the starting point for growth of buried epitaxial rare earth/rare earth fluoride sandwich structures which exhibit interesting and non bulk-like magnetic properties. Also, the optical transitions in ultrathin epitaxial NdF 3 films may be influenced by strain and defects in the NdF 3 film and the nature of the interface to GaAs. The authors find that the rare earth fluoride/GaAs interfaces are semi-coherent but chemically abrupt with the transition taking place within 3 Angstrom. However, the interface is physically rough and multiple monolayer steps in the GaAs surface tend to tilt boundaries in the fluoride. The origin of these steps is believed to be thermal etching of the GaAs during the heat- cleaning stage prior to epitaxy. The surface of the fluoride film is much smoother than the initial GaAs surface indicating planarization during epitaxy

  10. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  11. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  12. III-nitride integration on ferroelectric materials of lithium niobate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Namkoong, Gon; Lee, Kyoung-Keun; Madison, Shannon M.; Henderson, Walter; Ralph, Stephen E.; Doolittle, W. Alan

    2005-01-01

    Integration of III-nitride electrical devices on the ferroelectric material lithium niobate (LiNbO 3 ) has been demonstrated. As a ferroelectric material, lithium niobate has a polarization which may provide excellent control of the polarity of III-nitrides. However, while high temperature, 1000 deg. C, thermal treatments produce atomically smooth surfaces, improving adhesion of GaN epitaxial layers on lithium niobate, repolarization of the substrate in local domains occurs. These effects result in multi domains of mixed polarization in LiNbO 3 , producing inversion domains in subsequent GaN epilayers. However, it is found that AlN buffer layers suppress inversion domains of III-nitrides. Therefore, two-dimensional electron gases in AlGaN/GaN heterojunction structures are obtained. Herein, the demonstration of the monolithic integration of high power devices with ferroelectric materials presents possibilities to control LiNbO 3 modulators on compact optoelectronic/electronic chips

  13. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  14. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  15. Reclamation of a molecular beam epitaxy system and conversion for oxide epitaxy

    International Nuclear Information System (INIS)

    Carver, Alexander G.; Henderson, Walter; Doolittle, W. Alan

    2008-01-01

    An early 1980s vintage molecular beam epitaxy system, a Varian Gen II system, originally used for HgCdTe epitaxy, was converted into a system capable of growing thin-film complex metal oxides. The nature of some of the alternative oxides requires a thorough cleaning and, in some cases, complete replacement of system components. Details are provided regarding the chemistry of the etchants used, safety requirements for properly handling, and disposal of large quantities of etchants and etch by-products, and components that can be reused versus components that require replacement are given. Following the given procedures, an ultimate base pressure of 2x10 -10 Torr was obtained. Films grown in the system after reclamation contained no evidence of previously present materials down to the detection limit of secondary ion mass spectrometry

  16. Characterization study of industrial waste glass as starting material ...

    African Journals Online (AJOL)

    In present study, an industrial waste glass was characterized and the potential to assess as starting material in development of bioactive materials was investigated. A waste glass collected from the two different glass industry was grounded to fine powder. The samples were characterized using X-ray fluorescence (XRF), ...

  17. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  18. Few layer epitaxial germanene: a novel two-dimensional Dirac material.

    Science.gov (United States)

    Dávila, María Eugenia; Le Lay, Guy

    2016-02-10

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established on synchrotron-radiation-based photoemission, scanning tunneling microscopy imaging and surface electron diffraction places few layer germanene among the rare two-dimensional Dirac materials. Since germanium is currently used in the mainstream Si-based electronics, perspectives of using germanene for scaling down beyond the 5 nm node appear very promising. Other fascinating properties seem at hand, typically the robust quantum spin Hall effect for applications in spintronics and the engineering of Floquet Majorana fermions by light for quantum computing.

  19. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  20. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  1. Manipulation of Dirac cones in metal-intercalated epitaxial graphene

    Science.gov (United States)

    Wang, Cai-Zhuang; Kim, Minsung; Tringides, Michael; Ho, Kai-Ming

    Graphene is one of the most attractive materials from both fundamental and practical points of view due to its characteristic Dirac cones. The electronic property of graphene can be modified through the interaction with substrate or another graphene layer as illustrated in few-layer epitaxial graphene. Recently, metal intercalation became an effective method to manipulate the electronic structure of graphene by modifying the coupling between the constituent layers. In this work, we show that the Dirac cones of epitaxial graphene can be manipulated by intercalating rare-earth metals. We demonstrate that rare-earth metal intercalated epitaxial graphene has tunable band structures and the energy levels of Dirac cones as well as the linear or quadratic band dispersion can be controlled depending on the location of the intercalation layer and density. Our results could be important for applications and characterizations of the intercalated epitaxial graphene. Supported by the U.S. DOE-BES under Contract No. DE-AC02-07CH11358.

  2. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  3. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  4. Molecular beam epitaxy growth of [CrGe/MnGe/FeGe] superlattices: Toward artificial B20 skyrmion materials with tunable interactions

    Science.gov (United States)

    Ahmed, Adam S.; Esser, Bryan D.; Rowland, James; McComb, David W.; Kawakami, Roland K.

    2017-06-01

    Skyrmions are localized magnetic spin textures whose stability has been shown theoretically to depend on material parameters including bulk Dresselhaus spin orbit coupling (SOC), interfacial Rashba SOC, and magnetic anisotropy. Here, we establish the growth of a new class of artificial skyrmion materials, namely B20 superlattices, where these parameters could be systematically tuned. Specifically, we report the successful growth of B20 superlattices comprised of single crystal thin films of FeGe, MnGe, and CrGe on Si(1 1 1) substrates. Thin films and superlattices are grown by molecular beam epitaxy and are characterized through a combination of reflection high energy electron diffraction, X-ray diffraction, and cross-sectional scanning transmission electron microscopy (STEM). X-ray energy dispersive spectroscopy (XEDS) distinguishes layers by elemental mapping and indicates good interface quality with relatively low levels of intermixing in the [CrGe/MnGe/FeGe] superlattice. This demonstration of epitaxial, single-crystalline B20 superlattices is a significant advance toward tunable skyrmion systems for fundamental scientific studies and applications in magnetic storage and logic.

  5. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  6. Hard gap in epitaxial semiconductor-superconductor nanowires

    DEFF Research Database (Denmark)

    Chang, W.; Albrecht, S. M.; Jespersen, T. S.

    2015-01-01

    a continuum of subgap states---a situation that nullifies topological protection. Here, we report a hard superconducting gap induced by proximity effect in a semiconductor, using epitaxial Al-InAs superconductor-semiconductor nanowires. The hard gap, along with favorable material properties and gate...

  7. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    Science.gov (United States)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  8. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  9. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  10. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  11. Bromine doping of CdTe and CdMnTe epitaxial layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Scholl, S. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Schierstedt, K. von (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Hommel, D. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstoff-Forschung, Stuttgart (Germany))

    1993-03-01

    We report on the n-type doping of CdTe and CdMnTe with bormine as a novel dopant material. /the thin films were grown by molecular beam epitaxy. ZnBr[sub 2] was used as a source material for the n-type doping. Free carrier concentrations at room temperature of up to 2.8x10[sup 18] cm[sup -3] could be readily obtained for both CdTe as well as CdMnTe thin films with Mn concentrations below 10%. This is to our knowledge the highest value ever obtained for the dilute magnetic semiconductor CdMnTe. For ZnBr[sub 2] source temperatures up to 60 C - corresponding to a free carrier concentration of (2-3)x10[sup 18] cm[sup -3] - the free carrier concentration of the epitaxial film increases with ZnBr[sub 2] source temperature. For higher ZnBr[sub 2] source temperatures compensation becomes dominant, which is indicated by a steep decrease of the free carrier concentration with increasing ZnBr[sub 2] source temperature. In addition the carrier mobility decreases drastically for such high dopant fluxes. A model of bromine incorporation is proposed. (orig.)

  12. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  13. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  14. Few layer epitaxial germanene: a novel two-dimensional Dirac material

    OpenAIRE

    María Eugenia Dávila; Guy Le Lay

    2016-01-01

    Monolayer germanene, a novel graphene-like germanium allotrope akin to silicene has been recently grown on metallic substrates. Lying directly on the metal surfaces the reconstructed atom-thin sheets are prone to lose the massless Dirac fermion character and unique associated physical properties of free standing germanene. Here, we show that few layer germanene, which we create by dry epitaxy on a gold template, possesses Dirac cones thanks to a reduced interaction. This finding established o...

  15. Effects of chemical kinetics and starting material regeneration on the efficiency of an iodine laser amplifier

    International Nuclear Information System (INIS)

    Fisk, G.A.

    1977-05-01

    A model of the chemical kinetics occurring in an iodine laser amplifier is presented and used to calculate the degree to which the starting material is consumed as a result of laser operation. The cost of purchasing new starting material is estimated and shown to be prohibitive. A scheme for regenerating the starting material from the species present in the amplifier after lasing is proposed. It is shown that the estimated efficiency of this chemical regeneration process is appreciably higher than the projected optimum efficiency of the pumping process

  16. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  17. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  18. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  19. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  20. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  1. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  2. Evolution of sourdough microbiota in spontaneous sourdoughs started with different plant materials.

    Science.gov (United States)

    Ripari, Valery; Gänzle, Michael G; Berardi, Enrico

    2016-09-02

    The preparation of sourdough in bakeries may include the use of inocula, e.g. fruits, flowers or rumen cuts to accelerate the process of selection of suitable microorganisms. The aim of this work was to investigate the effect of these inocula on the microbial evolution in sourdoughs. First, the microbiota of nineteen traditional sourdoughs that were initially started with diverse inocula was identified. Second, de novo sourdoughs were started with plant materials and the evolution of sourdough microbiota was investigated by culture, and by high-resolution melting curve quantitative PCR (HRM-qPCR). This study developed a new protocol for HRM-qPCR analysis of yeast microbiota in sourdough, and indicates this independent culture method suitable for characterization of yeasts. Microbiota of traditional sourdoughs were largely independent from the use of inoculum, however, Acetobacter spp. were identified only in sourdoughs started with apple flowers or apple pulp. In de novo sourdoughs started with plant materials, microbiota rapidly stabilized, and were characterized by Lactobacillus sanfranciscensis, Lactobacillus plantarum, Lactobacillus graminis, or Lactobacillus rossiae, and Saccharomyces cerevisiae as dominant species. Competition experiments revealed that the ecological fitness of L. plantarum, L. graminis, and L. rossiae in wheat or rye malt sourdoughs was lower when compared to L. sanfranciscensis, demonstrating that their presence in de novo sourdoughs reflects dispersal limitation. In conclusion, establishment of microbiota in de novo sourdoughs is dispersal limited. This study provides scientific support for the artisanal practice to inoculate de novo sourdoughs with flowers, berries, or related plant material. Copyright © 2016. Published by Elsevier B.V.

  3. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  4. Development of thin pixel detectors on epitaxial silicon for HEP experiments

    International Nuclear Information System (INIS)

    Boscardin, Maurizio; Calvo, Daniela; Giacomini, Gabriele; Wheadon, Richard; Ronchin, Sabina; Zorzi, Nicola

    2013-01-01

    The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10 16 n eq /cm 2 . Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics

  5. Development of thin pixel detectors on epitaxial silicon for HEP experiments

    Energy Technology Data Exchange (ETDEWEB)

    Boscardin, Maurizio, E-mail: boscardi@fbk.eu [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Calvo, Daniela [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Giacomini, Gabriele [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy); Wheadon, Richard [INFN and Dipartimento di Fisica, Università di Torino, Via Pietro Giuria, I-10125 Torino (Italy); Ronchin, Sabina; Zorzi, Nicola [FBK, CMM, Via Sommarive 18, I-38123 Povo, Trento (Italy)

    2013-08-01

    The foreseen luminosity of the new experiments in High Energy Physics will require that the innermost layer of vertex detectors will be able to sustain fluencies up to 10{sup 16} n{sub eq}/cm{sup 2}. Moreover, in many experiments there is a demand for the minimization of the material budget of the detectors. Therefore, thin pixel devices fabricated on n-type silicon are a natural choice to fulfill these requirements due to their rad-hard performances and low active volume. We present an R and D activity aimed at developing a new thin hybrid pixel device in the framework of PANDA experiments. The detector of this new device is a p-on-n pixel sensor realized starting from epitaxial silicon wafers and back thinned up to 50–100 μm after process completion. We present the main technological steps and some electrical characterization on the fabricated devices before and after back thinning and after bump bonding to the front-end electronics.

  6. FY 1997 report on the study on lamination control technology for functional multi-element oxide thin films by complex beam epitaxy (CxBE) process; 1997 nendo chosa hokokusho (sakutaisen epitaxy (CxBE) ho ni yoru kinosei tagenso sankabutsu usumaku no sekiso seigyo gijutsu ni kansuru kenkyu)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1998-03-01

    Complex beam epitaxy (CxBE) process was proposed and demonstrated as new deposition process of multi-element oxide thin films. This process radiates excimer laser onto a metal complex target of ethylenediamine-tetraacetate complex under reduced pressure oxygen atmosphere condition in a reaction vessel to supply raw material onto a heated substrate. This process allowed deposition of YBCO123 phase hetero-epitaxial film onto a single-crystalline SrTiO3 substrate. This process was proved to be promising through study on crystal orientation, composition transcription and surface smoothness of the obtained oxide thin films. In addition, epitaxial ZnO film was also deposited onto a single crystalline Al2O3 substrate by this process. The relation between the obtained film and substrate epitaxy was examined, and photoluminescence of specimens was measured by triple wave of Nd:YAG laser. As a result, it was clarified that the epitaxial ZnO film prepared by this process is useful as laser material. 60 refs., 48 figs., 5 tabs.

  7. Molecular beam epitaxy of single crystal colossal magnetoresistive material

    International Nuclear Information System (INIS)

    Eckstein, J.N.; Bozovic, I.; Rzchowski, M.; O'Donnell, J.; Hinaus, B.; Onellion, M.

    1996-01-01

    The authors have grown films of (LaSr)MnO 3 (LSMO) and (LaCa)MnO 3 (LCMO) using atomic layer-by-layer molecular beam epitaxy (ALL-MBE). Depending on growth conditions, substrate lattice constant and the exact cation stoichiometry, the films are either pseudomorphic or strain relaxed. The pseudomorphic films show atomically flat surfaces, with a unit cell terrace structure that is a replica of that observed on the slightly vicinal substrates, while the strain relaxed films show bumpy surfaces correlated with a dislocation network. All films show tetragonal structure and exhibit anisotropic magnetoresistance, with a low field response, (1/R)(dR/dH) as large as 5 T -1

  8. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  9. Efficient Exciton Diffusion and Resonance-Energy Transfer in Multi-Layered Organic Epitaxial Nanofibers

    DEFF Research Database (Denmark)

    Tavares, Luciana; Cadelano, Michele; Quochi, Francesco

    2015-01-01

    Multi-layered epitaxial nanofibers are exemplary model systems for the study of exciton dynamics and lasing in organic materials due to their well-defined morphology, high luminescence efficiencies, and color tunability. We resort to temperature-dependent cw and picosecond photoluminescence (PL......) spectroscopy to quantify exciton diffusion and resonance-energy transfer (RET) processes in multi-layered nanofibers consisting of alternating layers of para-hexaphenyl (p6P) and α-sexithiophene (6T), serving as exciton donor and acceptor material, respectively. The high probability for RET processes...... is confirmed by Quantum Chemical calculations. The activation energy for exciton diffusion in p6P is determined to be as low as 19 meV, proving p6P epitaxial layers also as a very suitable donor material system. The small activation energy for exciton diffusion of the p6P donor material, the inferred high p6P...

  10. Effect of crystallochemistry of starting materials on the rate of smectite to illite reaction

    International Nuclear Information System (INIS)

    Sato, Tsutomu; Isobe, Hiroshi; Ohnuki, Toshihiko; Murakami, Takashi

    1995-01-01

    A series of hydrothermal experiments was performed to determine the effect of layer charge of starting materials on the smectite to illite reaction rate that might be applied to nuclear-waste repository design. The experiments were conducted on K-saturated fractions of Wyoming smectite (SWy-1) and Tsukinuno smectite (SKu-F) in a closed system at temperatures of 95, 150, 200, 250, 300 C for run durations of up to 477 days with a 1:20 mass ratio of solid to deionized water. The mean layer charge and tetrahedral charge of SKu-F are larger than those of SWy-1. The proportion of smectite layers in illite/smectite interstratified minerals rapidly decreases, and then slowly decreases with increase in reaction time; a plot of ln (100/% smectite) vs. time produces two distinct straight lines in all experiments. These lines are suggestive of two first-order kinetic processes with different rates for this reaction; the first process has a greater rate than the second one. An Arrhenius plot of the reaction rates for each process produces a folding and straight lines for the first and second processes, respectively, suggesting that there are at least two parallel processes in the first process, and a dominant process is different between high- and low-temperature reactions. The activation energies of the first and second processes determined from the plots are the same for the two starting materials, meaning that the reaction mechanisms for the two starting materials are the same. However, the rate of the first process is different between the two starting materials, although that of the second process is similar. The difference in the rate of the first process results possibly from the difference in the amount of layer charge between the two starting smectites

  11. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Higuchi, Jumpei, E-mail: higuchi@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Sato, Yoichi [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    NiFe epitaxial films are prepared on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  12. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    International Nuclear Information System (INIS)

    Higuchi, Jumpei; Ohtake, Mitsuru; Sato, Yoichi; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    NiFe epitaxial films are prepared on Cr(211) bcc and Cr(100) bcc underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211) bcc and Cr(100) bcc underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  13. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  14. Disposition scenarios and safeguardability of fissile materials under START Treaty

    International Nuclear Information System (INIS)

    Pillay, K.K.S.

    1993-01-01

    Under the Strategic Arms Reduction Treaty (START-I) signed in 1991 and the Lisbon Protocol of 1992, a large inventory of fissile materials will be removed from the weapons fuel cycles of the United States and the Former Soviet Union (FSU). The Lisbon Protocol calls for Ukraine, Kazakstan, and Byelarus to become nonnuclear members of the treaty and for Russia to assume the responsibility of the treaty as a nuclear weapons state. In addition, the START-II Treaty, which was signed in 1993 by the United States and Russia, further reduces deployed nuclear warheads and adds to the inventory of excess special nuclear materials (SNM). Because storage of in-tact warheads has the potential for a open-quotes breakout,close quotes it would be desirable to dismantle the warheads and properly dispose of the SNMs under appropriate safeguards to prevent their reentry into the weapons fuel cycle. The SNM recovered from dismantled warheads can be disposed of in several ways, and the final choices may be up to the country having the title to the SNM. Current plans are to store them indefinitely, leaving serious safeguards concerns. Recognizing that the underlying objective of these treaties is to prevent the fissile materials from reentering the weapons fuel cycle, it is necessary to establish a verifiable disposal scheme that includes safeguards requirements. This paper identifies some realistic scenarios for the disposal of SNM from the weapons fuel cycle and examines the safeguardability of those scenarios

  15. Epitaxial graphene electronic structure and transport

    International Nuclear Information System (INIS)

    De Heer, Walt A; Berger, Claire; Wu Xiaosong; Sprinkle, Mike; Hu Yike; Ruan Ming; First, Phillip N; Stroscio, Joseph A; Haddon, Robert; Piot, Benjamin; Faugeras, Clement; Potemski, Marek; Moon, Jeong-Sun

    2010-01-01

    Since its inception in 2001, the science and technology of epitaxial graphene on hexagonal silicon carbide has matured into a major international effort and is poised to become the first carbon electronics platform. A historical perspective is presented and the unique electronic properties of single and multilayered epitaxial graphenes on electronics grade silicon carbide are reviewed. Early results on transport and the field effect in Si-face grown graphene monolayers provided proof-of-principle demonstrations. Besides monolayer epitaxial graphene, attention is given to C-face grown multilayer graphene, which consists of electronically decoupled graphene sheets. Production, structure and electronic structure are reviewed. The electronic properties, interrogated using a wide variety of surface, electrical and optical probes, are discussed. An overview is given of recent developments of several device prototypes including resistance standards based on epitaxial graphene quantum Hall devices and new ultrahigh frequency analogue epitaxial graphene amplifiers.

  16. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  17. Epitaxial silicon semiconductor detectors, past developments, future prospects

    International Nuclear Information System (INIS)

    Gruhn, C.R.

    1976-01-01

    A review of the main physical characteristics of epitaxial silicon as it relates to detector development is presented. As examples of applications results are presented on (1) epitaxial silicon avalanche diodes (ESAD); signal-to-noise, non-linear aspects of the avalanche gain mechanism, gain-bandwidth product, (2) ultrathin epitaxial silicon surface barrier (ESSB) detectors, response to heavy ions, (3) an all-epitaxial silicon diode (ESD), response to heavy ions, charge transport and charge defect. Future prospects of epitaxial silicon as it relates to new detector designs are summarized

  18. Thin epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Stab, L.

    1989-01-01

    Manufacturing procedures of thin epitaxial surface barriers will be given. Some improvements have been obtained: larger areas, lower leakage currents and better resolutions. New planar epitaxial dE/dX detectors, made in a collaboration work with ENERTEC-INTERTECHNIQUE, and a new application of these thin planar diodes to EXAFS measurements, made in a collaboration work with LURE (CNRS,CEA,MEN) will also be reported

  19. Design of a braze alloy for fast epitaxial brazing of superalloys

    Science.gov (United States)

    Piegert, S.; Laux, B.; Rösier, J.

    2012-07-01

    For the repair of directionally solidified turbine components made of nickel-based superalloys, a new high-temperature brazing method has been developed. Utilising heterogeneous nucleation on the crack surface, the microstructure of the base material can be reproduced, i.e. single crystallinity can be maintained. In contrast to commonly used eutectic braze alloys, such as nickel-boron or nickel-silicon systems, the process is not diffusion controlled but works with a consolute binary base system. The currently applied epitaxial brazing methods rely on isothermal solidification diffusing the melting point depressants into the base material until their concentration is reduced so that the liquid braze solidifies. Contrary, the identified Ni-Mn consolute system enables a temperature driven epitaxial solidification resulting in substantially reduced process duration. The development of the braze alloys was assisted using the CALPHAD software Thermo-Calc. The solidification behaviour was estimated by kinetic calculations with realistic boundary conditions. Finally, the complete system, including braze alloy as well as substrate material, was modelled by means of DICTRA. Subsequently, the thermodynamic properties of the braze alloys were experimentally analysed by DSC measurements. For brazing experiments 300 μm wide parallel gaps were used. Complete epitaxial solidification, i.e. the absence of high-angle grain boundaries, could be achieved within brazing times being up to two orders of magnitude shorter compared to diffusion brazing processes. Theoretically and experimentally evaluated process windows reveal similar shapes. However, a distinct shift has to be stated which can be ascribed to the limited accuracy of the underlying thermodynamic databases.

  20. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  1. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  2. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  3. The physics of epitaxial graphene on SiC(0001)

    International Nuclear Information System (INIS)

    Kageshima, H; Hibino, H; Tanabe, S

    2012-01-01

    be key for the practical use of graphene as a thermoelectric material, which could be achieved with epitaxial graphene. All of these results reveal that epitaxial graphene is physically interesting. (paper)

  4. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  5. Magnetic state controllable critical temperature in epitaxial Ho/Nb bilayers

    Directory of Open Access Journals (Sweden)

    Yuanzhou Gu

    2014-04-01

    Full Text Available We study the magnetic properties of Ho thin films with different crystallinity (either epitaxial or non-epitaxial and investigate their proximity effects with Nb thin films. Magnetic measurements show that epitaxial Ho has large anisotropy in two different crystal directions in contrast to non-epitaxial Ho. Transport measurements show that the superconducting transition temperature (Tc of Nb thin films can be significantly suppressed at zero field by epitaxial Ho compared with non-epitaxial Ho. We also demonstrate a direct control over Tc by changing the magnetic states of the epitaxial Ho layer, and attribute the strong proximity effects to exchange interaction.

  6. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  7. Radical-source molecular beam epitaxy of ZnO-based heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Sadofiev, Sergey

    2009-10-27

    This work focuses on the development of the novel growth approaches for the fabrication of Group II-oxide materials in the form of epitaxial films and heterostructures. It is shown that molecular-beam epitaxial growth far from thermal equilibrium allows one to overcome the standard solubility limit and to alloy ZnO with MgO or CdO in strict wurtzite phase up to mole fractions of several 10 %. In this way, a band-gap range from 2.2 to 4.4 eV can be covered. A clear layer-by-layer growth mode controlled by oscillations in reflection high-energy electron diffraction makes it possible to fabricate atomically smooth heterointerfaces and well-defined quantum well structures exhibiting prominent band-gap related light emission in the whole composition range. On appropriately designed structures, laser action from the ultraviolet down to green wavelengths and up to room temperature is achieved. The properties and potential of the ''state-of-the-art'' materials are discussed in relation to the advantages for their applications in various optoelectronic devices. (orig.)

  8. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  9. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  10. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  11. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  12. Low-temperature, ultrahigh-vacuum tip-enhanced Raman spectroscopy combined with molecular beam epitaxy for in situ two-dimensional materials' studies

    Science.gov (United States)

    Sheng, Shaoxiang; Li, Wenbin; Gou, Jian; Cheng, Peng; Chen, Lan; Wu, Kehui

    2018-05-01

    Tip-enhanced Raman spectroscopy (TERS), which combines scanning probe microscopy with the Raman spectroscopy, is capable to access the local structure and chemical information simultaneously. However, the application of ambient TERS is limited by the unstable and poorly controllable experimental conditions. Here, we designed a high performance TERS system based on a low-temperature ultrahigh-vacuum scanning tunneling microscope (LT-UHV-STM) and combined with a molecular beam epitaxy (MBE) system. It can be used for growing two-dimensional (2D) materials and for in situ STM and TERS characterization. Using a 2D silicene sheet on the Ag(111) surface as a model system, we achieved an unprecedented 109 Raman single enhancement factor in combination with a TERS spatial resolution down to 0.5 nm. The results show that TERS combined with a MBE system can be a powerful tool to study low dimensional materials and surface science.

  13. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  14. Looking behind the scenes: Raman spectroscopy of top-gated epitaxial graphene through the substrate

    International Nuclear Information System (INIS)

    Fromm, F; Wehrfritz, P; Seyller, Th; Hundhausen, M

    2013-01-01

    Raman spectroscopy is frequently used to study the properties of epitaxial graphene grown on silicon carbide (SiC). In this work, we present a confocal micro-Raman study of epitaxial graphene on SiC(0001) in top-down geometry, i.e. in a geometry where both the primary laser light beam as well as the back-scattered light is guided through the SiC substrate. Compared to the conventional top-up configuration, in which confocal micro-Raman spectra are measured from the air side, we observe a significant intensity enhancement in top-down configuration, indicating that most of the Raman-scattered light is emitted into the SiC substrate. The intensity enhancement is explained in terms of dipole radiation at a dielectric surface. The new technique opens the possibility to probe graphene layers in devices where the graphene layer is covered by non-transparent materials. We demonstrate this by measuring gate-modulated Raman spectra of a top-gated epitaxial graphene field effect device. Moreover, we show that these measurements enable us to disentangle the effects of strain and charge on the positions of the prominent Raman lines in epitaxial graphene on SiC. (paper)

  15. Catastrophic degradation of the interface of epitaxial silicon carbide on silicon at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)

    2016-07-04

    Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.

  16. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Materials issues in silicon integrated circuit processing

    International Nuclear Information System (INIS)

    Wittmer, M.; Stimmell, J.; Strathman, M.

    1986-01-01

    The symposium on ''Materials Issues in Integrated Circuit Processing'' sought to bring together all of the materials issued pertinent to modern integrated circuit processing. The inherent properties of the materials are becoming an important concern in integrated circuit manufacturing and accordingly research in materials science is vital for the successful implementation of modern integrated circuit technology. The session on Silicon Materials Science revealed the advanced stage of knowledge which topics such as point defects, intrinsic and extrinsic gettering and diffusion kinetics have achieved. Adaption of this knowledge to specific integrated circuit processing technologies is beginning to be addressed. The session on Epitaxy included invited papers on epitaxial insulators and IR detectors. Heteroepitaxy on silicon is receiving great attention and the results presented in this session suggest that 3-d integrated structures are an increasingly realistic possibility. Progress in low temperature silicon epitaxy and epitaxy of thin films with abrupt interfaces was also reported. Diffusion and Ion Implantation were well presented. Regrowth of implant-damaged layers and the nature of the defects which remain after regrowth were discussed in no less than seven papers. Substantial progress was also reported in the understanding of amorphising boron implants and the use of gallium implants for the formation of shallow p/sup +/ -layers

  18. Strain quantification in epitaxial thin films

    International Nuclear Information System (INIS)

    Cushley, M

    2008-01-01

    Strain arising in epitaxial thin films can be beneficial in some cases but devastating in others. By altering the lattice parameters, strain may give a thin film properties hitherto unseen in the bulk material. On the other hand, heavily strained systems are prone to develop lattice defects in order to relieve the strain, which can cause device failure or, at least, a decrease in functionality. Using convergent beam electron diffraction (CBED) and high-resolution transmission electron microscopy (HRTEM), it is possible to determine local strains within a material. By comparing the results from CBED and HRTEM experiments, it is possible to gain a complete view of a material, including the strain and any lattice defects present. As well as looking at how the two experimental techniques differ from each other, I will also look at how results from different image analysis algorithms compare. Strain in Si/SiGe samples and BST/SRO/MgO capacitor structures will be discussed.

  19. Pump-probe surface photovoltage spectroscopy measurements on semiconductor epitaxial layers

    International Nuclear Information System (INIS)

    Jana, Dipankar; Porwal, S.; Sharma, T. K.; Oak, S. M.; Kumar, Shailendra

    2014-01-01

    Pump-probe Surface Photovoltage Spectroscopy (SPS) measurements are performed on semiconductor epitaxial layers. Here, an additional sub-bandgap cw pump laser beam is used in a conventional chopped light geometry SPS setup under the pump-probe configuration. The main role of pump laser beam is to saturate the sub-bandgap localized states whose contribution otherwise swamp the information related to the bandgap of material. It also affects the magnitude of Dember voltage in case of semi-insulating (SI) semiconductor substrates. Pump-probe SPS technique enables an accurate determination of the bandgap of semiconductor epitaxial layers even under the strong influence of localized sub-bandgap states. The pump beam is found to be very effective in suppressing the effect of surface/interface and bulk trap states. The overall magnitude of SPV signal is decided by the dependence of charge separation mechanisms on the intensity of the pump beam. On the contrary, an above bandgap cw pump laser can be used to distinguish the signatures of sub-bandgap states by suppressing the band edge related feature. Usefulness of the pump-probe SPS technique is established by unambiguously determining the bandgap of p-GaAs epitaxial layers grown on SI-GaAs substrates, SI-InP wafers, and p-GaN epilayers grown on Sapphire substrates

  20. Reversal of lattice, electronic structure, and magnetism in epitaxial SrCoOx thin films

    Science.gov (United States)

    Jeen, H.; Choi, W. S.; Lee, J. H.; Cooper, V. R.; Lee, H. N.; Seo, S. S. A.; Rabe, K. M.

    2014-03-01

    SrCoOx (x = 2.5 - 3.0, SCO) is an ideal material to study the role of oxygen content for electronic structure and magnetism, since SCO has two distinct topotactic phases: the antiferromagnetic insulating brownmillerite SrCoO2.5 and the ferromagnetic metallic perovskite SrCoO3. In this presentation, we report direct observation of a reversible lattice and electronic structure evolution in SrCoOx epitaxial thin films as well as different magnetic and electronic ground states between the topotactic phases.[2] By magnetization measurements, optical absorption, and transport measurements drastically different electronic and magnetic ground states are found in the epitaxially grown SrCoO2.5 and SrCoO3 thin films by pulsed laser epitaxy. First-principles calculations confirm substantial, which originate from the modification in the Co valence states and crystallographic structures. By real-time spectroscopic ellipsometry, the two electronically and magnetically different phases can be reversibly changed by changing the ambient pressure at greatly reduced temperatures. Our finding provides an important pathway to understanding the novel oxygen-content-dependent phase transition uniquely found in multivalent transition metal oxides. The work was supported by the U.S. Department of Energy, Basic Energy Sciences, Materials Sciences and Engineering Division.

  1. Epitaxy of advanced nanowire quantum devices

    Science.gov (United States)

    Gazibegovic, Sasa; Car, Diana; Zhang, Hao; Balk, Stijn C.; Logan, John A.; de Moor, Michiel W. A.; Cassidy, Maja C.; Schmits, Rudi; Xu, Di; Wang, Guanzhong; Krogstrup, Peter; Op Het Veld, Roy L. M.; Zuo, Kun; Vos, Yoram; Shen, Jie; Bouman, Daniël; Shojaei, Borzoyeh; Pennachio, Daniel; Lee, Joon Sue; van Veldhoven, Petrus J.; Koelling, Sebastian; Verheijen, Marcel A.; Kouwenhoven, Leo P.; Palmstrøm, Chris J.; Bakkers, Erik P. A. M.

    2017-08-01

    Semiconductor nanowires are ideal for realizing various low-dimensional quantum devices. In particular, topological phases of matter hosting non-Abelian quasiparticles (such as anyons) can emerge when a semiconductor nanowire with strong spin-orbit coupling is brought into contact with a superconductor. To exploit the potential of non-Abelian anyons—which are key elements of topological quantum computing—fully, they need to be exchanged in a well-controlled braiding operation. Essential hardware for braiding is a network of crystalline nanowires coupled to superconducting islands. Here we demonstrate a technique for generic bottom-up synthesis of complex quantum devices with a special focus on nanowire networks with a predefined number of superconducting islands. Structural analysis confirms the high crystalline quality of the nanowire junctions, as well as an epitaxial superconductor-semiconductor interface. Quantum transport measurements of nanowire ‘hashtags’ reveal Aharonov-Bohm and weak-antilocalization effects, indicating a phase-coherent system with strong spin-orbit coupling. In addition, a proximity-induced hard superconducting gap (with vanishing sub-gap conductance) is demonstrated in these hybrid superconductor-semiconductor nanowires, highlighting the successful materials development necessary for a first braiding experiment. Our approach opens up new avenues for the realization of epitaxial three-dimensional quantum architectures which have the potential to become key components of various quantum devices.

  2. Fine defective structure of silicon carbide powders obtained from different starting materials

    Directory of Open Access Journals (Sweden)

    Tomila T.V.

    2006-01-01

    Full Text Available The fine defective structure of silicon carbide powders obtained from silicic acid-saccharose, aerosil-saccharose, aerosil-carbon black, and hydrated cellulose-silicic acid gel systems was investigated. The relation between IR absorption characteristics and the microstructure of SiC particles obtained from different starting materials was established. The numerical relationship between the lattice parameter a and the frequency νTO is presented.

  3. Raman measurements of epitaxial YBa2Cu3O7-δ films

    International Nuclear Information System (INIS)

    Burns, G.; Dacol, F.H.; Gield, C.A.; Gupta, A.; Holtzberg, F.; Koren, G.; Laibowitz, R.; McGuire, T.R.; Segmuller, A.P.; Worthington, T.K.

    1990-01-01

    The authors report Raman measurements on good (high J c ) epitaxial YBa 2 Cu 3 O -δ (Y123) films (δ ∼ 0). The results are compared to those from oriented Y123 single crystals. The comparisons are made for superconducting δ ∼ 0 and semiconducting δ ∼ 1 materials

  4. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  5. Epitaxial-graphene/graphene-oxide junction: an essential step towards epitaxial graphene electronics.

    Science.gov (United States)

    Wu, Xiaosong; Sprinkle, Mike; Li, Xuebin; Ming, Fan; Berger, Claire; de Heer, Walt A

    2008-07-11

    Graphene-oxide (GO) flakes have been deposited to bridge the gap between two epitaxial-graphene electrodes to produce all-graphene devices. Electrical measurements indicate the presence of Schottky barriers at the graphene/graphene-oxide junctions, as a consequence of the band gap in GO. The barrier height is found to be about 0.7 eV, and is reduced after annealing at 180 degrees C, implying that the gap can be tuned by changing the degree of oxidation. A lower limit of the GO mobility was found to be 850 cm2/V s, rivaling silicon. In situ local oxidation of patterned epitaxial graphene has been achieved.

  6. Molecular beam epitaxy growth and characterization of two-six materials for visible semiconductor lasers

    Science.gov (United States)

    Zeng, Linfei

    This thesis proposes the molecular beam epitaxy (MBE) growth and characterization of a new Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se based semiconductor materials system on InP substrates for visible light emitting diodes (LED) and lasers. The growth conditions for lattice-matched Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se layers with the desired bandgap have been established and optimized. A chemical etching technique to measure the defect density of Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se materials has been established. The accuracy of this method for revealing stacking faults and dislocations was verified by plan-view TEM. Using the techniques such as III-V buffer layer, Zn-irradiation, low-temperature growth, ZnCdSe interfacial layer and growth interruption to improve the quality of the interface of III-V and II-VI, the material quality of Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se has been improved dramatically. Defect density has been reduced from 10sp{10}\\ cmsp{-2} to {˜}5×10sp4\\ cmsp{-2}. The properties of this material system such as the quality and strain state in the epilayer, the dependence of bandgap on temperature, and the band offset have been studied by using double crystal x-ray diffraction, photoluminescence and capacitance voltage measurements. The ZnCdSe/ZnCdMgSe based quantum well (QW) structures have been grown and studied. Optically pumped lasing with emission range from red to blue has been obtained from ZnCdSe/ZnCdMgSe based separate-confinement single QW laser structures. The results demonstrate the potential for these materials as integrated full color display devices. Preliminary studies of the degradation behavior of ZnCdSe/ZnCdMgSe QW were performed. No dark line defects (DLDs) were observed during the degradation. A very strong room temperature differential negative resistance behavior was observed from Al/Znsb{0.61}Cdsb{0.39}Se/nsp+-InP devices, which is useful in millimeter-wave applications. We also found that these devices can be set to either in highly conductive or

  7. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  8. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  9. Optical effects induced by epitaxial tension in lead titanate

    Czech Academy of Sciences Publication Activity Database

    Dejneka, Alexandr; Chvostová, Dagmar; Pacherová, Oliva; Kocourek, Tomáš; Jelínek, Miroslav; Tyunina, Marina

    2018-01-01

    Roč. 112, č. 3 (2018), s. 1-5, č. článku 031111. ISSN 0003-6951 R&D Projects: GA ČR GA15-13778S; GA ČR GA15-15123S Institutional support: RVO:68378271 Keywords : epitaxy * inorganic compounds * optical properties * ferroelectric materials * optical metrology Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics , supercond.) Impact factor: 3.411, year: 2016

  10. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  11. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  12. Structure and magnetism in novel group IV element-based magnetic materials

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, Frank [Univ. of North Carolina, Chapel Hill, NC (United States)

    2013-08-14

    The project is to investigate structure, magnetism and spin dependent states of novel group IV element-based magnetic thin films and heterostructures as a function of composition and epitaxial constraints. The materials systems of interest are Si-compatible epitaxial films and heterostructures of Si/Ge-based magnetic ternary alloys grown by non-equilibrium molecular beam epitaxy (MBE) techniques, specifically doped magnetic semiconductors (DMS) and half-metallic Heusler alloys. Systematic structural, chemical, magnetic, and electrical measurements are carried out, using x-ray microbeam techniques, magnetotunneling spectroscopy and microscopy, and magnetotransport. The work is aimed at elucidating the nature and interplay between structure, chemical order, magnetism, and spin-dependent states in these novel materials, at developing materials and techniques to realize and control fully spin polarized states, and at exploring fundamental processes that stabilize the epitaxial magnetic nanostructures and control the electronic and magnetic states in these complex materials. Combinatorial approach provides the means for the systematic studies, and the complex nature of the work necessitates this approach.

  13. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  14. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  15. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  16. Interface relaxation and band gap shift in epitaxial layers

    Directory of Open Access Journals (Sweden)

    Ziming Zhu

    2012-12-01

    Full Text Available Although it is well known that the interface relaxation plays the crucial role for the electronic properties in semiconductor epitaxial layers, there is lack of a clear definition of relationship between interfacial bond-energy variation and interface bond-nature-factor (IBNF in epitaxial layers before and after relaxation. Here we establish an analytical method to shed light on the relationship between the IBNF and the bond-energy change, as well as the relation with band offset in epitaxial layers from the perspective of atomic-bond-relaxation consideration and continuum mechanics. The theoretical predictions are consistent with the available evidences, which provide an atomistic understanding on underlying mechanism of interface effect in epitaxial nanostructures. Thus, it will be helpful for opening up to tailor physical-chemical properties of the epitaxial nanostructures to the desired specifications.

  17. Microstructures and Microhardness Properties of CMSX-4® Additively Fabricated Through Scanning Laser Epitaxy (SLE)

    Science.gov (United States)

    Basak, Amrita; Holenarasipura Raghu, Shashank; Das, Suman

    2017-12-01

    Epitaxial CMSX-4® deposition is achieved on CMSX-4® substrates through the scanning laser epitaxy (SLE) process. A thorough analysis is performed using various advanced material characterization techniques, namely high-resolution optical microscopy, scanning electron microscopy, energy-dispersive x-ray spectroscopy, x-ray diffraction, and Vickers microhardness measurements, to characterize and compare the quality of the SLE-fabricated CMSX-4® deposits to the CMSX-4® substrates. The results show that the CMSX-4® deposits have smaller primary dendritic arm spacing, finer γ/ γ' size, weaker elemental segregation, and higher microhardness compared to the investment cast CMSX-4® substrates. The results presented here demonstrate that CMSX-4® is an attractive material for laser-based AM processing and, therefore, can be used in the fabrication of gas turbine hot-section components through AM processing.

  18. Effect of Start-Up Strategies and Electrode Materials on Carbon Dioxide Reduction on Biocathodes.

    Science.gov (United States)

    Saheb-Alam, Soroush; Singh, Abhijeet; Hermansson, Malte; Persson, Frank; Schnürer, Anna; Wilén, Britt-Marie; Modin, Oskar

    2018-02-15

    The enrichment of CO 2 -reducing microbial biocathodes is challenging. Previous research has shown that a promising approach could be to first enrich bioanodes and then lower the potential so the electrodes are converted into biocathodes. However, the effect of such a transition on the microbial community on the electrode has not been studied. The goal of this study was thus to compare the start-up of biocathodes from preenriched anodes with direct start-up from bare electrodes and to investigate changes in microbial community composition. The effect of three electrode materials on the long-term performance of the biocathodes was also investigated. In this study, preenrichment of acetate-oxidizing bioanodes did not facilitate the start-up of biocathodes. It took about 170 days for the preenriched electrodes to generate substantial cathodic current, compared to 83 days for the bare electrodes. Graphite foil and carbon felt cathodes produced higher current at the beginning of the experiment than did graphite rods. However, all electrodes produced similar current densities at the end of the over 1-year-long study (2.5 A/m 2 ). Methane was the only product detected during operation of the biocathodes. Acetate was the only product detected after inhibition of the methanogens. Microbial community analysis showed that Geobacter sp. dominated the bioanodes. On the biocathodes, the Geobacter sp. was succeeded by Methanobacterium spp., which made up more than 80% of the population. After inhibition of the methanogens, Acetobacterium sp. became dominant on the electrodes (40% relative abundance). The results suggested that bioelectrochemically generated H 2 acted as an electron donor for CO 2 reduction. IMPORTANCE In microbial electrochemical systems, living microorganisms function as catalysts for reactions on the anode and/or the cathode. There is a variety of potential applications, ranging from wastewater treatment and biogas generation to production of chemicals. Systems

  19. Shaping metal nanocrystals through epitaxial seeded growth

    Energy Technology Data Exchange (ETDEWEB)

    Habas, Susan E.; Lee, Hyunjoo; Radmilovic, Velimir; Somorjai,Gabor A.; Yang, Peidong

    2008-02-17

    Morphological control of nanocrystals has becomeincreasingly important, as many of their physical and chemical propertiesare highly shape-dependent. Nanocrystal shape control for both single andmultiple material systems, however, remains fairly empirical andchallenging. New methods need to be explored for the rational syntheticdesign of heterostructures with controlled morphology. Overgrowth of adifferent material on well-faceted seeds, for example, allows for the useof the defined seed morphology to control nucleation and growth of thesecondary structure. Here, we have used highly faceted cubic Pt seeds todirect the epitaxial overgrowth of a secondary metal. We demonstrate thisconcept with lattice matched Pd to produce conformal shape-controlledcore-shell particles, and then extend it to lattice mismatched Au to giveanisotropic growth. Seeding with faceted nanocrystals may havesignificant potential towards the development of shape-controlledheterostructures with defined interfaces.

  20. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  1. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  2. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  3. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  4. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  5. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  6. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  7. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    Science.gov (United States)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  8. Multilayer epitaxial graphene grown on the (SiC 000 1-bar ) surface; structure and electronic properties

    International Nuclear Information System (INIS)

    Sprinkle, M; Hicks, J; Tinkey, H; Clark, M C; Hass, J; Conrad, E H; Tejeda, A; Taleb-Ibrahimi, A; Le Fevre, P; Bertran, F; Soukiassian, P; Martinotti, D

    2010-01-01

    We review the progress towards developing epitaxial graphene as a material for carbon electronics. In particular, we discuss improvements in epitaxial graphene growth, interface control and the understanding of multilayer epitaxial graphene's (MEG's) electronic properties. Although graphene grown on both polar faces of SiC will be discussed, our discussions will focus on graphene grown on the (0 0 0 1-bar ) C-face of SiC. The unique properties of C-face MEG have become apparent. These films behave electronically like a stack of nearly independent graphene sheets rather than a thin Bernal stacked graphite sample. The origins of multilayer graphene's electronic behaviour are its unique highly ordered stacking of non-Bernal rotated graphene planes. While these rotations do not significantly affect the inter-layer interactions, they do break the stacking symmetry of graphite. It is this broken symmetry that leads to each sheet behaving like isolated graphene planes.

  9. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  10. Low levels of extraneous agents in vaccine starting materials.

    Science.gov (United States)

    Rouby, Jean-Claude

    2010-05-01

    There are different ways to define the concept of 'low levels' of extraneous agents in vaccines and vaccine starting materials, based on the amount of extraneous agents as such, the sensitivity of the detection method and the probability approach linked to the sampling method. None of these approaches, however, is entirely satisfactory--a general definition of a 'low level' cannot be provided. Since the main point is the safety of medicinal products, the risk analysis approach to 'low level' contaminations can be considered as a way to overcome the above mentioned deadlock. But as too many variables impact the risk analysis, it cannot be properly performed either. In practice, seeds are tested to show freedom from extraneous agents, the other raw materials are inactivated through a validated method. However, there are technical and regulatory limits in both cases, and neither testing nor inactivation entirely guarantees freedom from extraneous agents. Despite this unsatisfactory situation, it should be acknowledged that no truly significant disease outbreak linked to an extraneous agent has been identified until today. Regulatory actions are mainly undertaken when a sanitary problem occurs. In the end, companies remain responsible for their products. 2010 The International Association for Biologicals. Published by Elsevier Ltd. All rights reserved.

  11. Epitaxial Bi2 FeCrO6 Multiferroic Thin Film as a New Visible Light Absorbing Photocathode Material.

    Science.gov (United States)

    Li, Shun; AlOtaibi, Bandar; Huang, Wei; Mi, Zetian; Serpone, Nick; Nechache, Riad; Rosei, Federico

    2015-08-26

    Ferroelectric materials have been studied increasingly for solar energy conversion technologies due to the efficient charge separation driven by the polarization induced internal electric field. However, their insufficient conversion efficiency is still a major challenge. Here, a photocathode material of epitaxial double perovskite Bi(2) FeCrO(6) multiferroic thin film is reported with a suitable conduction band position and small bandgap (1.9-2.1 eV), for visible-light-driven reduction of water to hydrogen. Photoelectrochemical measurements show that the highest photocurrent density up to -1.02 mA cm(-2) at a potential of -0.97 V versus reversible hydrogen electrode is obtained in p-type Bi(2) FeCrO(6) thin film photocathode grown on SrTiO(3) substrate under AM 1.5G simulated sunlight. In addition, a twofold enhancement of photocurrent density is obtained after negatively poling the Bi(2) FeCrO(6) thin film, as a result of modulation of the band structure by suitable control of the internal electric field gradient originating from the ferroelectric polarization in the Bi(2) FeCrO(6) films. The findings validate the use of multiferroic Bi(2) FeCrO(6) thin films as photocathode materials, and also prove that the manipulation of internal fields through polarization in ferroelectric materials is a promising strategy for the design of improved photoelectrodes and smart devices for solar energy conversion. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  13. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  14. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  15. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  16. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  17. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  18. Tunable band gap in epitaxial ferroelectric Ho(Mn,Ga)O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Daesu; Noh, Tae Won, E-mail: twnoh@snu.ac.kr [Center for Correlated Electron Systems, Institute for Basic Science, Seoul 151-742 (Korea, Republic of); Department of Physics and Astronomy, Seoul National University, Seoul 151-742 (Korea, Republic of); Choi, Woo Seok [Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2016-05-09

    Ferroelectrics have recently attracted attention as a new class of materials for use in optical and photovoltaic devices. We studied the electronic properties in epitaxially stabilized ferroelectric hexagonal Ho(Mn{sub 1−x}Ga{sub x})O{sub 3} (x = 0, 0.33, 0.67, and 1) thin films. Our films exhibited systematic changes in electronic structures, such as bandgap and optical transitions, according to the Ga concentration. In particular, the bandgap increased systematically from 1.4 to 3.2 eV, including the visible light region, with increasing Ga concentration from x = 0 to 1. These systematic changes, attributed to lattice parameter variations in epitaxial Ho(Mn{sub 1−x}Ga{sub x})O{sub 3} films, should prove useful for the design of optoelectronic devices based on ferroelectrics.

  19. For progress in natural science: Materials international investigations of structural phase transformation and THz properties across metal–insulator transition in VO2/Al2O3 epitaxial films

    Directory of Open Access Journals (Sweden)

    Mengmeng Yang

    2015-10-01

    Full Text Available Vanadium dioxide (VO2 epitaxial thin films on (0001-oriented Al2O3 substrates were prepared using radio frequency (RF magnetron sputtering techniques. To study the metal-insulator-transition (MIT mechanism and extend the applications of VO2 epitaxial films at terahertz (THz band, temperature-dependent X-ray diffraction (XRD and THz time domain spectroscopy of the VO2 epitaxial films were performed. Both the lattice constants and THz transmission exhibited a similar and sharp transition that was similar to that observed for the electrical resistance. Consequently, the MIT of the VO2/Al2O3 epitaxial films should be co-triggered by the structural phase transition and electronic transition. Moreover, the very large resistance change (on the order of ~103 and THz response (with a transmission modulation ratio of ~87% in the VO2/Al2O3 epitaxial heterostructures are promising for electrical switch and electro-optical device applications.

  20. Investigation of deep level defects in epitaxial semiconducting zinc sulpho-selenide. Progress report, 15 June 1979-14 June 1980

    International Nuclear Information System (INIS)

    Wessels, B.W.

    1980-01-01

    In an effort to understand the defect structure of the ternary II-VI compound zinc sulpho-selenide, the binary compound zinc selenide was investigated. Thin single crystalline films of zinc selenide were heteroepitaxially grown on (100) GaAs. Epitaxial layers from 5 to 50 microns thick could be readily grown using a chemical vapor transport technique. The layers had an excellent morphology with few stacking faults and hillocks. Detailed epitaxial growth kinetics were examined as a function of temperature and reactant concentration. It was found that hydrogen flow rate, source and substrate temperature affect the growth rate of the epitaxial films. Au - ZnSe Schottky barrier diodes and ZnSe - GaAs n-p heterojunctions were prepared from the epitaxial layers. Current-voltage characteristics were measured on both types of diodes. From capacitance-voltage measurements the residual doping density of the epitaxial layers were found to be of the order of 10 14 - 10 15 cm -3 . Finally, we have begun to measure the deep level spectrum of both the Schottky barrier diodes and the heterojunctions. Deep level transient spectroscopy appears to be well suited for determining trapping states in ZnSe provided the material has a low enough resistivity

  1. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A.

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  2. High sensitive quasi freestanding epitaxial graphene gas sensor on 6H-SiC

    NARCIS (Netherlands)

    Iezhokin, I.; Offermans, P.; Brongersma, S.H.; Giesbers, A.J.M.; Flipse, C.F.J.

    2013-01-01

    We have measured the electrical response to NO2, N2, NH3, and CO for epitaxial graphene and quasi freestanding epitaxial graphene on 6H-SiC substrates. Quasi freestanding epitaxial graphene shows a 6 fold increase in NO2 sensitivity compared to epitaxial graphene. Both samples show a sensitivity

  3. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  4. Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance Shallow Levels Characterization in Epitaxial GaAs by Acousto-Optic Reflectance

    Directory of Open Access Journals (Sweden)

    O. G. Ibarra-Manzano

    2012-02-01

    Full Text Available Optical spectra of light reflection are detected under an influence of ultrasonic wave (UWon a GaAs wafer. The differential spectrum is calculated as a difference between those taken under UW and without that influence on a sample. This acousto-optic differential reflectance(AODR spectrum contains some bands that represent the energetic levels of the shallow centers in a sample. A physical basis of this technique is related to a perturbation of local states by UW. Here, a method is developed for characterization of local states at the surfaces and interfaces in crystals and low-dimensional epitaxial structures based on microelectronics materials. A theoretical model is presented to explain AODR spectra. Also, experiments using epitaxial GaAs structures doped by Te were made. Finally, theoretical and experimental results show that acousto-optic reflectance is an effective tool for characterization of shallow trapping centers in epitaxial semiconductor structures.En este trabajo, utilizamos el espectro de la luz reflejada en una muestra de Arsenuro de Galio (GaAs bajo la influencia de una onda ultrasónica. El diferencial espectral es calculado como una diferencia entre el espectro del material obtenido bajo la influencia del ultrasonido y aquél obtenido sin dicha influencia. Este diferencial de reflectancia espectral acusto-óptico (AODR contiene algunas bandas que representan los niveles energéticos de los centros en la superficie de la muestra. Esta técnica está basada en la perturbación de los estados locales generada por el ultrasonido. Particularmente, este trabajo presenta un método para caracterizar los estados locales en la superficie y las interfaces en los cristales, así como estructuras epiteliales de baja dimensión basadas en materiales semiconductores. Para ello, se presenta un modelo teórico para explicar dicho espectro de reflectancia diferencial (AODR. También se realizaron experimentos con estructuras de GaAs epitelial

  5. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  6. The Interfacial Thermal Conductance of Epitaxial Metal-Semiconductor Interfaces

    Science.gov (United States)

    Ye, Ning

    Understanding heat transport at nanometer and sub-nanometer lengthscales is critical to solving a wide range of technological challenges related to thermal management and energy conversion. In particular, finite Interfacial Thermal Conductance (ITC) often dominates transport whenever multiple interfaces are closely spaced together or when heat originates from sources that are highly confined by interfaces. Examples of the former include superlattices, thin films, quantum cascade lasers, and high density nanocomposites. Examples of the latter include FinFET transistors, phase-change memory, and the plasmonic transducer of a heat-assisted magnetic recording head. An understanding of the physics of such interfaces is still lacking, in part because experimental investigations to-date have not bothered to carefully control the structure of interfaces studied, and also because the most advanced theories have not been compared to the most robust experimental data. This thesis aims to resolve this by investigating ITC between a range of clean and structurally well-characterized metal-semiconductor interfaces using the Time-Domain Thermoreflectance (TDTR) experimental technique, and by providing theoretical/computational comparisons to the experimental data where possible. By studying the interfaces between a variety of materials systems, each with unique aspects to their tunability, I have been able to answer a number of outstanding questions regarding the importance of interfacial quality (epitaxial/non-epitaxial interfaces), semiconductor doping, matching of acoustic and optical phonon band structure, and the role of phonon transport mechanisms apart from direct elastic transmission on ITC. In particular, we are able to comment on the suitability of the diffuse mismatch model (DMM) to describe the transport across epitaxial interfaces. To accomplish this goal, I studied interfacial thermal transport across CoSi2, TiSi2, NiSi and PtSi - Si(100) and Si(111), (silicides

  7. Effect of the energy of bombarding electrons on the conductivity of n-4H-SiC (CVD) epitaxial layers

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovski, V. V., E-mail: kozlovski@physics.spbstu.ru [Peter the Great St. Petersburg State Polytechnic University (Russian Federation); Lebedev, A. A.; Strel’chuk, A. M.; Davidovskaya, K. S. [Ioffe Physical–Technical Institute (Russian Federation); Vasil’ev, A. E. [Peter the Great St. Petersburg State Polytechnic University (Russian Federation); Makarenko, L. F. [Belarusian State University (Belarus)

    2017-03-15

    The electrical characteristics of epitaxial layers of n-4H-SiC (CVD) irradiated with 0.9 and 3.5MeV electrons are studied. It is shown that the donor removal rate becomes nearly four times higher as the energy of impinging electrons increases by a factor of 4, although the formation cross section of primary radiation defects (Frenkel pairs in the carbon sublattice) responsible for conductivity compensation of the material is almost energy independent in this range. It is assumed that the reason for the observed differences is the influence exerted by primary knocked-out atoms. First, cascade processes start to manifest themselves with increasing energy of primary knocked-out atoms. Second, the average distance between genetically related Frenkel pairs grows, and, as a consequence, the fraction of defects that do not recombine under irradiation becomes larger. The recombination radius of Frenkel pairs in the carbon sublattice is estimated and the possible charge state of the recombining components is assessed.

  8. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  9. Artificially structured materials

    International Nuclear Information System (INIS)

    Cho, A.Y.

    1988-01-01

    Recent developments in crystal growth methods such as molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD) allow us to artifically structure new materials on an atomic scale. These structures may have electrical or optical properties that cannot be obtained in bulk crystals. There has been a dramatic increase in the study of layered structures during the past decade which has led to the discovery of many unexpected physical phenomena and opened a completely new branch of device physics. Since the advanced crystal growth techniques can tailor the compositions and doping profiles of the material to atomic scales, it pushes the frontier of devices to the ultimate imagination of device physicists and engineers. It is likely that for the next century the new generation of devices will rely heavily on artifically structured materials. This article will be limited to a discussion of recent developments in the area of semiconductor thin epitaxial films which may have technological impact. 21 refs., 12 figs

  10. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  11. Efficient n-type doping of CdTe epitaxial layers grown by photo-assisted molecular beam epitaxy with the use of chlorine

    Energy Technology Data Exchange (ETDEWEB)

    Hommel, D.; Scholl, S.; Kuhn, T.A.; Ossau, W.; Waag, A.; Landwehr, G. (Univ. Wuerzburg, Physikalisches Inst. (Germany)); Bilger, G. (Univ. Stuttgart, Inst. fuer Physikalische Elektronik (Germany))

    1993-01-30

    Chlorine has been used successfully for the first time for n-type doping of CdTe epitaxial layers (epilayers) grown by photo-assisted molecular beam epitaxy. Similar to n-type doping of ZnSe layers, ZnCl[sub 2] has been used as source material. The free-carrier concentration can be varied over more than three orders of magnitude by changing the ZnCl[sub 2] oven temperature. Peak mobilities are 4700 cm[sup 2] V[sup -1] s[sup -1] for an electron concentration of 2x10[sup 16] cm[sup -3] and 525 cm[sup 2] V[sup -1] s[sup -1] for 2x10[sup 18] cm[sup -3]. The electrical transport data obtained by Van der Pauw configuration and Hall structure measurements are consistent with each other, indicating a good uniformity of the epilayers. In photoluminescence the donor-bound-exciton emission dominates for all chlorine concentrations. This contasts significantly with results obtained for indium doping, commonly used for obtaining n-type CdTe epilayers. The superiority of chlorine over indium doping and the influence of growth parameters on the behaviour of CdTe:Cl layers will be discussed on the basis of transport, luminescence, secondary ion mass spectroscopy and X-ray photoelectron spectroscopy data. (orig.).

  12. Squid measurement of the Verwey transition on epitaxial (1 0 0) magnetite thin films

    International Nuclear Information System (INIS)

    Dediu, V.; Arisi, E.; Bergenti, I.; Riminucci, A.; Solzi, M.; Pernechele, C.; Natali, M.

    2007-01-01

    We report results on epitaxial magnetite (Fe 3 O 4 ) thin films grown by electron beam ablation on (1 0 0) MgAl 2 O 4 substrates. At 120 K magnetite undergoes a structural and electronic transition, the so-called Verwey transition, at which magnetic and conducting properties of the material change. We observed the Verwey transition on epitaxial films with a thickness of 50 nm by comparing zero-field cooling (ZFC) and field cooling (FC) curves measured with a superconducting quantum interference device (SQUID) magnetometer. Observation of the Verwey transition by SQUID measurements in the films is sign of their high crystalline quality. Room temperature ferromagnetism has also been found by magneto-optical Kerr rotation (MOKE) and confirmed by SQUID measurements, with a hysteresis loop showing a coercive field of hundreds of Oe

  13. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  14. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  15. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  16. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  17. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  18. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  19. Properties of a radiation-induced charge multiplication region in epitaxial silicon diodes

    CERN Document Server

    Lange, Jörn; Fretwurst, Eckhart; Klanner, Robert; Lindström, Gunnar

    2010-01-01

    Charge multiplication (CM) in p$^+$n epitaxial silicon pad diodes of 75, 100 and 150 $\\upmu$m thickness at high voltages after proton irradiation with 1 MeV neutron equivalent fluences in the order of $10^{16}$ cm$^{-2}$ was studied as an option to overcome the strong trapping of charge carriers in the innermost tracking region of future Super-LHC detectors. Charge collection efficiency (CCE) measurements using the Transient Current Technique (TCT) with radiation of different penetration (670, 830, 1060 nm laser light and $\\alpha$-particles with optional absorbers) were used to locate the CM region close to the p$^+$-implantation. The dependence of CM on material, thickness of the epitaxial layer, annealing and temperature was studied. The collected charge in the CM regime was found to be proportional to the deposited charge, uniform over the diode area and stable over a period of several days. Randomly occurring micro discharges at high voltages turned out to be the largest challenge for operation of the dio...

  20. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  1. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  2. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  3. Low Cost, Epitaxial Growth of II-VI Materials for Multijunction Photovoltaic Cells

    Energy Technology Data Exchange (ETDEWEB)

    Hardin, Brian E. [PLANT PV, Inc., Oakland, CA (United States); Peters, Craig H. [PLANT PV, Inc., Oakland, CA (United States)

    2014-04-30

    Multijunction solar cells have theoretical power conversion efficiencies in excess of 29% under one sun illumination and could become a highly disruptive technology if fabricated using low cost processing techniques to epitaxially grow defect tolerant, thin films on silicon. The PLANT PV/Molecular Foundry team studied the feasibility of using cadmium selenide (CdSe) as the wide band-gap, top cell and Si as the bottom cell in monolithically integrated tandem architecture. The greatest challenge in developing tandem solar cells is depositing wide band gap semiconductors that are both highly doped and have minority carrier lifetimes greater than 1 ns. The proposed research was to determine whether it is possible to rapidly grow CdSe films with sufficient minority carrier lifetimes and doping levels required to produce an open-circuit voltage (Voc) greater than 1.1V using close-space sublimation (CSS).

  4. Preparation and structural characterization of FeCo epitaxial thin films on insulating single-crystal substrates

    International Nuclear Information System (INIS)

    Nishiyama, Tsutomu; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    FeCo epitaxial films were prepared on MgO(111), SrTiO 3 (111), and Al 2 O 3 (0001) single-crystal substrates by ultrahigh vacuum molecular beam epitaxy. The effects of insulating substrate material on the film growth process and the structures were investigated. FeCo(110) bcc films grow on MgO substrates with two type domains, Nishiyama-Wassermann (NW) and Kurdjumov-Sachs (KS) relationships. On the contrary, FeCo films grown on SrTiO 3 and Al 2 O 3 substrates include FeCo(111) bcc crystal in addition to the FeCo(110) bcc crystals with NW and KS relationships. The FeCo(111) bcc crystal consists of two type domains whose orientations are rotated around the film normal by 180 deg. each other. The out-of-plane and the in-plane lattice spacings of FeCo(110) bcc and FeCo(111) bcc crystals formed on the insulating substrates are in agreement with those of the bulk Fe 50 Co 50 (at. %) crystal with small errors ranging between +0.2% and +0.4%, showing that the strains in the epitaxial films are very small.

  5. Polarized Emission from Conjugated Polymer Chains Aligned by Epitaxial Growth during Off-Center Spin-Coating

    Directory of Open Access Journals (Sweden)

    Takuya Anzai

    2017-01-01

    Full Text Available Due to their macromolecular nature, conjugated polymers can be relatively easily aligned by applying a variety of processes resulting in either elongation or ordering of their conjugated backbones. Processes that induce chain alignment include electrospinning, mechanical rubbing, epitaxial growth, and nanoconfinement and unidirectional deposition techniques such as off-center spin-coating. In this study, we compare these deposition techniques by applying them to a green-emitting conjugated polymer material that exhibits liquid crystalline phase behavior. Our study reveals that while methods such as electrospinning and mechanical rubbing can be useful to locally generate polymer chain alignment, the combination of epitaxial growth using 1,3,5-trichlorobenzene as crystallizing agent with off-center spin-coating results in the formation of anisotropic nanofiber-like structures with enhanced crystallinity degree and polarized light-emission properties. The unidirectional epitaxial growth was also applied to a red-emitting polymer that exhibits polarization ratios up to 4.1. Our results emphasize that this simple solution formulation and process can be used for the fabrication of polarized thin films of a variety of conjugated polymers with potential applications in the advanced display technologies or analytical equipment fields.

  6. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  7. Mobility-lifetime product in epitaxial GaAs X-ray detectors

    Energy Technology Data Exchange (ETDEWEB)

    Sun, G.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)]. E-mail: guocsun@ccr.jussieu.fr; Zazoui, M. [LPMC, Faculte des Sciences et Techniques-Mohammedia, B.P. 146 Bd Hassan II, Mohammedia, Maroc (Morocco); Talbi, N. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Khirouni, K. [Faculte des Sciences, Universite de Gabes, Route de Medenine, 6029 Gabes (Tunisia); Bourgoin, J.C. [GESEC R and D, Universite Pierre et Marie Curie, Bat.11, 140 rue de Lourmel, 75015 Paris (France)

    2007-04-01

    Self-supported thick (200-500 {mu}m), non-intentionally doped, epitaxial GaAs layers are good candidates for X-ray imaging for the following reasons. Their electronic properties are homogeneous over large areas, they can be grown at low cost, the technology to realize pixel detectors of various size is standard, the defect concentration is low and the fluorescence yield is small. Here, we characterize the defects present in the material and evaluate the mobility-lifetime product, using Deep Level Transient Spectroscopy combined with current-voltage and charge collection measurements.

  8. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  9. DOE-EPSCoR. Exchange interactions in epitaxial intermetallic layered systems

    Energy Technology Data Exchange (ETDEWEB)

    LeClair, Patrick R. [Univ. of Alabama, Tuscaloosa, AL (United States); Gary, Mankey J. [Univ. of Alabama, Tuscaloosa, AL (United States)

    2015-05-25

    The goal of this research is to develop a fundamental understanding of the exchange interactions in epitaxial intermetallic alloy thin films and multilayers, including films and multilayers of Fe-Pt, Co-Pt and Fe-P-Rh alloys deposited on MgO and Al2O3 substrates. Our prior results have revealed that these materials have a rich variety of ferromagnetic, paramagnetic and antiferromagnetic phases which are sensitive functions of composition, substrate symmetry and layer thickness. Epitaxial antiferromagnetic films of FePt alloys exhibit a different phase diagram than bulk alloys. The antiferromagnetism of these materials has both spin ordering transitions and spin orienting transitions. The objectives include the study of exchange-inversion materials and the interface of these materials with ferromagnets. Our aim is to formulate a complete understanding of the magnetic ordering in these materials, as well as developing an understanding of how the spin structure is modified through contact with a ferromagnetic material at the interface. The ultimate goal is to develop the ability to tune the phase diagram of the materials to produce layered structures with tunable magnetic properties. The alloy systems that we will study have a degree of complexity and richness of magnetic phases that requires the use of the advanced tools offered by the DOE-operated national laboratory facilities, such as neutron and x-ray scattering to measure spin ordering, spin orientations, and element-specific magnetic moments. We plan to contribute to DOE’s mission of producing “Materials by Design” with properties determined by alloy composition and crystal structure. We have developed the methods for fabricating and have performed neutron diffraction experiments on some of the most interesting phases, and our work will serve to answer questions raised about the element-specific magnetizations using the magnetic x-ray dichroism techniques and interface magnetism in layered structures

  10. Advanced materials in radiation dosimetry

    CERN Document Server

    Bruzzi, M; Nava, F; Pini, S; Russo, S

    2002-01-01

    High band-gap semiconductor materials can represent good alternatives to silicon in relative dosimetry. Schottky diodes made with epitaxial n-type 4 H SiC and Chemical Vapor Deposited diamond films with ohmic contacts have been exposed to a sup 6 sup 0 Co gamma-source, 20 MeV electrons and 6 MV X photons from a linear accelerator to test the current response in on-line configuration in the dose range 0.1-10 Gy. The released charge as a function of the dose and the radiation-induced current as a function of the dose-rate are found to be linear. No priming effects have been observed using epitaxial SiC, due to the low density of lattice defects present in this material.

  11. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  12. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  13. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  14. Two-dimensional epitaxial superconductor-semiconductor heterostructures: A platform for topological superconducting networks

    OpenAIRE

    Shabani, J.; Kjaergaard, M.; Suominen, H. J.; Kim, Younghyun; Nichele, F.; Pakrouski, K.; Stankevic, T.; Lutchyn, R. M.; Krogstrup, P.; Feidenhans'l, R.; Kraemer, S.; Nayak, C.; Troyer, M.; Marcus, C. M.; Palmstrøm, C. J.

    2015-01-01

    Progress in the emergent field of topological superconductivity relies on synthesis of new material combinations, combining superconductivity, low density, and spin-orbit coupling (SOC). For example, theory [1-4] indicates that the interface between a one-dimensional (1D) semiconductor (Sm) with strong SOC and a superconductor (S) hosts Majorana modes with nontrivial topological properties [5-8]. Recently, epitaxial growth of Al on InAs nanowires was shown to yield a high quality S-Sm system ...

  15. Novel materials for high-efficiency solar cells

    Science.gov (United States)

    Kojima, Nobuaki; Natori, Masato; Suzuki, Hidetoshi; Inagaki, Makoto; Ohshita, Yoshio; Yamaguchi, Masafumi

    2009-08-01

    Our Toyota Technological Institute group has investigated various novel materials for solar cells from organic to III-V compound materials. In this paper, we report our recent results in conductivity control of C60 thin films by metal-doping for organic solar cells, and mobility improvement of (In)GaAsN compounds for III-V tandem solar cells. The epitaxial growth of Mg-doped C60 films was attempted. It was found that the epitaxial growth of Mg-doped C60 film was enabled by using mica (001) substrate in the low Mg concentration region (Mg/C60 molar ratio defects leads this improvement.

  16. Selective Epitaxy of InP on Si and Rectification in Graphene/InP/Si Hybrid Structure.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Hatami, Fariba; Di Bartolomeo, Antonio; Niermann, Tore; Hussein, Emad Hameed; Schubert, Markus Andreas; Krause, Hans-Michael; Zaumseil, Peter; Skibitzki, Oliver; Lupina, Grzegorz; Masselink, William Ted; Lehmann, Michael; Xie, Ya-Hong; Schroeder, Thomas

    2016-10-12

    The epitaxial integration of highly heterogeneous material systems with silicon (Si) is a central topic in (opto-)electronics owing to device applications. InP could open new avenues for the realization of novel devices such as high-mobility transistors in next-generation CMOS or efficient lasers in Si photonics circuitry. However, the InP/Si heteroepitaxy is highly challenging due to the lattice (∼8%), thermal expansion mismatch (∼84%), and the different lattice symmetries. Here, we demonstrate the growth of InP nanocrystals showing high structural quality and excellent optoelectronic properties on Si. Our CMOS-compatible innovative approach exploits the selective epitaxy of InP nanocrystals on Si nanometric seeds obtained by the opening of lattice-arranged Si nanotips embedded in a SiO 2 matrix. A graphene/InP/Si-tip heterostructure was realized on obtained materials, revealing rectifying behavior and promising photodetection. This work presents a significant advance toward the monolithic integration of graphene/III-V based hybrid devices onto the mainstream Si technology platform.

  17. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  18. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  19. Investigation of CuGaSe2/CuInSe2 double heterojunction interfaces grown by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Sathiabama Thiru

    2015-02-01

    Full Text Available In-situ reflection high-energy electron diffraction (RHEED observation and X-ray diffraction measurements were performed on heterojunction interfaces of CuGaSe2/CnInSe2/CuGaSe2 grown on GaAs (001 using migration-enhanced epitaxy. The streaky RHEED pattern and persistent RHEED intensity oscillations caused by the alternate deposition of migration-enhanced epitaxy sequence are observed and the growths of smooth surfaces are confirmed. RHEED observation results also confirmed constituent material interdiffusion at the heterointerface. Cross-sectional transmission electron microscopy showed a flat and abrupt heterointerface when the substrate temperature is as low as 400 °C. These have been confirmed even by X-ray diffraction and photoluminescence measurements.

  20. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  1. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  2. Microwave dynamics of YBCO bi-epitaxial Josephson structures

    DEFF Research Database (Denmark)

    Constantinian, K. Y.; Ovsyannikov, G. A.; Mashtakov, A. D.

    1996-01-01

    The processes of interaction of microwaves (frequency View the MathML source) with a single high-Tc superconducting YBa2Cu3Ox (YBCO) bi-epitaxial grain-boundary junction and with an array of two junctions connected in series, have been investigated experimentally at temperatures T = 4.2− 77 K......, as well as the subharmonic detector response at weak magnetic fields φ microwave field induced frequency synchronization of two series connected bi-epitaxial YBCO junctions....

  3. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  4. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  5. 45° sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers

    Science.gov (United States)

    Hajiri, T.; Yoshida, T.; Filianina, M.; Jaiswal, S.; Borie, B.; Asano, H.; Zabel, H.; Kläui, M.

    2018-01-01

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45° period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co3FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies.

  6. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  7. Quantitative analysis of the epitaxial recrystallization effect induced by swift heavy ions in silicon carbide

    International Nuclear Information System (INIS)

    Benyagoub, A.

    2015-01-01

    This paper discusses recent results on the recrystallization effect induced by swift heavy ions (SHI) in pre-damaged silicon carbide. The recrystallization kinetics was followed by using increasing SHI fluences and by starting from different levels of initial damage within the SiC samples. The quantitative analysis of the data shows that the recrystallization rate depends drastically on the local amount of crystalline material: it is nil in fully amorphous regions and becomes more significant with increasing amount of crystalline material. For instance, in samples initially nearly half-disordered, the recrystallization rate per incident ion is found to be 3 orders of magnitude higher than what it is observed with the well-known IBIEC process using low energy ions. This high rate can therefore not be accounted for by the existing IBIEC models. Moreover, decreasing the electronic energy loss leads to a drastic reduction of the recrystallization rate. A comprehensive quantitative analysis of all the experimental results shows that the SHI induced high recrystallization rate can only be explained by a mechanism based on the melting of the amorphous zones through a thermal spike process followed by an epitaxial recrystallization initiated from the neighboring crystalline regions if the size of the latter exceeds a certain critical value. This quantitative analysis also reveals that recent molecular dynamics calculations supposed to reproduce this phenomenon are wrong since they overestimated the recrystallization rate by a factor ∼40.

  8. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  9. Advanced Materials Growth and Processing Facility

    Data.gov (United States)

    Federal Laboratory Consortium — This most extensive of U.S. Army materials growth and processing facilities houses seven dedicated, state-of-the-art, molecular beam epitaxy and three metal organic...

  10. Investigation of structural and electronic properties of epitaxial graphene on 3C–SiC(100/Si(100 substrates

    Directory of Open Access Journals (Sweden)

    Gogneau N

    2014-09-01

    Full Text Available Noelle Gogneau,1 Amira Ben Gouider Trabelsi,2 Mathieu G Silly,3 Mohamed Ridene,1 Marc Portail,4 Adrien Michon,4 Mehrezi Oueslati,2 Rachid Belkhou,3 Fausto Sirotti,3 Abdelkarim Ouerghi1 1Laboratoire de Photonique et de Nanostructures, Centre National de la Recherche Scientifique, Marcoussis, France; 2Unité des Nanomatériaux et Photonique, Faculté des Sciences de Tunis, Université de Tunis El Manar Campus Universitaire, Tunis, Tunisia; 3Synchrotron-SOLEIL, Saint-Aubin, BP48, F91192 Gif sur Yvette Cedex, France; 4Centre de Recherche sur l'HétéroEpitaxie et Ses Application, Centre National de la Recherche Scientifique, Valbonne, France Abstract: Graphene has been intensively studied in recent years in order to take advantage of its unique properties. Its synthesis on SiC substrates by solid-state graphitization appears a suitable option for graphene-based electronics. However, before developing devices based on epitaxial graphene, it is desirable to understand and finely control the synthesis of material with the most promising properties. To achieve these prerequisites, many studies are being conducted on various SiC substrates. Here, we review 3C–SiC(100 epilayers grown by chemical vapor deposition on Si(100 substrates for producing graphene by solid state graphitization under ultrahigh-vacuum conditions. Based on various characterization techniques, the structural and electrical properties of epitaxial graphene layer grown on 3C–SiC(100/Si(100 are discussed. We establish that epitaxial graphene presents properties similar to those obtained using hexagonal SiC substrates, with the advantage of being compatible with current Si-processing technology. Keywords: epitaxial graphene, electronic properties, structural properties, silicon carbide 

  11. Selective nanoscale growth of lattice mismatched materials

    Science.gov (United States)

    Lee, Seung-Chang; Brueck, Steven R. J.

    2017-06-20

    Exemplary embodiments provide materials and methods of forming high-quality semiconductor devices using lattice-mismatched materials. In one embodiment, a composite film including one or more substantially-single-particle-thick nanoparticle layers can be deposited over a substrate as a nanoscale selective growth mask for epitaxially growing lattice-mismatched materials over the substrate.

  12. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    Science.gov (United States)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  13. Influence of the material used to build the blades of a wind turbine on their starting conditions

    Directory of Open Access Journals (Sweden)

    Năstase Eugen-Vlad

    2017-01-01

    Full Text Available Wind energy has been shown to be one of the most viable sources of renewable energy. Hydraulic machines that convert the energy of a fluid into mechanical energy are called turbines. A wind turbine is a device which extracts kinetic energy from the wind. With increasing energy demands is necessary to increase the size of wind turbines. Under these conditions the turbine will start only at high wind speeds. On the other hand, the control of high speed is more difficult and the reduction of the inertial forces becomes mandatory. This study presents an analysis of the material influence on the wind turbine starting conditions.

  14. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  15. Geometric factors in f.c.c. and b.c.c. metal-on-metal epitaxy

    International Nuclear Information System (INIS)

    Bruce, L.A.; Jaeger, H.

    1978-01-01

    Deposits of Ni, Au and Ag formed by condensing metal vapour in U.H.V. onto (001)W, held at a temperature Tsub(s) in the range 300K< Tsub(s)<1200 K, always form epitaxial layers. However, while Au and Ag form (001) epitaxial layers of f.c.c. single crystals, (001)d parallel to (001)s with, say, [110]d parallel to [010]s, Ni and Cu occur in two orthogonal domains, each characterized by an exclusive set of fault (or twin) planes. Within a fault plane, atoms are hexagonally close-packed and, within a domain, fault planes are normal to either [1-1-0]s or [1-10]s and a close-packed direction in the planes is normal to the substrate. The lateral stacking of the fault planes may range from random at low values of Tsub(s) to that of, say, (11-1-) planes in heavily faulted and/or twinned (110) epitaxed f.c.c. material, or of basal planes in (110) epitaxed h.c.p. material at high values of Tsub(s). The results are readily explained on the basis of a growth model developed for deposits of Ni and Cu on (001) Ag. (author)

  16. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  17. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  18. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  19. Ion beam processing of advanced electronic materials

    International Nuclear Information System (INIS)

    Cheung, N.W.; Marwick, A.D.; Roberto, J.B.

    1989-01-01

    This report contains research programs discussed at the materials research society symposia on ion beam processing of advanced electronic materials. Major topics include: shallow implantation and solid-phase epitaxy; damage effects; focused ion beams; MeV implantation; high-dose implantation; implantation in III-V materials and multilayers; and implantation in electronic materials. Individual projects are processed separately for the data bases

  20. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  1. 45○ sign switching of effective exchange bias due to competing anisotropies in fully epitaxial Co3FeN/MnN bilayers.

    Science.gov (United States)

    Hajiri, Tetsuya; Yoshida, Takuya; Filianina, Mariia; Jaiswal, Samridh; Borie, Benjamin; Asano, H; Zabel, Hartmut; Klaui, Mathias

    2017-11-20

    We report an unusual angular-dependent exchange bias effect in ferromagnet/antiferromagnet bilayers, where both ferromagnet and antiferromagnet are epitaxially grown. Numerical model calculations predict an approximately 45$^\\circ$ period for the sign switching of the exchange-bias field, depending on the ratio between magnetocrystalline anisotropy and exchange-coupling constant. The switching of the sign is indicative of a competition between a fourfold magnetocrystalline anisotropy of the ferromagnet and a unidirectional anisotropy field of the exchange coupling. This predicted unusual angular-dependent exchange bias and its magnetization switching process are confirmed by measurements on fully epitaxial Co$_3$FeN/MnN bilayers by longitudinal and transverse magneto-optic Kerr effect magnetometry. These results provide a deeper understanding of the exchange coupling phenomena in fully epitaxial bilayers with tailored materials and open up a complex switching energy landscape engineering by anisotropies. © 2017 IOP Publishing Ltd.

  2. Photoelectric properties of GaAs materials studied by pulsed laser techniques

    International Nuclear Information System (INIS)

    Aguir, Khalifa

    1981-01-01

    This research thesis addressed the photoelectric properties of single-crystal or epitaxial GaAs (N doped or P doped) materials. The objective is to characterize and to improve the electric quality of these materials and associated components, notably for the production of high performance solar cells for ground-based or space-based applications. More particularly, this research aimed at using an excitation by a pulsed laser to analyse recombination and trapping properties of carriers created by photo-excitation, and also at studying the effect of low doses of particle irradiation on the carrier properties. Thus, the author describes conduction characteristics of two different N-type epitaxial layers, discusses carrier excitation and recombination processes which may occur in semiconductors, and proposes an overview of trapping phenomena. Photoelectric properties of the considered epitaxial layers are then studied and discussed

  3. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  4. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  5. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  6. Epitaxial graphene-encapsulated surface reconstruction of Ge(110)

    Science.gov (United States)

    Campbell, Gavin P.; Kiraly, Brian; Jacobberger, Robert M.; Mannix, Andrew J.; Arnold, Michael S.; Hersam, Mark C.; Guisinger, Nathan P.; Bedzyk, Michael J.

    2018-04-01

    Understanding and engineering the properties of crystalline surfaces has been critical in achieving functional electronics at the nanoscale. Employing scanning tunneling microscopy, surface x-ray diffraction, and high-resolution x-ray reflectivity experiments, we present a thorough study of epitaxial graphene (EG)/Ge(110) and report a Ge(110) "6 × 2" reconstruction stabilized by the presence of epitaxial graphene unseen in group-IV semiconductor surfaces. X-ray studies reveal that graphene resides atop the surface reconstruction with a 0.34 nm van der Waals (vdW) gap and provides protection from ambient degradation.

  7. Reversible Polarization Rotation in Epitaxial Ferroelectric Bilayers

    DEFF Research Database (Denmark)

    Liu, Guangqing; Zhang, Qi; Huang, Hsin-Hui

    2016-01-01

    Polarization rotation engineering is a promising path to giant dielectric and electromechanical responses in ferroelectric materials and devices. This work demonstrates robust and reversible in- to out-of-plane polarization rotation in ultrathin (nanoscale) epitaxial (001) tetragonal PbZr0.3Ti0.7O3...... large-scale polarization rotation switching (≈60 μC cm−2) and an effective d 33 response 500% (≈250 pm V−1) larger than the PZT-R layer alone. Furthermore, this enhancement is stable for more than 107 electrical switching cycles. These bilayers present a simple and highly controllable means to design...... and optimize rotational polar systems as an alternate to traditional composition-based approaches. The precise control of the subtle interface-driven interactions between the lattice and the external factors that control polarization opens a new door to enhanced—or completely new—functional properties....

  8. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  9. Design of Strain-Compensated Epitaxial Layers Using an Electrical Circuit Model

    Science.gov (United States)

    Kujofsa, Tedi; Ayers, John E.

    2017-12-01

    The design of heterostructures that exhibit desired strain characteristics is critical for the realization of semiconductor devices with improved performance and reliability. The control of strain and dislocation dynamics requires an understanding of the relaxation processes associated with mismatched epitaxy, and the starting point for this analysis is the equilibrium strain profile, because the difference between the actual strain and the equilibrium value determines the driving force for dislocation glide and relaxation. Previously, we developed an electrical circuit model approach for the equilibrium analysis of semiconductor heterostructures, in which an epitaxial layer may be represented by a stack of subcircuits, each of which involves an independent current source, a resistor, an independent voltage source, and an ideal diode. In this work, we have applied the electrical circuit model to study the strain compensation mechanism and show that, for a given compositionally uniform device layer with fixed mismatch and layer thickness, a buffer layer may be designed (in terms of thickness and mismatch) to tailor the strain in the device layer. A special case is that in which the device layer will exhibit zero residual strain in equilibrium (complete strain compensation). In addition, the application of the electrical circuit analogy enables the determination of exact expressions for the residual strain characteristics of both the buffer and device layers in the general case where the device layer may exhibit partial strain compensation. On the basis of this framework, it is possible to develop design equations for the tailoring of the strain in a device layer grown on a uniform composition buffer.

  10. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  11. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  12. Influence of structural properties on ballistic transport in nanoscale epitaxial graphene cross junctions

    International Nuclear Information System (INIS)

    Bock, Claudia; Weingart, Sonja; Karaissaridis, Epaminondas; Kunze, Ulrich; Speck, Florian; Seyller, Thomas

    2012-01-01

    In this paper we investigate the influence of material and device properties on the ballistic transport in epitaxial monolayer graphene and epitaxial quasi-free-standing monolayer graphene. Our studies comprise (a) magneto-transport in two-dimensional (2D) Hall bars, (b) temperature- and magnetic-field-dependent bend resistance of unaligned and step-edge-aligned orthogonal cross junctions, and (c) the influence of the lead width of the cross junctions on ballistic transport. We found that ballistic transport is highly sensitive to scattering at the step edges of the silicon carbide substrate. A suppression of the ballistic transport is observed if the lead width of the cross junction is reduced from 50 nm to 30 nm. In a 50 nm wide device prepared on quasi-free-standing graphene we observe a gradual transition from the ballistic into the diffusive transport regime if the temperature is increased from 4.2 to about 50 K, although 2D Hall bars show a temperature-independent mobility. Thus, in 1D devices additional temperature-dependent scattering mechanisms play a pivotal role. (paper)

  13. The effect of carbon chain length of starting materials on the formation of carbon dots and their optical properties

    Science.gov (United States)

    Pan, Xiaohua; Zhang, Yan; Sun, Xiaobo; Pan, Wei; Yu, Guifeng; Si, Shuxin; Wang, Jinping

    2018-04-01

    Carbon dots (CDs) have attracted increasing attention due to their high performances and potential applications in wide range of areas. However, their emission mechanism is not clear so far. In order to reveal more factors contributing to the emission of CDs, the effect of carbon chain length of starting materials on the formation of CDs and their optical properties was experimentally investigated in this work. In order to focus on the effect of carbon chain length, the starting materials with C, O, N in fully identical forms and only carbon chain lengths being different were selected for synthesizing CDs, including citric acid (CA) and adipic acid (AA) as carbon sources, and diamines with different carbon chain lengths (H2N(CH2)nNH2, n = 2, 4, 6) as nitrogen sources, as well as ethylenediamine (EDA) as nitrogen source and diacids with different carbon chain lengths (HOOC(CH2)nCOOH, n = 0, 2, 4, 6) as carbon sources. Therefore, the effect of carbon chain length of starting materials on the formation and optical properties of CDs can be systematically investigated by characterizing and comparing the structures and optical properties of as-prepared nine types of CDs. Moreover, the density of –NH2 on the surface of the CDs was quantitatively detected by a spectrophotometry so as to elucidate the relationship between the –NH2 related surface state and the optical properties.

  14. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  15. Characterization of silicon sensor materials and designs for the CMS Tracker Upgrade

    CERN Document Server

    Dierlamm, Alexander Hermann

    2012-01-01

    During the high luminosity phase of the LHC (HL-LHC, starting around 2020) the inner tracking system of CMS will be exposed to harsher conditions than the current system was designed for. Therefore a new tracker is planned to cope with higher radiation levels and higher occupancies. Within the strip sensor developments of CMS a comparative survey of silicon materials and technologies is being performed in order to identify the baseline material for the future tracker. Hence, a variety of materials (float-zone, magnetic Czochralski and epitaxially grown silicon with thicknesses from 50$\\mu$m to 320$\\mu$m as p- and n-type) has been processed at one company (Hamamatsu Photonics K.K.), irradiated (proton, neutron and mixed irradiations up to 1.5e15n$_{eq}$/cm$^2$ and beyond) and tested under identical conditions. The wafer layout includes a variety of devices to investigate different aspects of sensor properties like simple diodes, test-structures, small strip sensors and a strip sensor array with varying strip p...

  16. On the density of states of disordered epitaxial graphene

    International Nuclear Information System (INIS)

    Davydov, S. Yu.

    2015-01-01

    The study is concerned with two types of disordered epitaxial graphene: (i) graphene with randomly located carbon vacancies and (ii) structurally amorphous graphene. The former type is considered in the coherent potential approximation, and for the latter type, a model of the density of states is proposed. The effects of two types of substrates, specifically, metal and semiconductor substrates are taken into account. The specific features of the density of states of epitaxial graphene at the Dirac point and the edges of the continuous spectrum are analyzed. It is shown that vacancies in epitaxial graphene formed on the metal substrate bring about logarithmic nulling of the density of states of graphene at the Dirac point and the edges of the continuous spectrum. If the Dirac point corresponds to the middle of the band gap of the semiconductor substrate, the linear trend of the density of states to zero in the vicinity of the Dirac point in defect-free graphene transforms into a logarithmic decrease in the presence of vacancies. In both cases, the graphene-substrate interaction is assumed to be weak (quasi-free graphene). In the study of amorphous epitaxial graphene, a simple model of free amorphous graphene is proposed as the initial model, in which account is taken of the nonzero density of states at the Dirac point, and then the interaction of the graphene sheet with the substrate is taken into consideration. It is shown that, near the Dirac point, the quadratic behavior of the density of states of free amorphous graphene transforms into a linear dependence for amorphous epitaxial graphene. In the study, the density of states of free graphene corresponds to the low-energy approximation of the electron spectrum

  17. Polarized Raman scattering study of PSN single crystals and epitaxial thin films

    Directory of Open Access Journals (Sweden)

    J. Pokorný

    2015-06-01

    Full Text Available This paper describes a detailed analysis of the dependence of Raman scattering intensity on the polarization of the incident and inelastically scattered light in PbSc0.5Nb0.5O3 (PSN single crystals and epitaxially compressed thin films grown on (100-oriented MgO substrates. It is found that there are significant differences between the properties of the crystals and films, and that these differences can be attributed to the anticipated structural differences between these two forms of the same material. In particular, the scattering characteristics of the oxygen octahedra breathing mode near 810 cm-1 indicate a ferroelectric state for the crystals and a relaxor state for the films, which is consistent with the dielectric behaviors of these materials.

  18. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  19. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  20. Progress in the materials science of silicene.

    Science.gov (United States)

    Yamada-Takamura, Yukiko; Friedlein, Rainer

    2014-12-01

    In its freestanding, yet hypothetical form, the Si counterpart of graphene called silicene is predicted to possess massless Dirac fermions and to exhibit an experimentally accessible quantum spin Hall effect. Such interesting electronic properties are not realized in two-dimensional (2D) Si honeycomb lattices prepared recently on metallic substrates where the crystal and hybrid electronic structures of these 'epitaxial silicene' phases are strongly influenced by the substrate, and thus different from those predicted for isolated 2D structures. While the realization of such low-dimensional Si π materials has hardly been imagined previously, it is evident that the materials science behind silicene remains challenging. In this contribution, we will review our recent results that lead to an enhanced understanding of epitaxial silicene formed on diboride thin films, and discuss the remaining challenges that must be addressed in order to turn Si 2D nanostructures into technologically interesting nanoelectronic materials.

  1. Selfsupported epitaxial silicon films

    International Nuclear Information System (INIS)

    Lazarovici, D.; Popescu, A.

    1975-01-01

    The methods of removing the p or p + support of an n-type epitaxial silicon layer using electrochemical etching are described. So far, only n + -n junctions have been processed. The condition of anodic dissolution for some values of the support and layer resistivity are given. By this method very thin single crystal selfsupported targets of convenient areas can be obtained for channeling - blocking experiments

  2. Commercial-scale recycling of NdFeB-type magnets with grain boundary modification yields products with 'designer properties' that exceed those of starting materials.

    Science.gov (United States)

    Zakotnik, M; Tudor, C O

    2015-10-01

    NdFeB-type magnets dominate the market for high performance magnetic materials, yet production of 'virgin' magnets via mining is environmentally, financially and energetically costly. Hence, interest is growing in 'magnet to magnet' recycling schemes that offer the potential for cheaper, more environmentally-friendly solutions to the world's growing appetite for rare-earth based magnetic materials. Unfortunately, previously described recycling processes only partially capitalise on this potential, because the methods described to date are limited to 'laboratory scale' or operate only under ideal conditions and result in products that fail to recapture the coercivity of the starting, scrap materials. Herein, we report a commercial scale process (120 kg batches) that completely recovers the properties of the starting scrap magnets. Indeed, 'grain boundary modification', via careful addition of a proprietary mix of blended elements, produces magnets with 'designer properties' that can exceed those of the starting materials and can be closely tailored to meet a wide variety of end-user applications, including high-coercivity (>2000 kA/m), sintered magnets suitable for motor applications. Copyright © 2015 Elsevier Ltd. All rights reserved.

  3. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  4. Epitaxial stabilization of ordered Pd–Fe structures on perovskite substrates

    Energy Technology Data Exchange (ETDEWEB)

    Harton, Renee M., E-mail: reneehar@umich.edu [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States); Stoica, Vladimir A. [Department of Materials Science and Engineering, Pennsylvania State University, 201 Old Main, University Park, PA 16802 (United States); Clarke, Roy [Department of Physics, University of Michigan, 450 Church St., Ann Arbor, MI 48109 (United States)

    2017-05-01

    We report the fabrication of epitaxial ferromagnetic Pd{sub 3}Fe thin films on SrTiO{sub 3}(001) substrates by promoting the interdiffusion of an Fe/Pd multilayer heterostructure using thermal annealing. Prior to annealing, the results of in-situ Reflection High-Energy Electron Diffraction characterization suggest that each Fe and Pd layer exhibited an in-plane epitaxial relationship with the SrTiO{sub 3}(001) substrate. X-Ray diffraction and magneto-optic Kerr effect characterization, conducted post-annealing, demonstrate that the film composition is majority Pd{sub 3}Fe and exhibits in-plane magnetization reversal with a moderate coercive field of ≈760 Oe. This demonstration of an ordered atomic layer heterostructure grown on a perovskite substrate suggests a route to epitaxial interfacial structures which can achieve strain-assisted magnetic switching.

  5. Real-time observation of epitaxial crystal growth in gaseous environment using x-ray diffraction and x-ray reflectometry

    International Nuclear Information System (INIS)

    Kawamura, Tomoaki; Bhunia, Satyaban; Watanabe, Yoshio; Fujikawa, Seiji

    2008-01-01

    We made the x-ray diffractometer combined with the MOCVD growth system for the real-time observation of epitaxial growth in gaseous environment, and investigated the growth mechanism of InP crystals. Changes of the (-5/2 O) Bragg diffraction during the growth revealed that the growth starts immediately after the In source has been supplied and gradually stopped, owing to the migrating In atoms on the surface. Additionally, one can easily determine the growth modes, including 3-dimensional mode, layer-by-layer mode, and step-flow mode, by observing the change of x-ray reflectivity with various growth conditions. (author)

  6. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  7. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  8. Build/Couple/Pair and Multifunctional Catalysis Strategies for the Synthesis of Heterocycles from Simple Starting Materials

    DEFF Research Database (Denmark)

    Ascic, Erhad

    . Multifunctional Catalysis: Synthesis of Heterocycles from Simple Starting Materials A multifunctional catalysis approach, involving a ruthenium-catalyzed tandem ringclosing metathesis/isomerization/N-acyliminium cyclization sequence, is described. Double bonds created during ring-closing metathesis isomerize......, a series of interesting indolizidinones are formed in good yields with excellent diastereoselectivities, including a formal total synthesis of the antiparasitic natural product harmicine and the first total synthesis of mescalotam. Furthermore, preliminary asymmetric variants of the tandem process have...

  9. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  10. Structural and electrical properties of c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 thin films

    CERN Document Server

    Zhang, S T; Sun, H P; Pan Xiao Qing; Tan, W S; Liu, Z G; Ming, N B

    2003-01-01

    c-axis epitaxial and polycrystalline Sr sub 3 Bi sub 4 Ti sub 6 O sub 2 sub 1 (SBTi) thin films were fabricated on (001)SrTiO sub 3 (STO) single-crystal substrates and Pt/Ti sub 2 /SiO sub 2 /Si substrates respectively, by pulsed laser deposition (PLD). Structures of the films were systematically characterized by x-ray diffraction (XRD), including theta-2 theta-scans, rocking curve scans and phi-scans, atomic force microscopy and transmission electron microscopy (TEM). The epitaxial orientation relation of the SBTi films on STO is established by selected-area electron diffraction and XRD phi-scans to be (001)SBTi || (001)STO, [11-bar 0]SBTi || [010]STO. Cross-sectional high-resolution TEM studies on the epitaxial SBTi film revealed that SBTi is a single-phase material. A special kind of irrational atomic shift along the [001] direction was observed and is discussed in detail. By using an evanescent microwave probe (EMP), the room-temperature dielectric constant of the epitaxial SBTi film was measured to be 21...

  11. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  12. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  13. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  14. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  15. Cross-sectional scanning tunneling microscopy of antiphase boundaries in epitaxially grown GaP layers on Si(001)

    Energy Technology Data Exchange (ETDEWEB)

    Prohl, Christopher; Lenz, Andrea, E-mail: alenz@physik.tu-berlin.de [Technische Universität Berlin, Institut für Festkörperphysik, 10623 Berlin (Germany); Döscher, Henning; Kleinschmidt, Peter; Hannappel, Thomas [Helmholtz Center Berlin for Materials and Energy, 14109 Berlin (Germany)

    2016-05-15

    In a fundamental cross-sectional scanning tunneling microscopy investigation on epitaxially grown GaP layers on a Si(001) substrate, differently oriented antiphase boundaries are studied. They can be identified by a specific contrast and by surface step edges starting/ending at the position of an antiphase boundary. Moreover, a change in the atomic position of P and Ga atoms along the direction of growth is observed in agreement with the structure model of antiphase boundaries in the GaP lattice. This investigation opens the perspective to reveal the orientation and position of the antiphase boundaries at the atomic scale due to the excellent surface sensitivity of this method.

  16. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  17. Electronic properties of epitaxial 6H silicon carbide

    International Nuclear Information System (INIS)

    Wessels, B.W.; Gatos, H.C.

    1977-01-01

    The electrical conductivity and Hall coefficient were measured in the temperature range from 78 to 900 K for n-type epitaxially grown 6H silicon carbide. A many-valley model of the conduction band was used in the analysis of electron concentration as a function of temperature. From this analysis, the density of states mass to the free electron mass ratio per ellipsoid was calculated to be 0.45. It was estimated that the constant energy surface of the conduction band consists of three ellipsoids. The ionization energy of the shallowest nitrogen donor was found to be 105 meV, when the valley-orbit interaction was taken into account. The electron scattering mechanisms in the epitaxial layers were analyzed and it was shown that the dominant mechanism limiting electron mobility at high temperatures is inter-valley scattering and at low temperatures (200K), impurity and space charge scattering. A value of 360 cm 2 /V sec was calculated for the maximum room temperature Hall mobility expected for electrons in pure 6H SiC. The effect of epitaxial growth temperature on room temperature Hall mobility was also investigated. (author)

  18. Complex Nanostructures by Pulsed Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Noboyuki Koguchi

    2011-06-01

    Full Text Available What makes three dimensional semiconductor quantum nanostructures so attractive is the possibility to tune their electronic properties by careful design of their size and composition. These parameters set the confinement potential of electrons and holes, thus determining the electronic and optical properties of the nanostructure. An often overlooked parameter, which has an even more relevant effect on the electronic properties of the nanostructure, is shape. Gaining a strong control over the electronic properties via shape tuning is the key to access subtle electronic design possibilities. The Pulsed Dropled Epitaxy is an innovative growth method for the fabrication of quantum nanostructures with highly designable shapes and complex morphologies. With Pulsed Dropled Epitaxy it is possible to combine different nanostructures, namely quantum dots, quantum rings and quantum disks, with tunable sizes and densities, into a single multi-function nanostructure, thus allowing an unprecedented control over electronic properties.

  19. Recent Advances on p-Type III-Nitride Nanowires by Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Songrui Zhao

    2017-09-01

    Full Text Available p-Type doping represents a key step towards III-nitride (InN, GaN, AlN optoelectronic devices. In the past, tremendous efforts have been devoted to obtaining high quality p-type III-nitrides, and extraordinary progress has been made in both materials and device aspects. In this article, we intend to discuss a small portion of these processes, focusing on the molecular beam epitaxy (MBE-grown p-type InN and AlN—two bottleneck material systems that limit the development of III-nitride near-infrared and deep ultraviolet (UV optoelectronic devices. We will show that by using MBE-grown nanowire structures, the long-lasting p-type doping challenges of InN and AlN can be largely addressed. New aspects of MBE growth of III-nitride nanostructures are also discussed.

  20. Surface reconstruction: An effective method for the growth of mismatched materials

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Yu; Zheng, Beining; Wu, Xiaofeng; Yuan, Long; Wu, Jie; Guo, Hongping; Huang, Keke; Feng, Shouhua, E-mail: shfeng@mail.jlu.edu.cn

    2016-01-01

    Graphical abstract: High quality thin film of GaSb was fabricated with molecular beam epitaxy technique on the reconstructed Si(1 1 1) surface. - Highlights: • Surface reconstruction formed by different surface treatments controls the heteroepitaxial growth. • Mismatched stress can be effectively released when the mismatched material epi-film tilts out of the epitaxial interface plane to create a coincidence-site lattice. • GaSb films grown on Si(1 1 1)-(5√3 × 5√3)-Sb surface show better crystal quality and morphology for its self-assembled 2D fishbone structure. - Abstract: The crystalline quality of epitaxial films depends on the degree of lattice match between substrates and films. Here, we report a growth strategy for large mismatched epi-films to grow GaSb films on Si(1 1 1) substrates. The epitaxial strategy can be influenced by controlling the surface reconstructions of Sb-treated Si(1 1 1). The film with the best quality was grown on Si(1 1 1)-(5√3 × 5√3)-Sb surface due to the stress release and the formation of a self-assembled 2D fishbone structure. Controlled surface engineering provides an effective pathway towards the growth of the large mismatched materials.

  1. Resistivity Effects of Cation Ordering in Highly-Doped La2-xSrxCu4 Epitaxial Thin Films

    Science.gov (United States)

    Burquest, Franklin; Marmol, Rodrigo; Cox, Nicholas; Nelson-Cheeseman, Brittany

    Highly-doped La2-xSrxCuO4 (LSCO) films (0.5 causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in analogous epitaxial nickelate films. Thin film samples are grown concurrently to minimize extraneous effects on film structure and properties. Atomic force microscopy and x-ray reflectivity demonstrate that the films are single crystalline, epitaxial, and smooth. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.

  2. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  3. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  4. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  5. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  6. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  7. Understanding Electrically Active Interface Formation on Wide Bandgap Semiconductors through Molecular Beam Epitaxy Using Fe3O 4 for Spintronics as a Base Case

    Science.gov (United States)

    Hamedani Golshan, Negar

    understanding of how to reduce APB density is of essential importance for applications of Fe3O4 films, and understanding the complex chemical and structural influences on the initial stages of film deposition is the key to eliminating APB density. This work used molecular beam epitaxy (MBE) to further understand the nucleation and growth mechanism needed to ensure single crystal film formation in a controlled orientation directly on a semiconductor (SiC) and then also on an insulating layer (MgO) that can not only align crystal structure but also provide an effective spin-aligned tunnel junction material. The starting substrate surface proved critical to effective integration, and the role of atomic hydrogen seems to be key in controlling the starting surface. We have investigated 1) the hydrogen furnace cleaning at 1600 °C of 6H-SiC (0001) substrates surfaces to produce a smooth, uniformly stepped surface and a √3x√3 R30° surface reconstruction with less than 10 at% residual oxygen contamination, 2) the atomic hydrogen cleaning of 6H-SiC (0001) substrates to produce a (1x1) surface structure with less than 7 at% residual oxygen contamination at relatively low temperature of 700 °C, 3) the atomic hydrogen cleaning of Ge (100) to produce smooth surface (RMS mechanisms for MgO and Fe3 O4. The highest quality single crystalline, epitaxial Fe 3O4 (111) films were deposited by MBE on the √3x√3 R30 surface reconstruction with less than 10 at% residual oxygen contamination 6H-SiC. The Fe3O4 film exhibits high structural order with sharp interfaces and an easy axis in-plane magnetization with a coercivity of 200 Oe. The MgO deposited by MBE on SiC prepared by the hydrogen furnace was found to have two-dimensional features that transitioned from 2D to 3D when the thickness exceeded 2nm; the structure changed from hexagonal

  8. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  9. Starting Point: Linking Methods and Materials for Introductory Geoscience Courses

    Science.gov (United States)

    Manduca, C. A.; MacDonald, R. H.; Merritts, D.; Savina, M.

    2004-12-01

    Introductory courses are one of the most challenging teaching environments for geoscience faculty. Courses are often large, students have a wide variety of background and skills, and student motivation can include completing a geoscience major, preparing for a career as teacher, fulfilling a distribution requirement, and general interest. The Starting Point site (http://serc.carleton.edu/introgeo/index.html) provides help for faculty teaching introductory courses by linking together examples of different teaching methods that have been used in entry-level courses with information about how to use the methods and relevant references from the geoscience and education literature. Examples span the content of geoscience courses including the atmosphere, biosphere, climate, Earth surface, energy/material cycles, human dimensions/resources, hydrosphere/cryosphere, ocean, solar system, solid earth and geologic time/earth history. Methods include interactive lecture (e.g think-pair-share, concepTests, and in-class activities and problems), investigative cases, peer review, role playing, Socratic questioning, games, and field labs. A special section of the site devoted to using an Earth System approach provides resources with content information about the various aspects of the Earth system linked to examples of teaching this content. Examples of courses incorporating Earth systems content, and strategies for designing an Earth system course are also included. A similar section on Teaching with an Earth History approach explores geologic history as a vehicle for teaching geoscience concepts and as a framework for course design. The Starting Point site has been authored and reviewed by faculty around the country. Evaluation indicates that faculty find the examples particularly helpful both for direct implementation in their classes and for sparking ideas. The help provided for using different teaching methods makes the examples particularly useful. Examples are chosen from

  10. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  11. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  12. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  13. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  14. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  15. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  16. Improving NPT safeguards. Particularly at the natural uranium starting point

    International Nuclear Information System (INIS)

    Harry, J.; Klerk, P. de

    1996-03-01

    According to the Non Proliferation Treaty (NPT) all nuclear material is subject to safeguards, but according to INFCIRC/153 the full range of safeguards is only applied beyond the 'starting point of safeguards', that is: The point at which nuclear material has reached a composition and purity suitable for fuel fabrication or enrichment. This paper addresses the two questions: (a) is the starting point adequately defined, and (b) what mesures could be applied to nuclear material before the starting point? Those questions have been asked before, some of the answers in this paper are new. (orig.)

  17. Improving NPT safeguards. Particularly at the natural uranium starting point

    Energy Technology Data Exchange (ETDEWEB)

    Harry, J. [Netherlands Energy Research Foundation (ECN), Petten (Netherlands); Klerk, P. de [Ministry of Foreign Affairs, The Hague (Netherlands)

    1996-03-01

    According to the Non Proliferation Treaty (NPT) all nuclear material is subject to safeguards, but according to INFCIRC/153 the full range of safeguards is only applied beyond the `starting point of safeguards`, that is: The point at which nuclear material has reached a composition and purity suitable for fuel fabrication or enrichment. This paper addresses the two questions: (a) is the starting point adequately defined, and (b) what mesures could be applied to nuclear material before the starting point? Those questions have been asked before, some of the answers in this paper are new. (orig.).

  18. Magnetization switching behavior with competing anisotropies in epitaxial Co3FeN /MnN exchange-coupled bilayers

    Science.gov (United States)

    Hajiri, T.; Yoshida, T.; Jaiswal, S.; Filianina, M.; Borie, B.; Ando, H.; Asano, H.; Zabel, H.; Kläui, M.

    2016-11-01

    We report unusual magnetization switching processes and angular-dependent exchange bias effects in fully epitaxial Co3FeN /MnN bilayers, where magnetocrystalline anisotropy and exchange coupling compete, probed by longitudinal and transverse magneto-optic Kerr effect (MOKE) magnetometry. The MOKE loops show multistep jumps corresponding to the nucleation and propagation of 90∘ domain walls in as-grown bilayers. By inducing exchange coupling, we confirm changes of the magnetization switching process due to the unidirectional anisotropy field of the exchange coupling. Taking into account the experimentally obtained values of the fourfold magnetocrystalline anisotropy, the unidirectional anisotropy field, the exchange-coupling constant, and the uniaxial anisotropy including its direction, the calculated angular-dependent exchange bias reproduces the experimental results. These results demonstrate the essential role of the competition between magnetocrystalline anisotropy and exchange coupling for understanding and tailoring exchange-coupling phenomena usable for engineering switching in fully epitaxial bilayers made of tailored materials.

  19. Singularities of 28Si electrical activation in a single crystal and epitaxial GaAs under radiation annealing

    International Nuclear Information System (INIS)

    Ardyshev, V.M.; Ardyshev, M.V.; Khludkov, S.S.

    2000-01-01

    Using the voltage-capacitance characteristics method, the concentration profiles of 28 Si that is implanted in monocrystal and epitaxial GaAs after fast thermal annealing (FTA) (825, 870, 950 deg C, 12 s) have been studied; using Van-der-Paw method, the electron Hall mobility temperature dependence in the range of 70-400 K has been measured. Unlike thermal annealing (800 deg C, 30 min), the silicon diffusion depth redistribution into GaAs is shown to occur for both types of material. The coefficient of diffusion of Si in the single crystal is 2 times greater, but the electrical activation efficiency is somewhat less than in the epitaxial GaAs for each of the temperatures of FTA. The analysis of the temperature dependence of the electron mobility in ion-implanted layers after FTA gives the evidence about the significantly lower concentration of defects restricting the mobility in comparison with results obtained at thermal annealing during 30 min [ru

  20. Mini array of quantum Hall devices based on epitaxial graphene

    International Nuclear Information System (INIS)

    Novikov, S.; Lebedeva, N.; Hämäläinen, J.; Iisakka, I.; Immonen, P.; Manninen, A. J.; Satrapinski, A.

    2016-01-01

    Series connection of four quantum Hall effect (QHE) devices based on epitaxial graphene films was studied for realization of a quantum resistance standard with an up-scaled value. The tested devices showed quantum Hall plateaux R H,2 at a filling factor v = 2 starting from a relatively low magnetic field (between 4 T and 5 T) when the temperature was 1.5 K. The precision measurements of quantized Hall resistance of four QHE devices connected by triple series connections and external bonding wires were done at B = 7 T and T = 1.5 K using a commercial precision resistance bridge with 50 μA current through the QHE device. The results showed that the deviation of the quantized Hall resistance of the series connection of four graphene-based QHE devices from the expected value of 4×R H,2  = 2 h/e 2 was smaller than the relative standard uncertainty of the measurement (<1 × 10 −7 ) limited by the used resistance bridge.

  1. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  2. Epitaxial growth of Er, Ti doped LiNbO3 films prepared by sol-gel method

    International Nuclear Information System (INIS)

    Takahashi, Makoto; Yoshiga, Tsuyoshi; Kajitani, Naofumi; Takeda, Yuki; Sato, Shoji; Wakita, Koichi; Ohnishi, Naoyuki; Hotta, Kazutoshi; Kurachi, Masato

    2006-01-01

    Erbium (Er 3+ ) doped lithium niobate (LiNbO 3 ) thick films were deposited on z-cut congruent LiNbO 3 (LN) substrate by the sol-gel method from the 0.20 mol/dm 3 precursor solution containing various Er 3+ concentration and 0.10 mol/dm 3 poly(vinyl alcohol) (PVA), and their crystal characteristics were evaluated. The Er 3+ concentration in the LN film was controlled by the Er 3+ concentration in the starting solution. The orientation relationships between Er doped LN films and substrates were determined by X-ray diffraction, Raman spectroscopy, and transmission electron microscopy, and (006) oriented Er doped LN epitaxial layers with parallel epitaxial relationships could be grown on the z-cut LN wafer. Moreover, it was made clear from the electron beam diffraction measurements that the film came to be polycrystalline, when the Er concentration was over 3 mol%. The refractive index of Er-doped LN films decreased with increasing Er concentration. 1.5 mol% Ti: 1.0 mol% Er LN films, which acted as a waveguide, were prepared by our so-gel method. It showed the 1530 nm emission by 980 nm excitation, which was considered to be due to the Er 3+ corresponding to the 4 I 13/2 → 4 I 15/2 transition. (author)

  3. Epitaxial YBa2Cu3O7-δ/Sr2RuO4 heterostructures

    International Nuclear Information System (INIS)

    Schlom, D.G.; Merritt, B.A.; Madhavan, S.

    1997-01-01

    The anisotropic oxide superconductors YBa 2 Cu 3 O 7-δ and Sr 2 RuO 4 have been epitaxially combined in various ways (c-axis on c-axis, c-axis on a-axis, and a-axis on a-axis) though the use of appropriate substrates. Phase-pure a-axis oriented or c-axis oriented epitaxial Sr 2 RuO 4 films were grown by pulsed laser deposition. YBa 2 Cu 3 O 7-δ films were then grown on both orientations of Sr 2 RuO 4 films and the resulting epitaxy was characterized

  4. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  5. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  6. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    Science.gov (United States)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  7. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  8. MgO monolayer epitaxy on Ni (100)

    Science.gov (United States)

    Sarpi, B.; Putero, M.; Hemeryck, A.; Vizzini, S.

    2017-11-01

    The growth of two-dimensional oxide films with accurate control of their structural and electronic properties is considered challenging for engineering nanotechnological applications. We address here the particular case of MgO ultrathin films grown on Ni (100), a system for which neither crystallization nor extended surface ordering has been established previously in the monolayer range. Using Scanning Tunneling Microscopy and Auger Electron Spectroscopy, we report on experiments showing MgO monolayer (ML) epitaxy on a ferromagnetic nickel surface, down to the limit of atomic thickness. Alternate steps of Mg ML deposition, O2 gas exposure, and ultrahigh vacuum thermal treatment enable the production of a textured film of ordered MgO nano-domains. This study could open interesting prospects for controlled epitaxy of ultrathin oxide films with a high magneto-resistance ratio on ferromagnetic substrates, enabling improvement in high-efficiency spintronics and magnetic tunnel junction devices.

  9. Epitaxial Garnets and Hexagonal Ferrites.

    Science.gov (United States)

    1982-04-20

    guide growth of the epitaxial YIG films. Aluminum or gallium substitu- tions for iron were used in combination with lanthanum substitutions for yttrium... gallate spinel sub- strates. There was no difficulty with nucleation in the melt and film quality appeared to be similar to that observed previously...hexagonal ferrites. We succeeded in growing the M-type lead hexaferrite (magnetoplumbite) on gallate spinel substrates. We found that the PbO-based

  10. Graphene nanoribbons epitaxy on boron nitride

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Xiaobo; Wang, Shuopei; Wu, Shuang; Chen, Peng; Zhang, Jing; Zhao, Jing; Meng, Jianling; Xie, Guibai; Wang, Duoming; Wang, Guole; Zhang, Ting Ting; Yang, Rong; Shi, Dongxia [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Yang, Wei [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Laboratoire Pierre Aigrain, ENS-CNRS UMR 8551, Universités Pierre et Marie Curie and Paris-Diderot, 24 rue Lhomond, 75231 Paris Cedex 05 (France); Watanabe, Kenji; Taniguchi, Takashi [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Zhang, Guangyu, E-mail: gyzhang@aphy.iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics and Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100190 (China)

    2016-03-14

    In this letter, we report a pilot study on epitaxy of monolayer graphene nanoribbons (GNRs) on hexagonal boron nitride (h-BN). We found that GNRs grow preferentially from the atomic steps of h-BN, forming in-plane heterostructures. GNRs with well-defined widths ranging from ∼15 nm to ∼150 nm can be obtained reliably. As-grown GNRs on h-BN have high quality with a carrier mobility of ∼20 000 cm{sup 2} V{sup −1} s{sup −1} for ∼100-nm-wide GNRs at a temperature of 1.7 K. Besides, a moiré pattern induced quasi-one-dimensional superlattice with a periodicity of ∼15 nm for GNR/h-BN was also observed, indicating zero crystallographic twisting angle between GNRs and h-BN substrate. The superlattice induced band structure modification is confirmed by our transport results. These epitaxial GNRs/h-BN with clean surfaces/interfaces and tailored widths provide an ideal platform for high-performance GNR devices.

  11. Surface Chemistry Involved in Epitaxy of Graphene on 3C-SiC(111/Si(111

    Directory of Open Access Journals (Sweden)

    Abe Shunsuke

    2010-01-01

    Full Text Available Abstract Surface chemistry involved in the epitaxy of graphene by sublimating Si atoms from the surface of epitaxial 3C-SiC(111 thin films on Si(111 has been studied. The change in the surface composition during graphene epitaxy is monitored by in situ temperature-programmed desorption spectroscopy using deuterium as a probe (D2-TPD and complementarily by ex situ Raman and C1s core-level spectroscopies. The surface of the 3C-SiC(111/Si(111 is Si-terminated before the graphitization, and it becomes C-terminated via the formation of C-rich (6√3 × 6√3R30° reconstruction as the graphitization proceeds, in a similar manner as the epitaxy of graphene on Si-terminated 6H-SiC(0001 proceeds.

  12. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  13. Characterization of bicrystalline epitaxial LaNiO{sub 3} films fabricated on MgO (1 0 0) substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng Liang [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Zhu Jun [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)]. E-mail: junzhu@uestc.edu.cn; Zhang Ying [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Jiang Shuwen [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Yanrong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Huawei Xian [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Jinlong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)

    2006-03-15

    A series of metallic LaNiO{sub 3} (LNO) thin films were deposited on MgO (1 0 0) substrates by pulsed laser deposition (PLD) under the oxygen pressure of 20 Pa at different substrate temperatures from 450 to 750 deg. C. X-ray diffraction (XRD) was used to characterize the crystal structure of LNO films. {theta}-2{theta} scans of XRD indicate that LNO film deposited at a substrate temperature of 700 deg. C has a high orientation of (l l 0). At other substrate temperatures, the LNO films have mixed phases of (l l 0) and (l 0 0). Furthermore, pole figure measurements show that LNO thin films, with the bicrystalline structure, were epitaxially deposited on MgO (1 0 0) substrates in the mode of LNO (1 1 0)//MgO (1 0 0) at 700 deg. C. Reflection high-energy electric diffraction (RHEED) and atomic force microscopy (AFM) were also performed to investigate the microstructure of LNO films with the high (l l 0) orientation. RHEED patterns clearly confirm this epitaxial relationship. An atomically smooth surface of LNO films at 700 deg. C was obtained. In addition, bicrystalline epitaxial LNO films, fabricated at 700 deg. C, present a excellent conductivity with a lower electrical resistivity of 300 {mu} {omega} cm. Thus, the obtained results indicate that bicystalline epitaxial LNO films could serve as a promising candidate of electrode materials for the fabrication of ferroelectric or dielectric films.

  14. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Starting electronics

    CERN Document Server

    Brindley, Keith

    2005-01-01

    Starting Electronics is unrivalled as a highly practical introduction for hobbyists, students and technicians. Keith Brindley introduces readers to the functions of the main component types, their uses, and the basic principles of building and designing electronic circuits. Breadboard layouts make this very much a ready-to-run book for the experimenter; and the use of multimeter, but not oscilloscopes, puts this practical exploration of electronics within reach of every home enthusiast's pocket. The third edition has kept the simplicity and clarity of the original. New material

  16. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  17. Development of materials for high temperature superconductor Josephson junctions

    International Nuclear Information System (INIS)

    Houlton, R.J.; Reagor, D.W.; Hawley, M.E.; Springer, K.N.; Jia, Q.X.; Mombourquette, C.B.; Garzon, F.H.; Wu, X.D.

    1994-01-01

    We have conducted a systematic optimization of deposition parameters for fabrication of multilayered oxide films to be used in the development of high temperature superconducting SNS Functions. These films were deposited by off-axis sputtering using a custom fabricated multi-gun planar magnetron system. Each material and the various combinations of materials were optimized for epitaxial lattice match, crystal quality, film uniformity, electrical properties, and surface microstructure. In addition to the standard procedures commonly used to sputter deposit epitaxial oxide films, a variety of insitu and exsitu procedures were used to produce high quality multilayer devices, including varying the nucleation temperature from the actual film growth temperature, location of the substrate during the deposition process, constant rotation of the substrate, and timing of the oxygen anneal. The unprocessed films and devices in process were characterized with Atomic Force Microscopy and Scanning Tunneling Microscopy as well as other common materials characterization techniques. Completed multilayer devices were patterned and packaged for electrical characterization. Relation between material properties and electrical characteristics is discussed

  18. Development of materials for high temperature superconductor Josephson junctions

    Energy Technology Data Exchange (ETDEWEB)

    Houlton, R.J.; Reagor, D.W.; Hawley, M.E.; Springer, K.N.; Jia, Q.X.; Mombourquette, C.B.; Garzon, F.H.; Wu, X.D.

    1994-10-01

    We have conducted a systematic optimization of deposition parameters for fabrication of multilayered oxide films to be used in the development of high temperature superconducting SNS Functions. These films were deposited by off-axis sputtering using a custom fabricated multi-gun planar magnetron system. Each material and the various combinations of materials were optimized for epitaxial lattice match, crystal quality, film uniformity, electrical properties, and surface microstructure. In addition to the standard procedures commonly used to sputter deposit epitaxial oxide films, a variety of insitu and exsitu procedures were used to produce high quality multilayer devices, including varying the nucleation temperature from the actual film growth temperature, location of the substrate during the deposition process, constant rotation of the substrate, and timing of the oxygen anneal. The unprocessed films and devices in process were characterized with Atomic Force Microscopy and Scanning Tunneling Microscopy as well as other common materials characterization techniques. Completed multilayer devices were patterned and packaged for electrical characterization. Relation between material properties and electrical characteristics is discussed

  19. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  20. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  1. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  2. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  3. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  4. Combinatorial materials synthesis and high-throughput screening: an integrated materials chip approach to mapping phase diagrams and discovery and optimization of functional materials.

    Science.gov (United States)

    Xiang, X D

    Combinatorial materials synthesis methods and high-throughput evaluation techniques have been developed to accelerate the process of materials discovery and optimization and phase-diagram mapping. Analogous to integrated circuit chips, integrated materials chips containing thousands of discrete different compositions or continuous phase diagrams, often in the form of high-quality epitaxial thin films, can be fabricated and screened for interesting properties. Microspot x-ray method, various optical measurement techniques, and a novel evanescent microwave microscope have been used to characterize the structural, optical, magnetic, and electrical properties of samples on the materials chips. These techniques are routinely used to discover/optimize and map phase diagrams of ferroelectric, dielectric, optical, magnetic, and superconducting materials.

  5. Transfer-free electrical insulation of epitaxial graphene from its metal substrate.

    Science.gov (United States)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo; Dalmiglio, Matteo; Orlando, Fabrizio; Baraldi, Alessandro; Gammelgaard, Lauge; Barreto, Lucas; Bianchi, Marco; Perkins, Edward; Hofmann, Philip

    2012-09-12

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen, and the eventual formation of a SiO(2) layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique.

  6. Transfer-Free Electrical Insulation of Epitaxial Graphene from its Metal Substrate

    DEFF Research Database (Denmark)

    Lizzit, Silvano; Larciprete, Rosanna; Lacovig, Paolo

    2012-01-01

    High-quality, large-area epitaxial graphene can be grown on metal surfaces, but its transport properties cannot be exploited because the electrical conduction is dominated by the substrate. Here we insulate epitaxial graphene on Ru(0001) by a stepwise intercalation of silicon and oxygen......, and the eventual formation of a SiO2 layer between the graphene and the metal. We follow the reaction steps by X-ray photoemission spectroscopy and demonstrate the electrical insulation using a nanoscale multipoint probe technique....

  7. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  8. Demonstration of molecular beam epitaxy and a semiconducting band structure for I-Mn-V compounds

    International Nuclear Information System (INIS)

    Jungwirth, T.; Novak, V.; Cukr, M.; Zemek, J.; Marti, X.; Horodyska, P.; Nemec, P.; Holy, V.; Maca, F.; Shick, A. B.; Masek, J.; Kuzel, P.; Nemec, I.; Gallagher, B. L.; Campion, R. P.; Foxon, C. T.; Wunderlich, J.

    2011-01-01

    Our ab initio theory calculations predict a semiconducting band structure of I-Mn-V compounds. We demonstrate on LiMnAs that high-quality materials with group-I alkali metals in the crystal structure can be grown by molecular beam epitaxy. Optical measurements on the LiMnAs epilayers are consistent with the theoretical electronic structure. Our calculations also reproduce earlier reports of high antiferromagnetic ordering temperature and predict large, spin-orbit-coupling-induced magnetic anisotropy effects. We propose a strategy for employing antiferromagnetic semiconductors in high-temperature semiconductor spintronics.

  9. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  10. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  11. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  12. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  13. Charge collection properties of heavily irradiated epitaxial silicon detectors

    International Nuclear Information System (INIS)

    Kramberger, G.; Cindro, V.; Dolenc, I.; Fretwurst, E.; Lindstroem, G.; Mandic, I.; Mikuz, M.; Zavrtanik, M.

    2005-01-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75μm thicknesses (ρ=50Ωcm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10 16 cm -2 . Charge collection for minimum ionizing electrons from a 90 Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC

  14. Charge collection properties of heavily irradiated epitaxial silicon detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kramberger, G. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)]. E-mail: Gregor.Kramberger@ijs.si; Cindro, V. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Dolenc, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Fretwurst, E. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Lindstroem, G. [University of Hamburg, Institut fuer Experimentalphysik, Luruper Chaussee 149, D-22761 Hamburg (Germany); Mandic, I. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Mikuz, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia); Zavrtanik, M. [Institute Jozef Stefan, Jamova 39, SI-1111 Ljubljana (Slovenia)

    2005-12-01

    Detectors processed on epitaxial silicon seem to be a viable solution for the extreme radiation levels in the innermost layers of tracking detectors at upgraded LHC (SLHC). A set of epitaxial pad detectors of 50 and 75{mu}m thicknesses ({rho}=50{omega}cm) was irradiated with 24GeV/c protons and reactor neutrons up to equivalent fluences of 10{sup 16}cm{sup -2}. Charge collection for minimum ionizing electrons from a {sup 90}Sr source was measured using a charge sensitive preamplifier and a 25ns shaping circuit. The dependence of collected charge on annealing time and operation temperature was studied. Results were used to predict the performance of fine pitch pixel detectors proposed for SLHC.

  15. Press Start

    Science.gov (United States)

    Harteveld, Casper

    This level sets the stage for the design philosophy called “Triadic Game Design” (TGD). This design philosophy can be summarized with the following sentence: it takes two to tango, but it takes three to design a meaningful game or a game with a purpose. Before the philosophy is further explained, this level will first delve into what is meant by a meaningful game or a game with a purpose. Many terms and definitions have seen the light and in this book I will specifically orient at digital games that aim to have an effect beyond the context of the game itself. Subsequently, a historical overview is given of the usage of games with a serious purpose which starts from the moment we human beings started to walk on our feet till our contemporary society. It turns out that we have been using games for all kinds of non-entertainment purposes for already quite a long time. With this introductory material in the back of our minds, I will explain the concept of TGD by means of a puzzle. After that, the protagonist of this book, the game Levee Patroller, is introduced. Based on the development of this game, the idea of TGD, which stresses to balance three different worlds, the worlds of Reality, Meaning, and Play, came into being. Interested? Then I suggest to quickly “press start!”

  16. Epitaxially Grown Layered MFI–Bulk MFI Hybrid Zeolitic Materials

    KAUST Repository

    Kim, Wun-gwi; Zhang, Xueyi; Lee, Jong Suk; Tsapatsis, Michael; Nair, Sankar

    2012-01-01

    The synthesis of hybrid zeolitic materials with complex micropore-mesopore structures and morphologies is an expanding area of recent interest for a number of applications. Here we report a new type of hybrid zeolite material, composed of a layered

  17. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  18. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  19. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  20. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  1. GaAs photovoltaics and optoelectronics using releasable multilayer epitaxial assemblies.

    Science.gov (United States)

    Yoon, Jongseung; Jo, Sungjin; Chun, Ik Su; Jung, Inhwa; Kim, Hoon-Sik; Meitl, Matthew; Menard, Etienne; Li, Xiuling; Coleman, James J; Paik, Ungyu; Rogers, John A

    2010-05-20

    Compound semiconductors like gallium arsenide (GaAs) provide advantages over silicon for many applications, owing to their direct bandgaps and high electron mobilities. Examples range from efficient photovoltaic devices to radio-frequency electronics and most forms of optoelectronics. However, growing large, high quality wafers of these materials, and intimately integrating them on silicon or amorphous substrates (such as glass or plastic) is expensive, which restricts their use. Here we describe materials and fabrication concepts that address many of these challenges, through the use of films of GaAs or AlGaAs grown in thick, multilayer epitaxial assemblies, then separated from each other and distributed on foreign substrates by printing. This method yields large quantities of high quality semiconductor material capable of device integration in large area formats, in a manner that also allows the wafer to be reused for additional growths. We demonstrate some capabilities of this approach with three different applications: GaAs-based metal semiconductor field effect transistors and logic gates on plates of glass, near-infrared imaging devices on wafers of silicon, and photovoltaic modules on sheets of plastic. These results illustrate the implementation of compound semiconductors such as GaAs in applications whose cost structures, formats, area coverages or modes of use are incompatible with conventional growth or integration strategies.

  2. Dynamics of the line-start reluctance motor with rotor made of SMC material

    Directory of Open Access Journals (Sweden)

    Smółka Krzysztof

    2017-12-01

    Full Text Available Design and control of electric motors in such a way as to ensure the expected motor dynamics, are the problems studied for many years. Many researchers tried to solve this problem, for example by the design optimization or by the use of special control algorithms in electronic systems. In the case of low-power and fractional power motors, the manufacture cost of the final product is many times less than cost of electronic system powering them. The authors of this paper attempt to improve the dynamic of 120 W line-start synchronous reluctance motor, energized by 50 Hz mains (without any electronic systems. The authors seek a road enabling improvement of dynamics of the analyzed motor, by changing the shape and material of the rotor, in such a way to minimize the modification cost of the tools necessary for the motor production. After the initial selection, the analysis of four rotors having different tooth shapes, was conducted.

  3. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  4. Changing of micromorphology of silicon-on-sapphire epitaxial layer surface at irradiation by subthreshold energy X-radiation

    CERN Document Server

    Kiselev, A N; Skupov, V D; Filatov, D O

    2001-01-01

    The morphology of silicon-on-sapphire epitaxial layer surface after pulse irradiation by the X-rays with the energy of <= 140 keV is studied. The study on the irradiated material surface is carried out by the methods of the atomic force microscopy and ellipsometry. The average roughness value after irradiation constitutes 7 nm. The change in the films surface microrelief occurs due to reconstruction of their dislocation structure under the action of elastic waves, originating in the X radiation

  5. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  6. Concise encyclopedia of semiconducting materials and related technologies

    CERN Document Server

    Mahajan, S M

    1992-01-01

    The development of electronic materials and particularly advances in semiconductor technology have played a central role in the electronics revolution by allowing the production of increasingly cheap and powerful computing equipment and advanced telecommunications devices. This Concise Encyclopedia, which incorporates relevant articles from the acclaimed Encyclopedia of Materials Science and Engineering as well as newly commissioned articles, emphasizes the materials aspects of semiconductors and the technologies important in solid-state electronics. Growth of bulk crystals and epitaxial layer

  7. Photovoltaic x-ray detectors based on the GaAs epitaxial structures

    CERN Document Server

    Akhmadullin, R A; Dvoryankina, G G; Dikaev, Y M; Ermakov, M G; Ermakova, O N; Krikunov, A I; Kudryashov, A A; Petrov, A G; Telegin, A A

    2002-01-01

    The new photovoltaic detector of the X-ray radiation is proposed on the basis of the GaAs epitaxial structures, which operates with high efficiency of the charge carriers collection without shift voltage and at the room temperature. The structures are grown by the method of the gas-phase epitaxy on the n sup + -type highly-alloyed substrates. The range of sensitivity to the X-ray radiation is within the range of effective energies from 8 up to 120 keV. The detector maximum response in the current short circuit mode is determined

  8. Epitaxial heterojunctions of oxide semiconductors and metals on high temperature superconductors

    Science.gov (United States)

    Vasquez, Richard P. (Inventor); Hunt, Brian D. (Inventor); Foote, Marc C. (Inventor)

    1994-01-01

    Epitaxial heterojunctions formed between high temperature superconductors and metallic or semiconducting oxide barrier layers are provided. Metallic perovskites such as LaTiO3, CaVO3, and SrVO3 are grown on electron-type high temperature superconductors such as Nd(1.85)Ce(0.15)CuO(4-x). Alternatively, transition metal bronzes of the form A(x)MO(3) are epitaxially grown on electron-type high temperature superconductors. Also, semiconducting oxides of perovskite-related crystal structures such as WO3 are grown on either hole-type or electron-type high temperature superconductors.

  9. The effects of strain relaxation on the dielectric properties of epitaxial ferroelectric Pb(Zr0.2Ti0.8)TiO3 thin films

    Science.gov (United States)

    Khan, Asif Islam; Yu, Pu; Trassin, Morgan; Lee, Michelle J.; You, Long; Salahuddin, Sayeef

    2014-07-01

    We study the effects of strain relaxation on the dielectric properties of epitaxial 40 nm Pb(Zr0.2Ti0.8)TiO3 (PZT) films. A significant increase in the defect and dislocation density due to strain relaxation is observed in PZT films with tetragonality c/a fatigue in ferroelectric materials.

  10. Anisotropic ferromagnetic behaviors in highly orientated epitaxial NiO-based thin films

    Directory of Open Access Journals (Sweden)

    Yu-Jun Zhang

    2015-07-01

    Full Text Available Antiferromagnetic materials attract a great amount of attention recently for promising antiferromagnet-based spintronics applications. NiO is a conventional antiferromagnetic semiconductor material and can show ferromagnetism by doping other magnetic elements. In this work, we synthesized epitaxial Fe-doped NiO thin films on SrTiO3 substrates with various crystal orientations by pulsed laser deposition. The room-temperature ferromagnetism of these films is anisotropic, including the saturated magnetization and the coercive field. The anisotropic magnetic behaviors of Fe-doped NiO diluted magnetic oxide system should be closely correlated to the magnetic structure of antiferromagnetic NiO base. Within the easy plane of NiO, the coercive field of the films becomes smaller, and larger coercive field while tested out of the easy plane of NiO. The saturated magnetization anisotropy is due to different strain applied by different substrates. These results lead us to more abundant knowledge of the exchange interactions in this conventional antiferromagnetic system.

  11. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  12. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  13. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    OpenAIRE

    Hyoungjeen Jeen; Ho Nyung Lee

    2015-01-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model syste...

  14. Epitaxial effects in thin films of high-Tc cuprates with the K2NiF4 structure

    Science.gov (United States)

    Naito, Michio; Sato, Hisashi; Tsukada, Akio; Yamamoto, Hideki

    2018-03-01

    La2-xSrxCuO4 (LSCO) and La2-xBaxCuO4 (LBCO) have been recognized as the archetype materials of "hole-doped" high-Tc superconductors. Their crystal structures are relatively simple with a small number of constituent cation elements. In addition, the doping level can be varied by the chemical substitution over a wide range enough to obtain the full spectrum of doping-dependent electronic and magnetic properties. These attractive features have dedicated many researchers to thin-film growth of LSCO and LBCO. The critical temperature (Tc) of LSCO and LBCO is sensitive to strain as manifested by a positive pressure coefficient of Tc in bulk samples. In general, films are strained if they are grown on lattice-mismatched substrates (epitaxial strain). Early attempts (before 1997) at the growth of LSCO and LBCO films resulted in depressed Tc below 30 K as they were grown on a commonly used SrTiO3 substrate (in-plane lattice parameter asub = 3.905 Å): the in-plane lattice parameters of LSCO and LBCO are ≤3.80 Å, and hence tensile epitaxial strain is introduced. The situation was changed by the use of LaSrAlO4 substrates with a slightly shorter in-plane lattice constant (asub = 3.756 Å). On LaSrAlO4 substrates, the Tc reaches 45 K in La1.85Sr0.15CuO4, 47 K in La1.85Ba0.15CuO4, and 56 K in ozone-oxidized La2CuO4+δ films, substantially higher than the Tc's of the bulk compounds. The Tc increase in La1.85Sr0.15CuO4 films on LaSrAlO4 and decrease on SrTiO3 are semi-quantitatively in accord with the phenomenological estimations based on the anisotropic strain coefficients of Tc (dTc/dεi). In this review article, we describe the growth and properties of films of cuprates having the K2NiF4 structure, mainly focusing on the increase/decrease of Tc by epitaxial strain and quasi-stable phase formation by epitaxial stabilization. We further extract the structural and/or physical parameters controlling Tc toward microscopic understanding of the variation of Tc by epitaxial strain.

  15. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  16. Improvement of Metal-Graphene Ohmic Contact Resistance in Bilayer Epitaxial Graphene Devices

    International Nuclear Information System (INIS)

    He Ze-Zhao; Yang Ke-Wu; Yu Cui; Li Jia; Liu Qing-Bin; Lu Wei-Li; Feng Zhi-Hong; Cai Shu-Jun

    2015-01-01

    We report on an improved metal-graphene ohmic contact in bilayer epitaxial graphene on a SiC substrate with contact resistance below 0.1 ω·mm. Monolayer and bilayer epitaxial graphenes are prepared on a 4H-SiC substrate in this work. Their contact resistances are measured by a transfer length method. An improved photoresist-free device fabrication method is used and is compared with the conventional device fabrication method. Compared with the monolayer graphene, the contact resistance R c of bilayer graphene improves from an average of 0.24 ω·mm to 0.1 ω·mm. Ohmic contact formation mechanism analysis by Landauer's approach reveals that the obtained low ohmic contact resistance in bilayer epitaxial graphene is due to their high carrier density, high carrier transmission probability, and p-type doping introduced by contact metal Au. (paper)

  17. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  18. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  19. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  20. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding; Wu, Feng; Park, Young Jae; Al tahtamouni, T. M.; Li, Kuang-Hui; Alfaraj, Nasir; Detchprohm, Theeradetch; Dupuis, Russell D.; Li, Xiaohang

    2017-01-01

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon's significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  1. Influence of TMAl preflow on AlN epitaxy on sapphire

    KAUST Repository

    Sun, Haiding

    2017-05-12

    The trimethylaluminum (TMAl) preflow process has been widely applied on sapphire substrates prior to growing Al-polar AlN films by metalorganic chemical vapor deposition. However, it has been unclear how the TMAl preflow process really works. In this letter, we reported on carbon\\'s significance in the polarity and growth mode of AlN films due to the TMAl preflow. Without the preflow, no trace of carbon was found at the AlN/sapphire interface and the films possessed mixed Al- and N-polarity. With the 5 s preflow, carbon started to precipitate due to the decomposition of TMAl, forming scattered carbon-rich clusters which were graphitic carbon. It was discovered that the carbon attracted surrounding oxygen impurity atoms and consequently suppressed the formation of AlxOyNz and thus N-polarity. With the 40 s preflow, the significant presence of carbon clusters at the AlN/sapphire interface was observed. While still attracting oxygen and preventing the N-polarity, the carbon clusters served as randomly distributed masks to further induce a 3D growth mode for the AlN growth. The corresponding epitaxial growth mode change is discussed.

  2. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  3. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  4. Epitaxial Al2O3 capacitors for low microwave loss superconducting quantum circuits

    Directory of Open Access Journals (Sweden)

    K.-H. Cho

    2013-10-01

    Full Text Available We have characterized the microwave loss of high-Q parallel plate capacitors fabricated from thin-film Al/Al2O3/Re heterostructures on (0001 Al2O3 substrates. The superconductor-insulator-superconductor trilayers were grown in situ in a hybrid deposition system: the epitaxial Re base and polycrystalline Al counterelectrode layers were grown by sputtering, while the epitaxial Al2O3 layer was grown by pulsed laser deposition. Structural analysis indicates a highly crystalline epitaxial Al2O3 layer and sharp interfaces. The measured intrinsic (low-power, low-temperature quality factor of the resonators is as high as 3 × 104. These results indicate that low-loss grown Al2O3 is an attractive candidate dielectric for high-fidelity superconducting qubit circuits.

  5. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  6. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  7. A critical discussion of the vacancy diffusion model of ion beam induced epitaxial crystallization

    International Nuclear Information System (INIS)

    Heera, V.

    1989-01-01

    A simple vacancy diffusion model of ion beam induced epitaxial crystallization of silicon including divacancy formation is developed. The model reproduces some of the experimental findings, as e.g. the dose rate dependence of the crystallization rate. However, the measured activation energy of the ion beam induced epitaxial crystallization cannot be accounted for by vacancy diffusion alone. (author)

  8. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  9. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  10. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  11. Strain induced ionic conductivity enhancement in epitaxial Ce0.9Gd0.1O22d

    DEFF Research Database (Denmark)

    Kant, K. Mohan; Esposito, Vincenzo; Pryds, Nini

    2012-01-01

    -plane ionic conductivity in CGO epitaxial thin films. The ionic conductivity is found to increase with decrease in buffer layer thickness. The tailored ionic conductivity enhancement is explained in terms of close relationships among epitaxy, strain, and ionic conductivity....

  12. Wafer scale millimeter-wave integrated circuits based on epitaxial graphene in high data rate communication.

    Science.gov (United States)

    Habibpour, Omid; He, Zhongxia Simon; Strupinski, Wlodek; Rorsman, Niklas; Zirath, Herbert

    2017-02-01

    In recent years, the demand for high data rate wireless communications has increased dramatically, which requires larger bandwidth to sustain multi-user accessibility and quality of services. This can be achieved at millimeter wave frequencies. Graphene is a promising material for the development of millimeter-wave electronics because of its outstanding electron transport properties. Up to now, due to the lack of high quality material and process technology, the operating frequency of demonstrated circuits has been far below the potential of graphene. Here, we present monolithic integrated circuits based on epitaxial graphene operating at unprecedented high frequencies (80-100 GHz). The demonstrated circuits are capable of encoding/decoding of multi-gigabit-per-second information into/from the amplitude or phase of the carrier signal. The developed fabrication process is scalable to large wafer sizes.

  13. Wafer scale millimeter-wave integrated circuits based on epitaxial graphene in high data rate communication

    Science.gov (United States)

    Habibpour, Omid; He, Zhongxia Simon; Strupinski, Wlodek; Rorsman, Niklas; Zirath, Herbert

    2017-02-01

    In recent years, the demand for high data rate wireless communications has increased dramatically, which requires larger bandwidth to sustain multi-user accessibility and quality of services. This can be achieved at millimeter wave frequencies. Graphene is a promising material for the development of millimeter-wave electronics because of its outstanding electron transport properties. Up to now, due to the lack of high quality material and process technology, the operating frequency of demonstrated circuits has been far below the potential of graphene. Here, we present monolithic integrated circuits based on epitaxial graphene operating at unprecedented high frequencies (80-100 GHz). The demonstrated circuits are capable of encoding/decoding of multi-gigabit-per-second information into/from the amplitude or phase of the carrier signal. The developed fabrication process is scalable to large wafer sizes.

  14. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  15. Magnetic anisotropy basis sets for epitaxial (110) and (111) REFe2 nanofilms

    International Nuclear Information System (INIS)

    Bowden, G J; Martin, K N; Fox, A; Rainford, B D; Groot, P A J de

    2008-01-01

    Magnetic anisotropy basis sets for the cubic Laves phase rare earth intermetallic REFe 2 compounds are discussed in some detail. Such compounds can be either free standing, or thin films grown in either (110) or (111) mode using molecular beam epitaxy. For the latter, it is useful to rotate to a new coordinate system where the z-axis coincides with the growth axes of the film. In this paper, three symmetry adapted basis sets are given, for multi-pole moments up to n = 12. These sets can be used for free-standing compounds and for (110) and (111) epitaxial films. In addition, the distortion of REFe 2 films, grown on sapphire substrates, is also considered. The distortions are different for the (110) and (111) films. Strain-induced harmonic sets are given for both specific and general distortions. Finally, some predictions are made concerning the preferred direction of easy magnetization in (111) molecular beam epitaxy grown REFe 2 films

  16. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  17. Preparation and properties of thin films treatise on materials science and technology

    CERN Document Server

    Tu, K N

    1982-01-01

    Treatise on Materials Science and Technology, Volume 24: Preparation and Properties of Thin Films covers the progress made in the preparation of thin films and the corresponding study of their properties. The book discusses the preparation and property correlations in thin film; the variation of microstructure of thin films; and the molecular beam epitaxy of superlattices in thin film. The text also describes the epitaxial growth of silicon structures (thermal-, laser-, and electron-beam-induced); the characterization of grain boundaries in bicrystalline thin films; and the mechanical properti

  18. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  19. Quantum Hall effect in epitaxial graphene with permanent magnets.

    Science.gov (United States)

    Parmentier, F D; Cazimajou, T; Sekine, Y; Hibino, H; Irie, H; Glattli, D C; Kumada, N; Roulleau, P

    2016-12-06

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  20. Quantum Hall effect in epitaxial graphene with permanent magnets

    Science.gov (United States)

    Parmentier, F. D.; Cazimajou, T.; Sekine, Y.; Hibino, H.; Irie, H.; Glattli, D. C.; Kumada, N.; Roulleau, P.

    2016-12-01

    We have observed the well-kown quantum Hall effect (QHE) in epitaxial graphene grown on silicon carbide (SiC) by using, for the first time, only commercial NdFeB permanent magnets at low temperature. The relatively large and homogeneous magnetic field generated by the magnets, together with the high quality of the epitaxial graphene films, enables the formation of well-developed quantum Hall states at Landau level filling factors v = ±2, commonly observed with superconducting electro-magnets. Furthermore, the chirality of the QHE edge channels can be changed by a top gate. These results demonstrate that basic QHE physics are experimentally accessible in graphene for a fraction of the price of conventional setups using superconducting magnets, which greatly increases the potential of the QHE in graphene for research and applications.

  1. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  2. Optical properties of pure and Ce3+ doped gadolinium gallium garnet crystals and epitaxial layers

    International Nuclear Information System (INIS)

    Syvorotka, I.I.; Sugak, D.; Wierzbicka, A.; Wittlin, A.; Przybylińska, H.; Barzowska, J.; Barcz, A.; Berkowski, M.; Domagała, J.; Mahlik, S.; Grinberg, M.; Ma, Chong-Geng

    2015-01-01

    Results of X-ray diffraction and low temperature optical absorption measurements of cerium doped gadolinium gallium garnet single crystals and epitaxial layers are reported. In the region of intra-configurational 4f–4f transitions the spectra of the bulk crystals exhibit the signatures of several different Ce 3+ related centers. Apart from the dominant center, associated with Ce substituting gadolinium, at least three other centers are found, some of them attributed to the so-called antisite locations of rare-earth ions in the garnet host, i.e., in the Ga positions. X-ray diffraction data prove lattice expansion of bulk GGG crystals due to the presence of rare-earth antisites. The concentration of the additional Ce-related centers in epitaxial layers is much lower than in the bulk crystals. However, the Ce-doped layers incorporate a large amount of Pb from flux, which is the most probable source of nonradiative quenching of Ce luminescence, not observed in crystals grown by the Czochralski method. - Highlights: • Ce 3+ multicenters found in Gadolinium Gallium Garnet crystals and epitaxial layers. • High quality epitaxial layers of pure and Ce-doped GGG were grown. • Luminescence quenching of Ce 3+ by Pb ions from flux detected in GGG epitaxial layers. • X-ray diffraction allows measuring the amount of the rare-earth antisites in GGG

  3. Sidewall GaAs tunnel junctions fabricated using molecular layer epitaxy

    Directory of Open Access Journals (Sweden)

    Takeo Ohno and Yutaka Oyama

    2012-01-01

    Full Text Available In this article we review the fundamental properties and applications of sidewall GaAs tunnel junctions. Heavily impurity-doped GaAs epitaxial layers were prepared using molecular layer epitaxy (MLE, in which intermittent injections of precursors in ultrahigh vacuum were applied, and sidewall tunnel junctions were fabricated using a combination of device mesa wet etching of the GaAs MLE layer and low-temperature area-selective regrowth. The fabricated tunnel junctions on the GaAs sidewall with normal mesa orientation showed a record peak current density of 35 000 A cm-2. They can potentially be used as terahertz devices such as a tunnel injection transit time effect diode or an ideal static induction transistor.

  4. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  5. Aspergillus Associated with Meju, a Fermented Soybean Starting Material for Traditional Soy Sauce and Soybean Paste in Korea

    OpenAIRE

    Hong, Seung-Beom; Kim, Dae-Ho; Samson, Robert A.

    2015-01-01

    Aspergillus is an important fungal genus used for the fermentation of Asian foods; this genus is referred to as koji mold in Japan and China. A. oryzae, A. sojae, and A. tamari are used in the production of miso and shoyu in Japan, but a comprehensive taxonomic study of Aspergillus isolated from Meju, a fermented soybean starting material for traditional soy sauce and soybean paste in Korea, has not been conducted. In this study, various Aspergillus species were isolated during a study of the...

  6. The hard X-ray response of epitaxial GaAs detectors

    CERN Document Server

    Owens, A; Kraft, S; Peacock, A; Nenonen, S; Andersson, H

    2000-01-01

    We report on hard X-ray measurements with two epitaxial GaAs detectors of active areas 2.22 mm sup 2 and thicknesses 40 and 400 mu m at the ESRF and HASYLAB synchrotron research facilities. The detectors were fabricated using high-purity material and in spite of an order of magnitude difference in depletion depths, they were found to have comparable performances with energy resolutions at -45 deg. C of approx 1 keV fwhm at 7 keV rising to approx 2 keV fwhm at 200 keV and noise floors in the range 1-1.5 keV. At energies <30 keV, the energy resolution was dominated by leakage current and electromagnetic pick-up, while at the highest energies measured, the resolutions approach the expected Fano limit (e.g., approx 1 keV near 200 keV). Both detectors are remarkably linear, with average rms non-linearities of 0.2% over the energy range 10-60 keV, which, taken in conjunction with Monte-Carlo results indicate that charge collection efficiencies must be in excess of 98%. This is consistent with material science me...

  7. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, S.; Sugimoto, K.; Shugyo, S.; Matsuda, S. [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan); Hirao, T. [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan)

    1998-12-01

    Single-Event Burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs, including small signal transistors, with thinner epitaxial layers were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified.

  8. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  9. Microwave impedance of epitaxial high-temperature superconductor films

    International Nuclear Information System (INIS)

    Melkov, G.A.; Malyshev, V.Yu.; Bagada, A.V.

    1995-01-01

    In the 3 cm band dependences of the epitaxial HTS film surface resistance on the magnitude of ac and dc magnetic fields have been measured. YBa 2 Cu 3 O 7-σ films on sapphire were investigated. It was established that alternating magnetic field produces a stronger impact on the surface resistance than dc field. To explain experimental results the assumption is made that a HTS film is not an ideal superconductor and consists of series-connected sections of various types: sections of an ideal superconductor, sections of low and large resistance intragranular Josephson junctions, shunted by the ideal superconductor, and finally, sections of intergranular Josephson junctions few for epitaxial films. In these conditions the dependences of the surface resistance on dc magnetic field are caused by Abrikosov's vortices moving in ideal superconductive sections, and dependences on the amplitude of ac magnetic field are caused by switching of large resistance junctions to a low resistance state

  10. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  11. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  12. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  13. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  14. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping; Wang, Xinqiang; Wang, Tao; Tan, Chih Shan; Sheng, Bowen; Sun, Xiaoxiao; Li, Mo; Rong, Xin; Zheng, Xiantong; Chen, Zhaoying; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Zhang, Jian; Zhang, Xixiang; Shen, Bo

    2017-01-01

    -resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N

  15. A novel epitaxially grown LSO-based thin-film scintillator for micro-imaging using hard synchrotron radiation

    Energy Technology Data Exchange (ETDEWEB)

    Douissard, P.A.; Martin, T.; Chevalier, V.; Rack, A. [European Synchrotron Radiat Facil, F-38043 Grenoble, (France); Cecilia, A.; Baumbach, T.; Rack, A. [Karlsruhe Inst Technol ANKA, D-76021 Karlsruhe, (Germany); Couchaud, M. [CEA LETI, F-38054 Grenoble, (France); Dupre, K. [FEE GmbH, D-55743 Idar Oberstein, (Germany); Kuhbacher, M. [Helmholtz Zentrum Berlin Mat and Energie, D-14109 Berlin, (Germany)

    2010-07-01

    The efficiency of high-resolution pixel detectors for hard X-rays is nowadays one of the major criteria which drives the feasibility of imaging experiments and in general the performance of an experimental station for synchrotron-based microtomography and radiography. Here the luminescent screen used for the indirect detection is focused on in order to increase the detective quantum efficiency a novel scintillator based on doped Lu{sub 2}SiO{sub 5} (LSO), epitaxially grown as thin film via the liquid phase epitaxy technique. It is shown that, by using adapted growth and doping parameters as well as a dedicated substrate, the scintillation behaviour of a LSO-based thin crystal together with the high stopping power of the material allows for high-performance indirect X-ray detection. In detail, the conversion efficiency, the radioluminescence spectra, the optical absorption spectra under UV/visible-light and the afterglow are investigated. A set-up to study the effect of the thin-film scintillator's temperature on its conversion efficiency is described as well it delivers knowledge which is important when working with higher photon flux densities and the corresponding high heat load on the material. Additionally, X-ray imaging systems based on different diffraction-limited visible-light optics and CCD cameras using among others LSO-based thin film are compared. Finally, the performance of the LSO thin film is illustrated by imaging a honey bee leg, demonstrating the value of efficient high-resolution computed tomography for life sciences. (authors)

  16. Epitaxial lift-off for solid-state cavity quantum electrodynamics

    International Nuclear Information System (INIS)

    Greuter, Lukas; Najer, Daniel; Kuhlmann, Andreas V.; Starosielec, Sebastian; Warburton, Richard J.; Valentin, Sascha R.; Ludwig, Arne; Wieck, Andreas D.

    2015-01-01

    We demonstrate an approach to incorporate self-assembled quantum dots into a Fabry-Pérot-like microcavity. Thereby, a 3λ/4 GaAs layer containing quantum dots is epitaxially removed and attached by van der Waals bonding to one of the microcavity mirrors. We reach a finesse as high as 4100 with this configuration limited by the reflectivity of the dielectric mirrors and not by scattering at the semiconductor-mirror interface, demonstrating that the epitaxial lift-off procedure is a promising procedure for cavity quantum electrodynamics in the solid state. As a first step in this direction, we demonstrate a clear cavity-quantum dot interaction in the weak coupling regime with a Purcell factor in the order of 3. Estimations of the coupling strength via the Purcell factor suggest that we are close to the strong coupling regime

  17. Single-event burnout of epitaxial bipolar transistors

    Energy Technology Data Exchange (ETDEWEB)

    Kuboyama, Satoshi; Sugimoto, Kenji; Matsuda, Sumio [National Space Development Agency of Japan, Ysukuba, Ibaraki (Japan); Hirao, Toshio

    1998-10-01

    Single-event burnout (SEB) of bipolar junction transistors (BJTs) has been observed nondestructively. It was revealed that all the NPN BJTs including small signal transistors with thinner epitaxial layer were inherently susceptible to the SEB phenomenon. It was demonstrated that several design parameters of BJTs were responsible for SEB susceptibility. Additionally, destructive and nondestructive modes of SEB were identified. (author)

  18. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  19. Bi-epitaxial tilted out-of-plane YBCO junctions on NdGaO{sub 3} substrates with YSZ seeding layer

    Energy Technology Data Exchange (ETDEWEB)

    Mozhaev, P.B. (Institute of Physics and Technology RAS, Moscow (Russian Federation)); Mozhaev, J.E.; Bindslev Hansen, J.; Jacobsen, C.S. (Technical Univ. of Denmark, Dept. of Physics, Kgs. Lyngby (Denmark)); Kotelyanskil, I.M.; Luzanov, V.A. (Institute of Radio Engineering and Electronics RAS, Moscow (Russian Federation)); Benacka, S.; Strbik, V. (Institute of Electrical Engineering SAS, Bratislava (SK))

    2008-10-15

    Bi-epitaxial junctions with out-of plane tilt of the c axis were fabricated of YBCO superconducting thin films on NdGaO{sub 3} substrates with different miscut angles. Bi-epitaxial growth was provided by implementation of an Y:ZrO{sub 2} seeding layer on a certain part of the substrate. Junctions with different orientation of the bi-epitaxial boundaries were fabricated, their DC electrical properties were studied as a function of the boundary orientation angle. The junctions showed extremely high critical current densities for all tested miscut angles and bi-epitaxial boundary orientations (about 105 A/cm2 at 77 K and up to 106 A/cm2 at 4.2 K). The dependence of critical current density on the bi-epitaxial boundary orientation angle may be explained as an effect of a d-wave pairing mechanism in the HTSC with the simple Sigrist-Rice model. The studied boundaries may be considered as model structures for the grain boundaries in the coated conductors. (au)

  20. Bulletin of Materials Science | Indian Academy of Sciences

    Indian Academy of Sciences (India)

    Role of PET in improving wear properties of PP in dry sliding condition ... Material characterization of ancient Indian copper ... Influence of degree of deformation in rolling on anneal hardening effect of a cast copper alloy .... Modified technique of using conventional slider boat for liquid phase epitaxy of silicon for solar cell ...

  1. Plasticity and microstructure of epitaxial Ag/Ni multilayers; Mechanische Eigenschaften und Mikrostruktur epitaktischer Ag/Ni-Multilagenschichten

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Tobias K.

    2007-10-15

    To meet the still increasing technical demands of new materials, it is required to improve basic knowledge of thin films and multilayers. This thesis describes the microstructure and mechanical behaviour of thin epitaxial Ag/Ni-multilayers. Former investigations were only done on polycrystalline multilayers or epitaxial single layers. The manufacture of epitaxial Ag/Ni-multilayers on (111) orientated Si-substrates was performed by a magnetron sputtering technique under ultra high vacuum (UHV). The thickness of the alternating Ag- and Ni-layers varies between 100 and 400 nm, the thickness of the whole film varies between 200 and 800 nm. Hardness and flow stress of Ag/Ni-multilayers were measured with a nanoindentation technique, a substrate curvature method and by X-ray diffraction. The hardness of these multilayers varies between 1.5 and 2.0 GPa. The Ag single film hardness is 0.5 GPa and Ni film 1.8 GPa. The flow stress of the Ag/Ni-multilayers varies between 350 and 800 MPa. The Ag single layer shows a flow stress of 100 MPa and Ni of 450 MPa. Both hardness and flow stress increase with decreasing layer thickness. In situ TEM and HRTEM experiments showed a semicoherent Ag/Ni-interface. It was observed that these interfaces act as sources and sinks. Dislocation loops formed at the interface expand and shrink according to the stress state. They combine with loops from the opposite interface or with the interface itself and form threading dislocations. Dislocation loops penetrating an interface were not observed. Results were compared with various models which simulate flow stress in thin films and multilayers. The most important models are calculated by Nix-Freund, the Source-model after von Blanckenhagen and the Hall-Petch-model. (orig.)

  2. Cross-linking of polymeric materials

    International Nuclear Information System (INIS)

    Bloom, L.I.; Du Plessis, T.A.; Meij, G.O.

    1991-01-01

    The invention provides a method of producing a cured polymeric artifact from a polymeric thermoplastic starting material, the material of the artifact having reduced thermoplasticity relative to the starting material and exhibiting an enhanced degree of cross-linking relative to the starting material. The method includes subjecting a polymeric thermoplastic starting material, which is capable of being cross-linked by irradiation, to sufficient irradiation partially to cross-linked the starting material to produce a thermoplastic partially cross-linked intermediate material. The thermoplasticity of the intermediate material is then reduced by heating it to raise its melting point. The invention also provides a method of making a partially cross-linked feedstocks and a master batch for use in making such artifacts

  3. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  4. Capture Zone Distributions and Island Morphologies in Organic Epitaxy and Graphene Formation

    Science.gov (United States)

    Pimpinelli, Alberto; Einstein, T. L.

    2013-03-01

    Stating that island nucleation is an essential step in the formation of an epitaxial or supported layer may appear trivially obvious. However, less trivial is the observation that the size of the critical nucleus plays a crucial role in that it determines both the island density (and therefore the size of domains) and the evolution of the island morphology. In this talk we will describe recent developments in the analysis of capture zone distributions (CZD) specifically tailored for application to organic materials. We will also describe specific features of organic and graphene island morphologies, and discuss how they are related to the nucleation process and to the size of the critical nucleus. Work at UMD supported by NSF-MRSEC, Grant DMR 05-20471 and NSF CHE 07-49949

  5. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  6. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  7. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  8. Selenidation of epitaxial silicene on ZrB2

    NARCIS (Netherlands)

    Wiggers, F. B.; Yamada-Takamura, Y.; Kovalgin, A. Y.; de Jong, M. P.

    2018-01-01

    The deposition of elemental Se on epitaxial silicene on ZrB2 thin films was investigated with synchrotron-based core-level photoelectron spectroscopy and low-energy electron diffraction. The deposition of Se at room temperature caused the appearance of Si 2p peaks with chemical shifts of n × 0.51 ±

  9. Self-organisation processes in the chemistry of materials

    International Nuclear Information System (INIS)

    Tretyakov, Yuri D

    2003-01-01

    The review concerns conservative and dissipative self-organisation phenomena in those physicochemical systems, whose evolution involves formation of diverse chemically complex products, including functional ceramics, supramolecular compounds, and nanocomposites as well as fractal, template and epitaxial structures. It is demonstrated that the use of nonlinear dynamics approaches facilitates organisation of the reaction zone during the synthesis of materials under nonequilibrium conditions in an optimum manner and that biomimetism and biomineralisation processes open up new prospects for materials design.

  10. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  11. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  12. Concentric Multiple Rings by Droplet Epitaxy: Fabrication and Study of the Morphological Anisotropy

    Directory of Open Access Journals (Sweden)

    Somaschini C

    2010-01-01

    Full Text Available Abstract We present the Molecular Beam Epitaxy fabrication of complex GaAs/AlGaAs nanostructures by Droplet Epitaxy, characterized by the presence of concentric multiple rings. We propose an innovative experimental procedure that allows the fabrication of individual portions of the structure, controlling their diameter by only changing the substrate temperature. The obtained nanocrystals show a significant anisotropy between [110] and [1–10] crystallographic directions, which can be ascribed to different activation energies for the Ga atoms migration processes.

  13. Nanoporous Silica Templated HeteroEpitaxy: Final LDRD Report.

    Energy Technology Data Exchange (ETDEWEB)

    Burckel, David Bruce; Koleske, Daniel; Rowen, Adam M.; Williams, John Dalton; Fan, Hongyou; Arrington, Christian Lew

    2006-11-01

    This one-year out-of-the-box LDRD was focused on exploring the use of porous growth masks as a method for defect reduction during heteroepitaxial crystal growth. Initially our goal was to investigate porous silica as a growth mask, however, we expanded the scope of the research to include several other porous growth masks on various size scales, including mesoporous carbon, and the UV curable epoxy, SU-8. Use of SU-8 as a growth mask represents a new direction, unique in the extensive literature of patterned epitaxial growth, and presents the possibility of providing a single step growth mask. Additional research included investigation of pore viability via electrochemical deposition into high aspect ratio photoresist patterns and pilot work on using SU-8 as a DUV negative resist, another significant potential result. While the late start nature of this project pushed some of the initial research goals out of the time table, significant progress was made. 3 Acknowledgements This work was performed in part at the Nanoscience @ UNM facility, a member of the National Nanotechnology Infrastructure Network, which is supported by the National Science Foundation (Grant ECS 03-35765). Sandia is multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United Stated Department of Energy's National Nuclear Security Administration under Contract DE-AC04-94AL85000. This work was supported under the Sandia LDRD program (Project 99405). 4

  14. Growth mechanisms of plasma-assisted molecular beam epitaxy of green emission InGaN/GaN single quantum wells at high growth temperatures

    International Nuclear Information System (INIS)

    Yang, W. C.; Wu, C. H.; Tseng, Y. T.; Chiu, S. Y.; Cheng, K. Y.

    2015-01-01

    The results of the growth of thin (∼3 nm) InGaN/GaN single quantum wells (SQWs) with emission wavelengths in the green region by plasma-assisted molecular beam epitaxy are present. An improved two-step growth method using a high growth temperature up to 650 °C is developed to increase the In content of the InGaN SQW to 30% while maintaining a strong luminescence intensity near a wavelength of 506 nm. The indium composition in InGaN/GaN SQW grown under group-III-rich condition increases with increasing growth temperature following the growth model of liquid phase epitaxy. Further increase in the growth temperature to 670 °C does not improve the photoluminescence property of the material due to rapid loss of indium from the surface and, under certain growth conditions, the onset of phase separation

  15. Epitaxy - a new technology for fabrication of advanced silicon radiation detectors

    International Nuclear Information System (INIS)

    Kemmer, J.; Wiest, F.; Pahlke, A.; Boslau, O.; Goldstrass, P.; Eggert, T.; Schindler, M.; Eisele, I.

    2005-01-01

    Twenty five years after the introduction of the planar process to the fabrication of silicon radiation detectors a new technology, which replaces the ion implantation doping by silicon epitaxy is presented. The power of this new technique is demonstrated by fabrication of silicon drift detectors (SDDs), whereby both the n-type and p-type implants are replaced by n-type and p-type epi-layers. The very first SDDs ever produced with this technique show energy resolutions of 150 eV for 55 Fe at -35 deg C. The area of the detectors is 10 mm 2 and the thickness 300 μm. The high potential of epitaxy for future detectors with integrated complex electronics is described

  16. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  17. Electronic structure of epitaxial chalcopyrite surfaces and interfaces for photovoltaics

    International Nuclear Information System (INIS)

    Hofmann, Andreas

    2012-01-01

    This thesis constitutes a comprehensive study of the surface physics of epitaxial CuInSe 2 films. It comprises analyses of the surface morphology and reconstruction, electronic band structure as well as hetero-junctions relevant to photovoltaic applications. Therefore, especially the aspect of stoichiometry variation from the CuInSe 2 to the copper-deficient defect phases was considered. Preparation and analysis was completely performed under ultra-high vacuum conditions in order to ensure the investigation of well-defined samples free of contaminants. For some of the analysis techniques, single-crystalline samples are indispensable: They allow for the determination of surface periodicity by low-energy electron diffraction (LEED). In combination with concentration depth profiling by angle-resolved x-ray photoemission, to types of surface reconstructions could be distinguished for the near-stoichiometric CuInSe 2 (112) surface. In the copper-rich case, it is stabilized by Cu In anti-site defects and on the indium-rich side by 2 V Cu defects, as predicted by surface total energy calculations by Jaffe and Zunger. Both configurations correspond to a c(4 x 2) reconstruction of the zinc blende type (111) surface. For the defect compound CuIn 3 Se 5 , a sphalerite order of the surface was found, which points at a weakening or absence of the chalcopyrite order in the bulk of the material. The unusual stability of the (112) surface could also be proven by comparison with the reconstruction and surface order of (001) and (220) surfaces. The results from surface analysis were used to measure the valence band structure of the epitaxial samples by synchrotron-based angle-resolved photoelectron spectroscopy. The CuInSe 2 (001) surface gives access to the high symmetry directions Γ-T and Γ-N of momentum space. By contrasting the data obtained for the stoichiometric surface with the copper-poor defect compound, a reduction of the valence band dispersion and a broadening of

  18. Effect of starting materials and processing variables for the production of discontinuous filament Nb3Sn wire

    International Nuclear Information System (INIS)

    Upadhyay, P.L.; Dew-Hughes, D.

    1986-01-01

    Discontinuous multifilamentary wires of Nb 3 Sn have been prepared from compacted mixtures of 30 wt. %Nb in Cu, extruded, drawn, annealed, tin plated and reacted. Processing variables include starting materials, extrusion ratio and extrusion temperature. Continuous lengths of wire could be satisfactorily produced from compacts of either ultra-pure Nb (VPN about 95 kg mm -2 ) and Cu powder or from centrifugal arc-cast Nb spheroids (VPN about 120 kg mm -2 ) and tough pitch Cu powder. After a total area reduction of 10 4 : 1, the latter materials resulted in long, unbroken, highly regular filaments of Nb about 6μm in diameter. The high degree of perfection of these filaments is due in part to the uniformity of the initial spheroids, compared to the highly irregular hydride-dehydride Nb powder. However their greater hardness requires that the spheroids be coprocessed in a less-pure Cu matrix. Critical currents were measured on helical specimens involving more than 1m length of wire, in fields up to 15T at 4.2 K, after reaction for various times at different temperatures. Overall current densities of 3 X 10 8 Am -2 were obtained at 12T in the best samples. Further reductions are expected to produce material with improved current densities

  19. Epitaxial AlN layers on sapphire and diamond; Epitaktische AlN-Schichten auf Saphir und Diamant

    Energy Technology Data Exchange (ETDEWEB)

    Hermann, Martin

    2009-04-27

    In this work, epitaxial AlN layers deposited by molecular beam epitaxy on sapphire and diamond substrates were investigated. Starting from this AlN, the dopant silicon was added. The influence of the silicon doping on the structural properties of the host AlN crystal was investigated using high resolution X-ray diffraction. Once the silicon concentration exceeds 1 x 10{sup 19} cm{sup -3}, a significant change of the AlN:Si crystal can be observed: increasing the silicon concentration up to 5 x 10{sup 20} cm{sup -3} results in a decrease of the a lattice parameter by approximately 1.2 pm and an increase of the c lattice parameter by about 1.0 pm. The crystal is stressed additionally by adding silicon resulting in a increase of the biaxial compressive stress of up to 2.0 GPa. Further increase of the silicon concentration leads to lattice relaxation. This result from X-ray diffraction was independently confirmed by Raman spectroscopy investigations. Further increase of the silicon concentration leads to the generation of polycrystalline phases within the epitaxial layer. XTEM measurements detected these polycrystalline phases. In addition, XTEM investigations confirmed also the increase of the lateral crystal size with increasing silicon concentration, as well as a great reduction of the screw dislocation density by more than one order of magnitude as found by X-ray diffraction: in undoped, nitrogen rich grown AlN layers the screw dislocation density is about 3 x 10{sup 8} cm{sup -2}, while AlN layers with a silicon concentration of 5 x 10{sup 20} cm{sup -3} show a screw dislocation density of only 1 x 10{sup 7} cm{sup -2}. In low-doped AlN:Si ([Si]{approx}2 x 10{sup 19} cm{sup -3}) the activation energy of the electronic conductivity is about 250 meV. Increasing the silicon concentration to about 1 x 10{sup 21} cm{sup -3} leads to an increase of the activation energy up to more than 500 meV in the now much more stressed AlN:Si epilayer. Studies of the absorption

  20. Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO3 interface (invited)

    International Nuclear Information System (INIS)

    Radaelli, G.; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R.

    2014-01-01

    Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO 3 (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures

  1. Strain in epitaxial high-index Bi{sub 2}Se{sub 3}(221) films grown by molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Li, Bin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Chen, Weiguang [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Guo, Xin; Ho, Wingkin [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong); Dai, Xianqi [College of Physics and Electronic Engineering, Henan Normal University, Xinxiang, Henan 453007 (China); School of Physics and Electronic Engineering, Zhengzhou Normal University, Zhengzhou, Henan 450044 (China); Jia, Jinfeng [Key Laboratory of Artificial Structures and Quantum Control (Ministry of Education), Collaborative Innovation Center of Advanced Microstructures, Department of Physics and Astronomy, Shanghai Jiaotong University, 800 Dongchuan Road, Shanghai 200240 (China); Xie, Maohai, E-mail: mhxie@hku.hk [Physics Department, The University of Hong Kong, Pokfulam Road (Hong Kong)

    2017-02-28

    Highlights: • High-index, off c-axis, Bi{sub 2}Se{sub 3} has been grown by molecular beam epitaxy on In{sub 2}Se{sub 3}. • A retarded strain relaxation process in such high-index Bi{sub 2}Se{sub 3} is observed, enabling experimentally probe strain effect on topological insulators. • It has been shown by calculation that the Dirac electrons participate in chemical bonding at the heterointerface. - Abstract: High-index Bi{sub 2}Se{sub 3}(221) film has been grown on In{sub 2}Se{sub 3}-buffered GaAs(001), in which a much retarded strain relaxation dynamics is recorded. The slow strain-relaxation process of in epitaxial Bi{sub 2}Se{sub 3}(221) can be attributed to the layered structure of Bi{sub 2}Se{sub 3} crystal, where the epifilm grown along [221] is like a pile of weakly-coupled quintuple layer slabs stacked side-by-side on substrate. Finally, we reveal strong chemical bonding at the interface of Bi{sub 2}Se{sub 3} and In{sub 2}Se{sub 3} by plotting differential charge contour calculated by first-principle method. This study points to the feasibility of achieving strained TIs for manipulating the properties of topological systems.

  2. Increased carrier lifetimes in epitaxial silicon layers on buried silicon nitride produced by ion implantation

    International Nuclear Information System (INIS)

    Skorupa, W.; Kreissig, U.; Hensel, E.; Bartsch, H.

    1984-01-01

    Carrier lifetimes were measured in epitaxial silicon layers deposited on buried silicon nitride produced by high-dose nitrogen implantation at 330 keV. The values were in the range 20-200 μs. The results are remarkable taking into account the high density of crystal defects in the epitaxial layers. Comparing with other SOI technologies the measured lifetimes are higher by 1-2 orders of magnitude. (author)

  3. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  4. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    Science.gov (United States)

    Chen, Tai-Chou Papo

    The family of III-Nitrides (the binaries InN, GaN, AIN, and their alloys) is one of the most important classes of semiconductor materials. Of the three, Indium Nitride (InN) and Aluminum Nitride (AIN) have been investigated much less than Gallium Nitride (GaN). However, both of these materials are important for optoelectronic infrared and ultraviolet devices. In particular, since InN was found recently to be a narrow gap semiconductor (Eg=0.7eV), its development should extend the applications of nitride semiconductors to the spectral region appropriate to fiber optics communication and photovoltaic applications. Similarly, the development of AIN should lead to deep UV light emitting diodes (LEDs). The first part of this work addresses the evaluation of structural, optical and transport properties of InN films grown by two different deposition methods. In one method, active nitrogen was produced in the form of nitrogen radicals by a radio frequency (RF) plasma-assisted source. In an alternative method, active nitrogen was produced in the form of clusters containing approximately 2000 nitrogen molecules. These clusters were produced by adiabatic expansion from high stagnation pressure through a narrow nozzle into vacuum. The clusters were singly or doubly ionized with positive charge by electron impact and accelerated up to approximately 20 to 25 KV prior to their disintegration on the substrate. Due to the high local temperature produced during the impact of clusters with the substrate, this method is suitable for the deposition of InN at very low temperatures. The films are auto-doped n-type with carrier concentrations varying from 3 x 1018 to 1020 cm-3 and the electron effective mass of these films was determined to be 0.09m0. The majority of the AIN films was grown by the cluster beam epitaxy method and was doped n- and p- type by incorporating silicon (Si) and magnesium (Mg) during the film deposition. All films were grown under Al-rich conditions at relatively

  5. Electronic cooling via interlayer Coulomb coupling in multilayer epitaxial graphene

    Science.gov (United States)

    Mihnev, Momchil T.; Tolsma, John R.; Divin, Charles J.; Sun, Dong; Asgari, Reza; Polini, Marco; Berger, Claire; de Heer, Walt A.; MacDonald, Allan H.; Norris, Theodore B.

    2015-01-01

    In van der Waals bonded or rotationally disordered multilayer stacks of two-dimensional (2D) materials, the electronic states remain tightly confined within individual 2D layers. As a result, electron–phonon interactions occur primarily within layers and interlayer electrical conductivities are low. In addition, strong covalent in-plane intralayer bonding combined with weak van der Waals interlayer bonding results in weak phonon-mediated thermal coupling between the layers. We demonstrate here, however, that Coulomb interactions between electrons in different layers of multilayer epitaxial graphene provide an important mechanism for interlayer thermal transport, even though all electronic states are strongly confined within individual 2D layers. This effect is manifested in the relaxation dynamics of hot carriers in ultrafast time-resolved terahertz spectroscopy. We develop a theory of interlayer Coulomb coupling containing no free parameters that accounts for the experimentally observed trends in hot-carrier dynamics as temperature and the number of layers is varied. PMID:26399955

  6. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Andersen, Tassie K. [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Cook, Seyoung [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Wan, Gang [Materials Science; Hong, Hawoong [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439, United States; Marks, Laurence D. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Fong, Dillon D. [Materials Science

    2018-01-31

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as a function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.

  7. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  8. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  9. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  10. Molecular beam epitaxy of InP single junction and InP/In0.53Ga0.47As monolithically integrated tandem solar cells using solid phosphorous source material

    International Nuclear Information System (INIS)

    Delaney, A.; Chin, K.; Street, S.; Newman, F.; Aguilar, L.; Ignatiev, A.; Monier, C.; Velela, M.; Freundlich, A.

    1998-01-01

    This work reports the first InP solar cells, InP/In 0.53 Ga 0.47 As tandem solar cells and InP tunnel junctions to be grown using a solid phosphorous source cracker cell in a molecular beam epitaxy system. High p-type doping achieved with this system allowed for the development of InP tunnel junctions. These junctions which allow for improved current matching in subsequent monolithically integrated tandem devices also do not absorb photons which can be utilized in the InGaAs structure. Photocurrent spectral responses compared favorably to devices previously grown in a chemical beam epitaxy system. High resolution x-ray scans demonstrated good lattice matching between constituent parts of the tandem cell. AM0 efficiencies of both InP and InP/InGaAs tandem cells are reported

  11. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  12. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  13. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  14. Insight into the epitaxial growth of high optical quality GaAs{sub 1–x}Bi{sub x}

    Energy Technology Data Exchange (ETDEWEB)

    Beaton, D. A., E-mail: daniel.beaton@nrel.gov; Mascarenhas, A.; Alberi, K. [National Renewable Energy Laboratory (NREL), Golden, Colorado 80401 (United States)

    2015-12-21

    The ternary alloy GaAs{sub 1–x}Bi{sub x} is a potentially important material for infrared light emitting devices, but its use has been limited by poor optical quality. We report on the synthesis of GaAs{sub 1–x}Bi{sub x} epi-layers that exhibit narrow, band edge photoluminescence similar to other ternary GaAs based alloys, e.g., In{sub y}Ga{sub 1–y}As. The measured spectral linewidths are as low as 14 meV and 37 meV at low temperature (6 K) and room temperature, respectively, and are less than half of previously reported values. The improved optical quality is attributed to the use of incident UV irradiation of the epitaxial surface and the presence of a partial surface coverage of bismuth in a surfactant layer during epitaxy. Comparisons of samples grown under illuminated and dark conditions provide insight into possible surface processes that may be altered by the incident UV light. The improved optical quality now opens up possibilities for the practical use of GaAs{sub 1–x}Bi{sub x} in optoelectronic devices.

  15. AASERT: Rare Earth Arsenides, Magnetic Semi-Metal Epitaxy for Opto-Electronics

    National Research Council Canada - National Science Library

    Palmstrom, Chris

    2000-01-01

    ...). An ultra-high vacuum sample transfer system and a variable temperature scanning tunneling microscope were attached to two already existing molecular beam epitaxy systems and surface science equipment...

  16. Nanocrystalline ceramic materials

    Science.gov (United States)

    Siegel, Richard W.; Nieman, G. William; Weertman, Julia R.

    1994-01-01

    A method for preparing a treated nanocrystalline metallic material. The method of preparation includes providing a starting nanocrystalline metallic material with a grain size less than about 35 nm, compacting the starting nanocrystalline metallic material in an inert atmosphere and annealing the compacted metallic material at a temperature less than about one-half the melting point of the metallic material.

  17. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  18. Interfacial, electrical, and spin-injection properties of epitaxial Co2MnGa grown on GaAs(100)

    DEFF Research Database (Denmark)

    Damsgaard, Christian Danvad; Hickey, M. C.; Holmes, S. N.

    2009-01-01

    The interfacial, electrical, and magnetic properties of the Heusler alloy Co2MnGa grown epitaxially on GaAs(100) are presented with an emphasis on the use of this metal-semiconductor combination for a device that operates on the principles of spin-injection between the two materials. Through...... was monitored in situ by reflection high energy electron diffraction and the bulk composition was measured ex situ with inductively coupled plasma optical emission spectroscopy. The Co2MnGa L21 cubic structure is strained below a thickness of 20 nm on GaAs(100) but relaxed in films thicker than 20 nm...

  19. Optical Material Characterization Using Microdisk Cavities

    Science.gov (United States)

    Michael, Christopher P.

    Since Jack Kilby recorded his "Monolithic Idea" for integrated circuits in 1958, microelectronics companies have invested billions of dollars in developing the silicon material system to increase performance and reduce cost. For decades, the industry has made Moore's Law, concerning cost and transistor density, a self-fulfilling prophecy by integrating technical and material requirements vertically down their supply chains and horizontally across competitors in the market. At recent technology nodes, the unacceptable scaling behavior of copper interconnects has become a major design constraint by increasing latency and power consumption---more than 50% of the power consumed by high speed processors is dissipated by intrachip communications. Optical networks at the chip scale are a potential low-power high-bandwidth replacement for conventional global interconnects, but the lack of efficient on-chip optical sources has remained an outstanding problem despite significant advances in silicon optoelectronics. Many material systems are being researched, but there is no ideal candidate even though the established infrastructure strongly favors a CMOS-compatible solution. This thesis focuses on assessing the optical properties of materials using microdisk cavities with the intention to advance processing techniques and materials relevant to silicon photonics. Low-loss microdisk resonators are chosen because of their simplicity and long optical path lengths. A localized photonic probe is developed and characterized that employs a tapered optical-fiber waveguide, and it is utilized in practical demonstrations to test tightly arranged devices and to help prototype new fabrication methods. A case study in AlxGa1-xAs illustrates how the optical scattering and absorption losses can be obtained from the cavity-waveguide transmission. Finally, single-crystal Er2O3 epitaxially grown on silicon is analyzed in detail as a potential CMOS-compatable gain medium due to its high Er3

  20. EFFECTS OF USING PHASE CHANGE MATERIALS ON THE COLD START EXHAUST EMİSSİONS CHARACTERİSTİCS OF DIESEL ENGINES

    Directory of Open Access Journals (Sweden)

    Ferhat Kaya

    2016-05-01

    Full Text Available During the last two decades, the diesel engine performance and drivability have significantly improved with the latest technologic developments. Nevertheless, one of the disadvantageous of diesel engines is related to the difficulties for starting at cold conditions, particularly in the conditions where the ambient temperature is near or below 0ºC. Additionally, the harmful exhaust emissions are also at significantly important levels during the cold start conditions. Most of carbon monoxide (CO emissions from diesel engines are produced during the engine warm-up period.  In order to improve cold start characteristics of diesel engines, many measures have been proposed, such as glow plugs and air heaters in air intake lines.In this study, in order to increase the cold start performance and improve the exhaust emission characteristics of a direct injection diesel engine, phase change materials (PCMs have been used.PCMs have high heats of fusion and they can absorb latent energy before melting. During the phase change, temperature of PCMs remains nearly constant. In this study, a PCMs was used with the 45-51ºC melting temperature band in a heat exchanger. Hot water from an external source was circulated in the exchanger to carry out the experiments in the same conditions and was used as heat source in order to melt the PCMs.Engine intake air has been passed through the exchanger before engine intake manifold.  During the engine cooling period, the PCM in the produced heat exchanger have increased the cooling time period of engine intake air.An experimental setup has been established to observe the cold start characteristics of the two cylinder diesel engine with and without using the produced exchanger. Temperature measurements from different points, CO exhaust emissions, engine speed and in cylinder pressure measurements have been used to evaluate the contribution of exchanger and increasing the intake air temperature by using the PCMs. The

  1. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  2. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  3. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  4. Fabrication of GaAs concentric multiple quantum rings by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Sanguinetti, S; Koguchi, N; Fedorov, A; Abbarchi, M; Gurioli, M

    2009-01-01

    We present the fabrication of a novel quantum nanostructure, constituted by three concentric quantum rings by droplet epitaxy. Atomic Force Microscopy and photoluminescence characterization of these nanostructures is reported.

  5. Photoacoustic study of the effect of doping concentration on the transport properties of GaAs epitaxial layers

    NARCIS (Netherlands)

    George, S.D.; Dilna, S.; Prasanth, R.; Radhakrishnan, P.; Vallabhan, C.P.G.; Nampoori, V.P.N.

    2003-01-01

    We report a photoacoustic (PA) study of the thermal and transport properties of a GaAs epitaxial layer doped with Si at varying doping concentration, grown on GaAs substrate by molecular beam epitaxy. The data are analyzed on the basis of Rosencwaig and Gersho's theory of the PA effect. The

  6. In-situ high temperature XRD of calcium phosphate biomaterial using DEHPA as the starting material

    International Nuclear Information System (INIS)

    Meor Yusoff Meor Sulaiman; Masliana Muslim

    2009-01-01

    A process to produce calcium phosphate biomaterial was done using an organic based phosphoric acid (DEHPA) as its starting material. The gel obtained from this reaction was used to study calcium phosphate transformation using in-situ XRD with temperature ranges from room temperature to 1300 degree C. The results obtained from this analysis show the following phase transformation: Gel β-Ca 2 P 2 O 7 β-TCP + HA α-TCP + HA, β-Ca 2 P 2 O 7 forms at 400 degree C and as we heat the sample at 1000 degree C peaks belonging to β- TCP and HA appears showing the transformation of the β-Ca 2 P 2 O 7 phase. When the sample is heated up further to 1200 degree C, β-TCP is transform into α-TCP. In the cold in-situ study, XRD analysis was performed on the sample from room temperature to -140 degree C. At room the XRD diffractogram shows the sample as an amorphous material and as the temperature was further lowered sharp peaks begins to form indicating that the material had becomes crystalline. The peaks were identified to be that calcium hydrogen phosphate (Ca(H 2 PO 4 ) 2 ) and this indicates that there is no hydroxyl group removal during the cooling process. The relative crystallinity values obtained for the different cooling temperatures show a slow exponential increase on the initial cooling of 0 to -100 degree C and at further cooling temperatures resulted fast and linear process. Also unlike the in-situ XRD analysis performs at high temperature no phase transformation occurred at this low temperature. (Author)

  7. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  8. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  9. Comparison of bulk and epitaxial 4H-SiC detectors for radiation hard particle tracking

    CERN Document Server

    Quinn, T; Bruzzi, M; Cunningham, W; Mathieson, K; Moll, M; Nelson, T; Nilsson, H E; Pintillie, I; Rahman, M; Reynolds, L; Sciortino, S; Sellin, P J; Strachan, H; Svensson, B G; Vaitkus, J

    2003-01-01

    Measurements and simulations have been carried out using bulk and epitaxial SiC detectors. Samples were irradiated to fluences of around 10**1**4 hardrons/cm**2. Material of thickness 40um gave a charge collection efficiency of 100% dropping to around 60% at 100mum thickness. Detailed MEDICI simulations incorporated the main defect levels in SiC, the vanadium center, Z-center and a mid-gap level as measured by deep level transient spectroscopy and other techniques. Calculated recombination currents and charge collection efficiencies at varying fluences were comparable to experimental data. The study suggests that SiC detectors will operate up to fluences around 10 **1**6/cm**2 as required by future particle physics experiments.

  10. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  11. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    Energy Technology Data Exchange (ETDEWEB)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.; Xenogiannopoulou, E.; Golias, E.; Giamini, S. A.; Dimoulas, A. [National Center for Scientific Research “Demokritos,” 15310 Athens (Greece); Grazianetti, C.; Fanciulli, M. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy); Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, I-20126, Milano (Italy); Chiappe, D.; Molle, A. [Laboratorio MDM, IMM-CNR, I-20864, Agrate Brianza (MB) (Italy)

    2013-12-16

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  12. Van der Waals Epitaxy of GaSe/Graphene Heterostructure: Electronic and Interfacial Properties.

    Science.gov (United States)

    Ben Aziza, Zeineb; Henck, Hugo; Pierucci, Debora; Silly, Mathieu G; Lhuillier, Emmanuel; Patriarche, Gilles; Sirotti, Fausto; Eddrief, Mahmoud; Ouerghi, Abdelkarim

    2016-10-07

    Stacking two-dimensional materials in so-called van der Waals (vdW) heterostructures, like the combination of GaSe and graphene, provides the ability to obtain hybrid systems which are suitable to design optoelectronic devices. Here, we report the structural and electronic properties of the direct growth of multilayered GaSe by Molecular beam Epitaxy (MBE) on graphene. Reflection high-energy electron diffraction (RHEED) images exhibited sharp streaky features indicative of high quality GaSe layer produced via a vdW epitaxy. Micro-Raman spectroscopy showed that, after the vdW hetero-interface formation, the Raman signature of pristine graphene is preserved. However, the GaSe film tuned the charge density of graphene layer by shifting the Dirac point by about 80 meV toward lower binding energies, attesting an electron transfer from graphene to GaSe. Angle-resolved photoemission spectroscopy (ARPES) measurements showed that the maximum of the valence band of few layers of GaSe are located at the Γ point at a binding energy of about -0.73 eV relatively to the Fermi level (p-type doping). From the ARPES measurements, a hole effective mass defined along the ΓM direction and equal to about m*/m0 = -1.1 was determined. By coupling the ARPES data with high resolution X-ray photoemission spectroscopy (HR-XPS) measurements, the Schottky interface barrier height was estimated to be 1.2 eV. These findings allow deeper understanding of the interlayer interactions and the electronic structure of GaSe/graphene vdW heterostructure.

  13. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  14. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  15. Chemically Triggered Formation of Two-Dimensional Epitaxial Quantum Dot Superlattices

    NARCIS (Netherlands)

    Walravens, Willem; De Roo, Jonathan; Drijvers, Emile; Ten Brinck, Stephanie; Solano, Eduardo; Dendooven, Jolien; Detavernier, Christophe; Infante, Ivan; Hens, Zeger

    2016-01-01

    Two dimensional superlattices of epitaxially connected quantum dots enable size-quantization effects to be combined with high charge carrier mobilities, an essential prerequisite for highly performing QD devices based on charge transport. Here, we demonstrate that surface active additives known to

  16. Conductivity of epitaxial and CVD graphene with correlated line defects

    DEFF Research Database (Denmark)

    Radchenko, T. M.; Shylau, Artsem; Zozoulenko, I. V.

    2014-01-01

    Transport properties of single-layer graphene with correlated one-dimensional defects are studied theoretically using the computational model within the time-dependent real-space Kubo-Greenwood formalism. Such defects are present in epitaxial graphene, comprising atomic terraces and steps due...

  17. Croissance epitaxiale de GaAs sur substrats de Ge par epitaxie par faisceaux chimiques

    Science.gov (United States)

    Belanger, Simon

    La situation energetique et les enjeux environnementaux auxquels la societe est confrontee entrainent un interet grandissant pour la production d'electricite a partir de l'energie solaire. Parmi les technologies actuellement disponibles, la filiere du photovoltaique a concentrateur solaire (CPV pour concentrator photovoltaics) possede un rendement superieur et mi potentiel interessant a condition que ses couts de production soient competitifs. La methode d'epitaxie par faisceaux chimiques (CBE pour chemical beam epitaxy) possede plusieurs caracteristiques qui la rendent interessante pour la production a grande echelle de cellules photovoltaiques a jonctions multiples a base de semi-conducteurs III-V. Ce type de cellule possede la meilleure efficacite atteinte a ce jour et est utilise sur les satellites et les systemes photovoltaiques a concentrateur solaire (CPV) les plus efficaces. Une des principales forces de la technique CBE se trouve dans son potentiel d'efficacite d'utilisation des materiaux source qui est superieur a celui de la technique d'epitaxie qui est couramment utilisee pour la production a grande echelle de ces cellules. Ce memoire de maitrise presente les travaux effectues dans le but d'evaluer le potentiel de la technique CBE pour realiser la croissance de couches de GaAs sur des substrats de Ge. Cette croissance constitue la premiere etape de fabrication de nombreux modeles de cellules solaires a haute performance decrites plus haut. La realisation de ce projet a necessite le developpement d'un procede de preparation de surface pour les substrats de germanium, la realisation de nombreuses sceances de croissance epitaxiale et la caracterisation des materiaux obtenus par microscopie optique, microscopie a force atomique (AFM), diffraction des rayons-X a haute resolution (HRXRD), microscopie electronique a transmission (TEM), photoluminescence a basse temperature (LTPL) et spectrometrie de masse des ions secondaires (SIMS). Les experiences ont permis

  18. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  19. Absence of strain-mediated magnetoelectric coupling at fully epitaxial Fe/BaTiO{sub 3} interface (invited)

    Energy Technology Data Exchange (ETDEWEB)

    Radaelli, G., E-mail: greta.radaelli@gmail.com; Petti, D.; Cantoni, M.; Rinaldi, C.; Bertacco, R. [LNESS Center - Dipartimento di Fisica del Politecnico di Milano, Como 22100 (Italy)

    2014-05-07

    Interfacial MagnetoElectric coupling (MEC) at ferroelectric/ferromagnetic interfaces has recently emerged as a promising route to achieve electrical writing of magnetic information in spintronic devices. For the prototypical Fe/BaTiO{sub 3} (BTO) system, various MEC mechanisms have been theoretically predicted. Experimentally, it is well established that using BTO single crystal substrates MEC is dominated by strain-mediated mechanisms. In case of ferromagnetic layers epitaxially grown onto BTO films, instead, no direct evidence for MEC has been provided, apart from the results obtained on tunneling junction sandwiching a BTO tunneling barrier. In this paper, MEC at fully epitaxial Fe/BTO interface is investigated by Magneto-Optical Kerr Effect and magnetoresistance measurements on magnetic tunnel junctions fabricated on BTO. We find no evidence for strain-mediated MEC mechanisms in epitaxial systems, likely due to clamping of BTO to the substrate. Our results indicate that pure electronic MEC is the route of choice to be explored for achieving the electrical writing of information in epitaxial ferromagnet-ferroelectric heterostructures.

  20. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  1. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  2. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Maldonado, D., E-mail: david.hernandez@uca.es [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Herrera, M.; Sales, D.L. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L. [Instituto de Microelectronica de Madrid (CNM-CSIC), Isaac Newton 8 (PTM), 28760 Tres Cantos, Madrid (Spain); Pizarro, J.; Galindo, P.L. [Departamento de Lenguajes y Sistemas Informaticos, CASEM, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain); Molina, S.I. [Departamento de Ciencia de los Materiales e I.M. y Q.I., Facultad de Ciencias, Universidad de Cadiz, Campus Rio San Pedro, s/n, 11510 Puerto Real, Cadiz (Spain)

    2010-07-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  3. Transmission electron microscopy study of vertical quantum dots molecules grown by droplet epitaxy

    International Nuclear Information System (INIS)

    Hernandez-Maldonado, D.; Herrera, M.; Sales, D.L.; Alonso-Gonzalez, P.; Gonzalez, Y.; Gonzalez, L.; Pizarro, J.; Galindo, P.L.; Molina, S.I.

    2010-01-01

    The compositional distribution of InAs quantum dots grown by molecular beam epitaxy on GaAs capped InAs quantum dots has been studied in this work. Upper quantum dots are nucleated preferentially on top of the quantum dots underneath, which have been nucleated by droplet epitaxy. The growth process of these nanostructures, which are usually called as quantum dots molecules, has been explained. In order to understand this growth process, the analysis of the strain has been carried out from a 3D model of the nanostructure built from transmission electron microscopy images sensitive to the composition.

  4. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  5. Van der Waals epitaxy and photoresponse of hexagonal tellurium nanoplates on flexible mica sheets.

    Science.gov (United States)

    Wang, Qisheng; Safdar, Muhammad; Xu, Kai; Mirza, Misbah; Wang, Zhenxing; He, Jun

    2014-07-22

    Van der Waals epitaxy (vdWE) is of great interest due to its extensive applications in the synthesis of ultrathin two-dimensional (2D) layered materials. However, vdWE of nonlayered functional materials is still not very well documented. Here, although tellurium has a strong tendency to grow into one-dimensional nanoarchitecture due to its chain-like structure, we successfully realize 2D hexagonal tellurium nanoplates on flexible mica sheets via vdWE. Chemically inert mica surface is found to be crucial for the lateral growth of hexagonal tellurium nanoplates since it (1) facilitates the migration of tellurium adatoms along mica surface and (2) allows a large lattice mismatch. Furthermore, 2D tellurium hexagonal nanoplates-based photodetectors are in situ fabricated on flexible mica sheets. Efficient photoresponse is obtained even after bending the device for 100 times, indicating 2D tellurium hexagonal nanoplates-based photodetectors on mica sheets have a great application potential in flexible and wearable optoelectronic devices. We believe the fundamental understanding of vdWE effect on the growth of 2D tellurium hexagonal nanoplate can pave the way toward leveraging vdWE as a useful channel to realize the 2D geometry of other nonlayered materials.

  6. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  7. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  8. Molecular beam epitaxy of large-area SnSe2 with monolayer thickness fluctuation

    Science.gov (United States)

    Park, Young Woon; Jerng, Sahng-Kyoon; Jeon, Jae Ho; Roy, Sanjib Baran; Akbar, Kamran; Kim, Jeong; Sim, Yumin; Seong, Maeng-Je; Kim, Jung Hwa; Lee, Zonghoon; Kim, Minju; Yi, Yeonjin; Kim, Jinwoo; Noh, Do Young; Chun, Seung-Hyun

    2017-03-01

    The interest in layered materials is largely based on the expectation that they will be beneficial for a variety of applications, from low-power-consuming, wearable electronics to energy harvesting. However, the properties of layered materials are highly dependent on thickness, and the difficulty of controlling thickness over a large area has been a bottleneck for commercial applications. Here, we report layer-by-layer growth of SnSe2, a layered semiconducting material, via van der Waals epitaxy. The films were fabricated on insulating mica substrates with substrate temperatures in the range of 210 °C-370 °C. The surface consists of a mixture of N and (N ± 1) layers, showing that the thickness of the film can be defined with monolayer accuracy (±0.6 nm). High-resolution transmission electron microscopy reveals a polycrystalline film with a grain size of ˜100 nm and clear Moiré patterns from overlapped grains with similar thickness. We also report field effect mobility values of 3.7 cm2 V-1 s-1 and 6.7 cm2 V-1 s-1 for 11 and 22 nm thick SnSe2, respectively. SnSe2 films with customizable thickness can provide valuable platforms for industry and academic researchers to fully exploit the potential of layered materials.

  9. THE IMPACT OF THE METHOD OF UNDERLAY SURFACE PROCESSING ON THE DEVELOPMENT OF DEFECTS IN EPITAXIAL COMPOSITIONS IN THE COURSE OF SILICON PHOTO-TRANSDUCERS PRODUCTION

    Directory of Open Access Journals (Sweden)

    Zoya Nikonova

    2017-06-01

    Full Text Available For the production of silicon photo-transducers (PhT the acquisition of epitaxial compositions (EC with high resistivity of working layer. One of the main parameters characterizing the quality of EC is the density of dislocation and other structural defects. Great impact on the development of defects during epitaxial growth is produced by the quality of underlay preparation before that. Multiple research of relatively thin (less than 20-30 microns epitaxial layers demonstrated, that contamination or damages of underlay surface cause the development of defects of wrapping, counterparts, macroscopic protuberances in the growing layer. During inverted epitaxy there are no high requirements as for structural perfection of epitaxial layer as far as in PhT, produced on the basis of EC for which inverted silicon structures (ISS serve with the working layer of mono-crystal substrate. Therefore in inverted epitaxy it is the problem of the development in the course of defects growth not in epitaxial layer, but in underlay, that becomes the major one. The processes of the development of defects in underlay in the course of growing thick (approximately 300 microns epitaxial layer are scarcely researched by now. Scientists sustained the idea that when using dislocation-free underlays for growing in the working layer of ISS there are dislocations with the density of 103 sm-2 and more. Thus, investigation of the factors that determine the development of dislocations in underlay in the process of epitaxy, has now gained great practical value.

  10. Magnetization reversal of in-plane uniaxial Co films and its dependence on epitaxial alignment

    Energy Technology Data Exchange (ETDEWEB)

    Idigoras, O., E-mail: o.idigoras@nanogune.eu; Suszka, A. K.; Berger, A. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); Vavassori, P. [CIC nanoGUNE Consolider, Tolosa Hiribidea 76, E-20018 Donostia-San Sebastian (Spain); IKERBASQUE, The Basque Foundation for Science, E-48011 Bilbao (Spain); Obry, B.; Hillebrands, B. [Fachbereich Physik and Landesforschungzentrum OPTIMAS, Technische Universität Kaiserslautern, Erwin-Schrödinger-Straße 56, D-67663 Kaiserslautern (Germany); Landeros, P. [Departamento de Física, Universidad Técnica Federico Santa María, Avenida España 1680, 2390123 Valparaíso (Chile)

    2014-02-28

    This work studies the influence of crystallographic alignment onto magnetization reversal in partially epitaxial Co films. A reproducible growth sequence was devised that allows for the continuous tuning of grain orientation disorder in Co films with uniaxial in-plane anisotropy by the controlled partial suppression of epitaxy. While all stable or meta-stable magnetization states occurring during a magnetic field cycle exhibit a uniform magnetization for fully epitaxial samples, non-uniform states appear for samples with sufficiently high grain orientation disorder. Simultaneously with the occurrence of stable domain states during the magnetization reversal, we observe a qualitative change of the applied field angle dependence of the coercive field. Upon increasing the grain orientation disorder, we observe a disappearance of transient domain wall propagation as the dominating reversal process, which is characterized by an increase of the coercive field for applied field angles away from the easy axis for well-ordered epitaxial samples. Upon reaching a certain disorder threshold level, we also find an anomalous magnetization reversal, which is characterized by a non-monotonic behavior of the remanent magnetization and coercive field as a function of the applied field angle in the vicinity of the nominal hard axis. This anomaly is a collective reversal mode that is caused by disorder-induced frustration and it can be qualitatively and even quantitatively explained by means of a two Stoner-Wohlfarth particle model. Its predictions are furthermore corroborated by Kerr microscopy and by Brillouin light scattering measurements.

  11. Concurrent bandgap narrowing and polarization enhancement in epitaxial ferroelectric nanofilms

    Czech Academy of Sciences Publication Activity Database

    Tyunina, Marina; Yao, L.; Chvostová, Dagmar; Dejneka, Alexandr; Kocourek, Tomáš; Jelínek, Miroslav; Trepakov, Vladimír; van Dijken, S.

    2015-01-01

    Roč. 16, č. 2 (2015), 026002 ISSN 1468-6996 R&D Projects: GA ČR GAP108/12/1941 Institutional support: RVO:68378271 Keywords : epitaxial growth * ferroelectric nanofilms Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.433, year: 2015

  12. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  13. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  14. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  15. Precision calibration of the silicon doping level in gallium arsenide epitaxial layers

    Science.gov (United States)

    Mokhov, D. V.; Berezovskaya, T. N.; Kuzmenkov, A. G.; Maleev, N. A.; Timoshnev, S. N.; Ustinov, V. M.

    2017-10-01

    An approach to precision calibration of the silicon doping level in gallium arsenide epitaxial layers is discussed that is based on studying the dependence of the carrier density in the test GaAs layer on the silicon- source temperature using the Hall-effect and CV profiling techniques. The parameters are measured by standard or certified measuring techniques and approved measuring instruments. It is demonstrated that the use of CV profiling for controlling the carrier density in the test GaAs layer at the thorough optimization of the measuring procedure ensures the highest accuracy and reliability of doping level calibration in the epitaxial layers with a relative error of no larger than 2.5%.

  16. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  17. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    Energy Technology Data Exchange (ETDEWEB)

    Kaushik, Priya Darshni, E-mail: kaushik.priyadarshni@gmail.com [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Ivanov, Ivan G.; Lin, Pin-Cheng [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Kaur, Gurpreet [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Eriksson, Jens [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Lakshmi, G.B.V.S. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Avasthi, D.K. [Inter-University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi, 110067 (India); Amity Institute of Nanotechnology, Noida 201313 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110007 (India); Aziz, Anver; Siddiqui, Azher M. [Department of Physics, Jamia Millia Islamia, New Delhi, 110025 (India); Syväjärvi, Mikael [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden); Yazdi, G. Reza, E-mail: yazdi@ifm.liu.se [Department of Physics, Chemistry and Biology, Linköping University, SE-58183 Linköping (Sweden)

    2017-05-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO{sub 2} and NH{sub 3} gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10{sup 13} ions/cm{sup 2}). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and

  18. Surface functionalization of epitaxial graphene on SiC by ion irradiation for gas sensing application

    International Nuclear Information System (INIS)

    Kaushik, Priya Darshni; Ivanov, Ivan G.; Lin, Pin-Cheng; Kaur, Gurpreet; Eriksson, Jens; Lakshmi, G.B.V.S.; Avasthi, D.K.; Gupta, Vinay; Aziz, Anver; Siddiqui, Azher M.; Syväjärvi, Mikael; Yazdi, G. Reza

    2017-01-01

    Highlights: • For the first time the gas sensing application of SHI irradiated epitaxial graphene on SiC is explored. • Surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles. • Existence of an optimal fluence which maximize the gas sensing response towards NO_2 and NH_3 gases. - Abstract: In this work, surface functionalization of epitaxial graphene grown on silicon carbide was performed by ion irradiation to investigate their gas sensing capabilities. Swift heavy ion irradiation using 100 MeV silver ions at four varying fluences was implemented on epitaxial graphene to investigate morphological and structural changes and their effects on the gas sensing capabilities of graphene. Sensing devices are expected as one of the first electronic applications using graphene and most of them use functionalized surfaces to tailor a certain function. In our case, we have studied irradiation as a tool to achieve functionalization. Morphological and structural changes on epitaxial graphene layers were investigated by atomic force microscopy, Raman spectroscopy, Raman mapping and reflectance mapping. The surface morphology of irradiated graphene layers showed graphene folding, hillocks, and formation of wrinkles at highest fluence (2 × 10"1"3 ions/cm"2). Raman spectra analysis shows that the graphene defect density is increased with increasing fluence, while Raman mapping and reflectance mapping show that there is also a reduction of monolayer graphene coverage. The samples were investigated for ammonia and nitrogen dioxide gas sensing applications. Sensors fabricated on pristine and irradiated samples showed highest gas sensing response at an optimal fluence. Our work provides new pathways for introducing defects in controlled manner in epitaxial graphene, which can be used not only for gas sensing application but also for other applications, such as electrochemical, biosensing, magnetosensing and spintronic

  19. Domain matching epitaxy of cubic In{sub 2}O{sub 3} on r-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Vogt, Patrick; Trampert, Achim; Ramsteiner, Manfred; Bierwagen, Oliver [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117, Berlin (Germany)

    2015-07-15

    Undoped, Sn-doped, and Mg-doped In{sub 2}O{sub 3} layers were grown on rhombohedral r-plane sapphire (α-Al{sub 2}O{sub 3} (10.2)) by plasma-assisted molecular beam epitaxy. X-ray diffraction and Raman scattering experiments demonstrated the formation of phase-pure, cubic (110)-oriented In{sub 2}O{sub 3} for Sn- and Mg-concentrations up to 2 x 10{sup 20} and 6 x 10{sup 20} cm{sup -3}, respectively. Scanning electron microscopy images showed facetted domains without any surface-parallel (110) facets. High Mg- or Sn-doping influenced surface morphology and the facet formation. X-ray diffraction Φ-scans indicated the formation of two rotational domains separated by an angle Φ = 86.6 due to the substrate mirror-symmetry around the in-plane-projected Al{sub 2}O{sub 3} c-axis. The in-plane epitaxial relationships to the substrate were determined for both domains. For the first domain it is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 3 anti 4]. For the second domain the inplane epitaxial relation is Al{sub 2}O{sub 3}[01.0] parallel In{sub 2}O{sub 3}[3 anti 34]. A low-mismatch coincidence lattice of indium atoms from the film and oxygen atoms from the substrate rationalizes this epitaxial relation by domain-matched epitaxy. Cross-sectional transmission-electron microscopy showed a columnar domain-structure, indicating the vertical growth of the rotational domains after their nucleation. Coincidence structure of In{sub 2}O{sub 3} (110) (In atoms in red) grown on Al{sub 2}O{sub 3} (10.2) (O atoms in blue) showing two rotational domians. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    Science.gov (United States)

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  1. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  2. How to Start Your Own Business. Women Entrepreneurs Project. Instructional Materials.

    Science.gov (United States)

    McCaslin, Barbara S.; McNamara, Patricia P.

    This text consisting of eight learning activities packages (LAP's) was developed during the California Women Entrepreneurs Project for use in a course designed to help women entrepreneurs learn how to start their own small business. The LAP's included here are self-paced, student-centered modules which take the learner step by step through the…

  3. Effect of carbon additive on microstructure evolution and magnetic properties of epitaxial FePt (001) thin films

    International Nuclear Information System (INIS)

    Ding, Y.F.; Chen, J.S.; Liu, E.; Lim, B.C.; Hu, J.F.; Liu, B.

    2009-01-01

    FePt:C thin films were deposited on CrRu underlayers by DC magnetron co-sputtering. The effects of C content, FePt:C film thickness and substrate temperature on the microstructural and magnetic properties of the epitaxial FePt (001) films were studied. Experimental results showed that even with 30 vol.% C doping, the FePt films could keep a (001) preferred orientation at 350 deg. C . When a FePt:C film was very thin (< 5 nm), the film had a continuous microstructure instead of a granual structure with C diffused onto the film surface. With further increased film thickness, the film started to nucleate and formed a column microstructure over continuous FePt films. A strong exchange coupling in the FePt:C films was believed to be due to the presence of a thin continuous FePt layer attributed to the carbon diffusion during the initial stage of the FePt:C film growth. Despite the presence of a strong exchange coupling in the FePt:C (20 vol.% C) film, the SNR ratio of the FePt:C media was about 10 dB better than that of the pure FePt media. The epitaxial growth of the FePt:C films on the Pt layers was observed from high resolution TEM cross sectional images even for the films grown at about 200 deg. C . The TEM images did not show an obvious change in the morphology of the FePt:C films deposited at different temperatures (from 200 deg. C to 350 deg. C ), though the ordering degree and coercivity of the films increased with increased substrate temperature

  4. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  5. Molecular Beam Epitaxy of lithium niobium oxide multifunctional materials

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Doolittle, W. Alan

    2017-04-01

    The role of stoichiometry and growth temperature in the preferential nucleation of material phases in the Li-Nb-O family are explored yielding an empirical growth phase diagram. It is shown that while single parameter variation often produces multi-phase films, combining substrate temperature control with the previously published lithium flux limited growth allows the repeatable growth of high quality single crystalline films of many different oxide phases. Higher temperatures (800-1050 °C) than normally used in MBE were necessary to achieve high quality materials. At these temperatures the desorption of surface species is shown to play an important role in film composition. Using this method single phase films of NbO, NbO2, LiNbO2, Li3NbO4, LiNbO3, and LiNb3O8 have been achieved in the same growth system, all on c-plane sapphire. Finally, the future of these films in functional oxide heterostructures is briefly discussed.

  6. Giant inelastic tunneling in epitaxial graphene mediated by localized states

    NARCIS (Netherlands)

    Cervenka, J.; Ruit, van de K.; Flipse, C.F.J.

    2010-01-01

    Local electronic structures of nanometer-sized patches of epitaxial graphene and its interface layer with SiC(0001) have been studied by atomically resolved scanning tunneling microscopy and spectroscopy. Localized states belonging to the interface layer of a graphene/SiC system show to have

  7. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  8. Angle-resolved photoemission spectroscopy of strontium lanthanum copper oxide thin films grown by molecular-beam epitaxy

    Science.gov (United States)

    Harter, John Wallace

    Among the multitude of known cuprate material families and associated structures, the archetype is "infinite-layer" ACuO2, where perfectly square and flat CuO2 planes are separated by layers of alkaline earth atoms. The infinite-layer structure is free of magnetic rare earth ions, oxygen chains, orthorhombic distortions, incommensurate superstructures, ordered vacancies, and other complications that abound among the other material families. Furthermore, it is the only cuprate that can be made superconducting by both electron and hole doping, making it a potential platform for decoding the complex many-body interactions responsible for high-temperature superconductivity. Research on the infinite-layer compound has been severely hindered by the inability to synthesize bulk single crystals, but recent progress has led to high-quality superconducting thin film samples. Here we report in situ angle-resolved photoemission spectroscopy measurements of epitaxially-stabilized Sr1-chiLa chiCuO2 thin films grown by molecular-beam epitaxy. At low doping, the material exhibits a dispersive lower Hubbard band typical of other cuprate parent compounds. As carriers are added to the system, a continuous evolution from Mott insulator to superconducting metal is observed as a coherent low-energy band develops on top of a concomitant remnant lower Hubbard band, gradually filling in the Mott gap. For chi = 0.10, our results reveal a strong coupling between electrons and (pi,pi) anti-ferromagnetism, inducing a Fermi surface reconstruction that pushes the nodal states below the Fermi level and realizing nodeless superconductivity. Electron diffraction measurements indicate the presence of a surface reconstruction that is consistent with the polar nature of Sr1-chiLachiCuO2. Most knowledge about the electron-doped side of the cuprate phase diagram has been deduced by generalizing from a single material family, Re2-chi CechiCuO4, where robust antiferromagnetism has been observed past chi

  9. Advanced in-situ control for III-nitride RF power device epitaxy

    Science.gov (United States)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  10. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  12. Density functional theory in materials science.

    Science.gov (United States)

    Neugebauer, Jörg; Hickel, Tilmann

    2013-09-01

    Materials science is a highly interdisciplinary field. It is devoted to the understanding of the relationship between (a) fundamental physical and chemical properties governing processes at the atomistic scale with (b) typically macroscopic properties required of materials in engineering applications. For many materials, this relationship is not only determined by chemical composition, but strongly governed by microstructure. The latter is a consequence of carefully selected process conditions (e.g., mechanical forming and annealing in metallurgy or epitaxial growth in semiconductor technology). A key task of computational materials science is to unravel the often hidden composition-structure-property relationships using computational techniques. The present paper does not aim to give a complete review of all aspects of materials science. Rather, we will present the key concepts underlying the computation of selected material properties and discuss the major classes of materials to which they are applied. Specifically, our focus will be on methods used to describe single or polycrystalline bulk materials of semiconductor, metal or ceramic form.

  13. Photoelectrochemistry of III-V epitaxial layers and nanowires for solar energy conversion

    Science.gov (United States)

    Parameshwaran, Vijay; Enck, Ryan; Chung, Roy; Kelley, Stephen; Sampath, Anand; Reed, Meredith; Xu, Xiaoqing; Clemens, Bruce

    2017-05-01

    III-V materials, which exhibit high absorption coefficients and charge carrier mobility, are ideal templates for solar energy conversion applications. This work describes the photoelectrochemistry research in several IIIV/electrolyte junctions as an enabler for device design for solar chemical reactions. By designing lattice-matched epitaxial growth of InGaP and GaP on GaAs and Si, respectively, extended depletion region electrodes achieve photovoltages which provide an additional boost to the underlying substrate photovoltage. The InGaP/GaAs and GaP/Si electrodes drive hydrogen evolution currents under aqueous conditions. By using nanowires of InN and InP under carefully controlled growth conditions, current and capacitance measurements are obtained to reveal the nature of the nanowire-electrolyte interface and how light is translated into photocurrent for InP and a photovoltage in InN. The materials system is expanded into the III-V nitride semiconductors, in which it is shown that varying the morphology of GaN on silicon yields insights to how the interface and light conversion is modulated as a basis for future designs. Current extensions of this work address growth and tuning of the III-V nitride electrodes with doping and polarization engineering for efficient coupling to solar-driven chemical reactions, and rapid-throughput methods for III-V nanomaterials synthesis in this materials space.

  14. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  15. Process parameters for high quality on-contour starting of laser cutting of steel

    NARCIS (Netherlands)

    Meijer, C.Z.; Meijer, C.Z.; Meijer, J.; Bakkers, E.P.M.

    1997-01-01

    Laser cut initiation in full material usually results in a start hole with bad quality. Starting strategies have been developed to avoid these crater-like holes. Start areas were obtained showing almost the same quality as the rest of the cut. As a result of the experiments and literature research

  16. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  17. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  18. New synthesis method for the growth of epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Yu, X.Z. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Laboratory of Condensed Matter Spectroscopy and Opto-Electronic Physics, Department of Physics, Shanghai Jiao Tong University, 1954 Hua Shan Road, Shanghai 200030 (China); Hwang, C.G.; Jozwiak, C.M.; Koehl, A. [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Schmid, A.K. [National Center for Electron Microscopy, Lawrence Berkeley National Laboratory, Berkeley, CA 94709 (United States); Lanzara, A., E-mail: ALanzara@lbl.gov [Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States); Department of Physics, University of California, Berkeley, CA 94720 (United States)

    2011-04-15

    Highlights: {yields} We report a new straightforward method for the synthesis of micrometer scale graphene sheets. {yields} The process is based on a face to face mehtod in which two SiC substrates are placed one on top of the other and are heated simultaneously, leading to highly homogeneous samples. {yields} The number of graphene layers is determined by the annealing temperature. - Abstract: As a viable candidate for an all-carbon post-CMOS electronics revolution, epitaxial graphene has attracted significant attention. To realize its application potential, reliable methods for fabricating large-area single-crystalline graphene domains are required. A new way to synthesize high quality epitaxial graphene, namely 'face-to-face' method, has been reported in this paper. The structure and morphologies of the samples are characterized by low-energy electron diffraction, atomic force microscopy, angle-resolved photoemission spectroscopy and Raman spectroscopy. The grown samples show better quality and larger length scales than samples grown through conventional thermal desorption. Moreover, the graphene thickness can be easily controlled by changing annealing temperature.

  19. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  20. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  1. Magnetic anisotropies in epitaxial Fe3O4/GaAs(100) patterned structures

    International Nuclear Information System (INIS)

    Zhang, W.; Zhang, D.; Yuan, S. J.; Huang, Z. C.; Zhai, Y.; Wong, P. K. J.; Wu, J.; Xu, Y. B.

    2014-01-01

    Previous studies on epitaxial Fe 3 O 4 rings in the context of spin-transfer torque effect have revealed complicated and undesirable domain structures, attributed to the intrinsic fourfold magnetocrystalline anisotropy in the ferrite. In this Letter, we report a viable solution to this problem, utilizing a 6-nm-thick epitaxial Fe 3 O 4 thin film on GaAs(100), where the fourfold magnetocrystalline anisotropy is negligible. We demonstrate that in the Fe 3 O 4 planar wires patterned from our thin film, such a unique magnetic anisotropy system has been preserved, and relatively simple magnetic domain configurations compared to those previous reports can be obtained

  2. Magnetoresistance measurements of different geometries on epitaxial InP and GaInAs/InP layers

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    Hall effect measurement is the main method of the determination of the charge carrier mobility in semiconductors. Magnetoresistance measurements are much less used for the same purpose, perhaps because of the influence of the sample geometry or of the scattering factor differing from the Hall factor. On the other hand, in the case of the epitaxial layers, all these measurements require semi-insulating substrate. In this work two aspects of the magnetoresistance measurements and use of them is demonstrated. First classical geometrical magnetoresistance measurements on InP are studied. On the other hand, a method is presented and applied to sandwich structures in order to measure the geometrical magnetoresistance on epitaxial layers grown on conducting substrates. Resistance of structures metal-epitaxial layer-substrate-metal is measured in the dependence on the angle between the current and magnetic field vectors.

  3. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W; Lippert, Thomas; Traversa, Enrico; Kilner, John A

    2015-01-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used

  4. Quantum Nanostructures by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Somsak Panyakeow

    2009-02-01

    Full Text Available Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C. Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic strain gives rise to quantum rings with square holes and non-uniform ring stripe. Regrowth of quantum dots on these anisotropic quantum rings, Quadra-Quantum Dots (QQDs could be realized. Potential applications of these quantum nanostructures are also discussed.

  5. SiGe epitaxial memory for neuromorphic computing with reproducible high performance based on engineered dislocations

    Science.gov (United States)

    Choi, Shinhyun; Tan, Scott H.; Li, Zefan; Kim, Yunjo; Choi, Chanyeol; Chen, Pai-Yu; Yeon, Hanwool; Yu, Shimeng; Kim, Jeehwan

    2018-01-01

    Although several types of architecture combining memory cells and transistors have been used to demonstrate artificial synaptic arrays, they usually present limited scalability and high power consumption. Transistor-free analog switching devices may overcome these limitations, yet the typical switching process they rely on—formation of filaments in an amorphous medium—is not easily controlled and hence hampers the spatial and temporal reproducibility of the performance. Here, we demonstrate analog resistive switching devices that possess desired characteristics for neuromorphic computing networks with minimal performance variations using a single-crystalline SiGe layer epitaxially grown on Si as a switching medium. Such epitaxial random access memories utilize threading dislocations in SiGe to confine metal filaments in a defined, one-dimensional channel. This confinement results in drastically enhanced switching uniformity and long retention/high endurance with a high analog on/off ratio. Simulations using the MNIST handwritten recognition data set prove that epitaxial random access memories can operate with an online learning accuracy of 95.1%.

  6. Temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact

    International Nuclear Information System (INIS)

    Hu, C.Y.; Qin, Z.X.; Feng, Z.X.; Chen, Z.Z.; Ding, Z.B.; Yang, Z.J.; Yu, T.J.; Hu, X.D.; Yao, S.D.; Zhang, G.Y.

    2006-01-01

    The temperature dependent diffusion and epitaxial behavior of oxidized Au/Ni/p-GaN ohmic contact were studied with Rutherford backscattering spectroscopy/channeling (RBS/C) and synchrotron X-ray diffraction (XRD). It is found that the Au diffuses to the surface of p-GaN to form an epitaxial structure on p-GaN after annealing at 450 deg. C. At the same time, the O diffuses to the metal-semiconductor interface and forms NiO. Both of them are suggested to be responsible for the sharp decrease in the specific contact resistance (ρ c ) at 450 deg. C. At 500 deg. C, the epitaxial structure of Au develops further and the O also diffuses deeper into the interface. As a result, the ρ c reaches the lowest value at this temperature. However, when annealing temperature reaches 600 deg. C, part or all of the interfacial NiO is detached from the p-GaN and diffuses out, which cause the ρ c to increase greatly

  7. Microstructure and magnetic properties of FeCo epitaxial thin films grown on MgO single-crystal substrates

    International Nuclear Information System (INIS)

    Shikada, Kouhei; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    FeCo epitaxial films were prepared on MgO(100), MgO(110), and MgO(111) substrates by ultrahigh vacuum molecular beam epitaxy. FeCo thin films with (100), (211), and (110) planes parallel to the substrate surface grow on respective MgO substrates. FeCo/MgO interface structures are studied by high-resolution cross-sectional transmission electron microscopy and the epitaxial growth mechanism is discussed. Atomically sharp boundaries are recognized between the FeCo thin films and the MgO substrates where misfit dislocations are introduced in the FeCo thin films presumably to decrease the lattice misfits. Misfit dislocations are observed approximately every 9 and 1.4 nm in FeCo thin film at the FeCo/MgO(100) and the FeCo/MgO(110) interfaces, respectively. X-ray diffraction analysis indicates that the lattice spacing measured parallel to the single-crystal substrate surfaces are in agreement within 0.1% with those of the respective bulk values of Fe 50 Co 50 alloy crystal, showing that the FeCo film strain is very small. The magnetic anisotropies of these epitaxial films basically reflect the magnetocrystalline anisotropy of bulk FeCo alloy crystal

  8. Integrated X-ray and charged particle active pixel CMOS sensor arrays using an epitaxial silicon sensitive region

    International Nuclear Information System (INIS)

    Kleinfelder, Stuart; Bichsel, Hans; Bieser, Fred; Matis, Howard S.; Rai, Gulshan; Retiere, Fabrice; Weiman, Howard; Yamamoto, Eugene

    2002-01-01

    Integrated CMOS Active Pixel Sensor (APS) arrays have been fabricated and tested using X-ray and electron sources. The 128 by 128 pixel arrays, designed in a standard 0.25 micron process, use a ∼10 micron epitaxial silicon layer as a deep detection region. The epitaxial layer has a much greater thickness than the surface features used by standard CMOS APS, leading to stronger signals and potentially better signal-to-noise ratio (SNR). On the other hand, minority carriers confined within the epitaxial region may diffuse to neighboring pixels, blur images and reduce peak signal intensity. But for low-rate, sparse-event images, centroid analysis of this diffusion may be used to increase position resolution. Careful trade-offs involving pixel size and sense-node area verses capacitance must be made to optimize overall performance. The prototype sensor arrays, therefore, include a range of different pixel designs, including different APS circuits and a range of different epitaxial layer contact structures. The fabricated arrays were tested with 1.5 GeV electrons and Fe-55 X-ray sources, yielding a measured noise of 13 electrons RMS and an SNR for single Fe-55 X-rays of greater than 38

  9. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Comes, Ryan B. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA; Department of Physics, Auburn University, Auburn AL 36849 USA; Perea, Daniel E. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland WA 99352 USA; Spurgeon, Steven R. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Using complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.

  10. Wet-etching induced abnormal phase transition in highly strained VO{sub 2}/TiO{sub 2} (001) epitaxial film

    Energy Technology Data Exchange (ETDEWEB)

    Ren, Hui; Chen, Shi; Chen, Yuliang; Luo, Zhenlin; Zhou, Jingtian; Zheng, Xusheng; Wang, Liangxin; Li, Bowen; Zou, Chongwen [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei (China)

    2018-01-15

    The metal-insulator transition (MIT) behavior in vanadium dioxide (VO{sub 2}) epitaxial film is known to be dramatically affected by interfacial stress due to lattice mismatching. For the VO{sub 2}/TiO{sub 2} (001) system, there exists a considerable strain in ultra-thin VO{sub 2} thin film, which shows a lower T{sub c} value close to room temperature. As the VO{sub 2} epitaxial film grows thicker layer-by-layer along the ''bottom-up'' route, the strain will be gradually relaxed and T{sub c} will increase as well, until the MIT behavior becomes the same as that of bulk material with a T{sub c} of about 68 C. Whereas, in this study, we find that the VO{sub 2}/TiO{sub 2} (001) film thinned by ''top-down'' wet-etching shows an abnormal variation in MIT, which accompanies the potential relaxation of film strain with thinning. It is observed that even when the strained VO{sub 2} film is etched up to several nanometers, the MIT persists, and T{sub c} will increase up to that of bulk material, showing the trend to a stress-free ultra-thin VO{sub 2} film. The current findings demonstrate a facial chemical-etching way to change interfacial strain and modulate the phase transition behavior of ultrathinVO{sub 2} films, which can also be applied to other strained oxide films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose; Ahn, Sung-Jin; Crumlin, Ethan; Orikasa, Yuki; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2010-01-01

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  12. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose

    2010-06-22

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  13. Deposition of yttrium oxysulfide thin films by atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kukli, K.; University of Tartu, Tartu,; Johansson, L-S.; Nykaenen, E.; Peussa, M.; Ninistoe, L.

    1998-01-01

    Full text: Yttrium oxysulfide is a highly interesting material for optoelectronic applications. It is industrially exploited in the form of doped powder in catholuminescent phosphors, e.g. Y 2 O 2 S: Eu 3+ for colour TV. Attempts to grow thin films of Y 2 O 2 S have not been frequent and only partially successful due to the difficulties in obtaining crystalline films at a reasonable temperature. Furthermore, sputtering easily leads to a sulphur deficiency. Evaporation of the elements from a multi-source offers a better control of the stoichiometry resulting in hexagonal (0002) oriented films at 580 deg C. In this paper we present the first successful thin film growth experiments using a chemical process with molecular precursors. Atomic layer epitaxy (ALE) allows the use of a relatively low deposition temperature and thus compatibility with other technologies. Already at 425 deg C the reaction between H 2 S and Y(thd) 3 (thd = 2,2,6,6 - tetramethyl-heptane-3,5- dione) yields a crystalline Y 2 O 2 S thin film which was characterized by XRD, XRF and XPS

  14. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  15. Oxygen pressure-tuned epitaxy and magnetic properties of magnetite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Junran [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Liu, Wenqing [York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom); Zhang, Minhao; Zhang, Xiaoqian; Niu, Wei; Gao, Ming [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Wang, Xuefeng, E-mail: xfwang@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Du, Jun [School of Physics, Nanjing University, Nanjing 210093 (China); Zhang, Rong [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Xu, Yongbing, E-mail: ybxu@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom)

    2017-06-15

    Highlights: • Quasi-2D Fe{sub 3}O{sub 4} films were obtained by PLD. • RHEED under different oxygen pressure were observed. • Influence of oxygen pressure on Fe{sub 3}O{sub 4} films were investigated. • Epitaxy and magnetic properties were tuned by oxygen pressure. • The ratio of Fe{sup 2+}/Fe{sup 3+} fitted by XPS is the tuned factor of M{sub s}. - Abstract: Quasi-two-dimensional magnetite epitaxial thin films have been synthesized by pulsed laser deposition technique at various oxygen pressures. The saturation magnetizations of the magnetite films were found to decrease from 425 emu/cm{sup 3}, which is close to the bulk value, to 175 emu/cm{sup 3} as the growth atmospheres varying from high vacuum (∼1 × 10{sup −8} mbar) to oxygen pressure of 1 × 10{sup −3} mbar. The ratio of the Fe{sup 3+} to Fe{sup 2+} increases from 2 to 2.7 as oxygen pressure increasing shown by XPS fitting, which weakens the net magnetic moment generated by Fe{sup 2+} at octahedral sites as the spins of the Fe{sup 3+} ions at octahedral and tetrahedral sites are aligned in antiparallel. The results offer direct experimental evidence of the influence to the Fe{sup 3+}/Fe{sup 2+} ratio and the magnetic moment in magnetite epitaxy films by oxygen pressure, which is significant for spintronic applications.

  16. High quality long-wavelength lasers grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine

    International Nuclear Information System (INIS)

    Miller, B.I.; Young, M.G.; Oron, M.; Koren, U.; Kisker, D.

    1990-01-01

    High quality long-wavelength InGaAsP/InP lasers were grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine (TBA) as a substitute for AsH 3 . Electrical and photoluminescence measurements on InGaAs and InGaAsP showed that TBA-grown material was at least as good as AsH 3 material in terms of suitability for lasers. From two wafers grown by TBA, current thresholds I th as low as 11 mA were obtained for a 2-μm-wide semi-insulating blocking planar buried heterostructure laser lasing near 1.3 μm wavelength. The differential quantum efficiencies η D were as high as 21%/facet with a low internal loss α=21 cm -1 . In addition I th as low as 18 mA and η D as high as 18% have been obtained for multiplequantum well lasers at 1.54 μm wavelength. These results show that TBA might be used to replace AsH 3 without compromising on laser performance

  17. YCo5±x thin films with perpendicular anisotropy grown by molecular beam epitaxy

    Science.gov (United States)

    Sharma, S.; Hildebrandt, E.; Sharath, S. U.; Radulov, I.; Alff, L.

    2017-06-01

    The synthesis conditions of buffer-free (00l) oriented YCo5 and Y2Co17 thin films onto Al2O3 (0001) substrates have been explored by molecular beam epitaxy (MBE). The manipulation of the ratio of individual atomic beams of Yttrium, Y and Cobalt, Co, as well as growth rate variations allows establishing a thin film phase diagram. Highly textured YCo5±x thin films were stabilized with saturation magnetization of 517 emu/cm3 (0.517 MA/m), coercivity of 4 kOe (0.4 T), and anisotropy constant, K1, equal to 5.34 ×106 erg/cm3 (0.53 MJ/m3). These magnetic parameters and the perpendicular anisotropy obtained without additional underlayers make the material system interesting for application in magnetic recording devices.

  18. Crossover from incoherent to coherent phonon scattering in epitaxial oxide superlattices.

    Science.gov (United States)

    Ravichandran, Jayakanth; Yadav, Ajay K; Cheaito, Ramez; Rossen, Pim B; Soukiassian, Arsen; Suresha, S J; Duda, John C; Foley, Brian M; Lee, Che-Hui; Zhu, Ye; Lichtenberger, Arthur W; Moore, Joel E; Muller, David A; Schlom, Darrell G; Hopkins, Patrick E; Majumdar, Arun; Ramesh, Ramamoorthy; Zurbuchen, Mark A

    2014-02-01

    Elementary particles such as electrons or photons are frequent subjects of wave-nature-driven investigations, unlike collective excitations such as phonons. The demonstration of wave-particle crossover, in terms of macroscopic properties, is crucial to the understanding and application of the wave behaviour of matter. We present an unambiguous demonstration of the theoretically predicted crossover from diffuse (particle-like) to specular (wave-like) phonon scattering in epitaxial oxide superlattices, manifested by a minimum in lattice thermal conductivity as a function of interface density. We do so by synthesizing superlattices of electrically insulating perovskite oxides and systematically varying the interface density, with unit-cell precision, using two different epitaxial-growth techniques. These observations open up opportunities for studies on the wave nature of phonons, particularly phonon interference effects, using oxide superlattices as model systems, with extensive applications in thermoelectrics and thermal management.

  19. Preparation of ZnO:N films by radical beam gettering epitaxy

    International Nuclear Information System (INIS)

    Rogozin, I. V.

    2007-01-01

    ZnO:N epitaxial films are obtained by radical beam gettering epitaxy. The properties of the films are studied using X-ray diffraction, atomic-force microscopy, secondary-ion mass spectroscopy, and photoluminescence. A narrow (002) peak is observed in the X-ray diffraction spectra, which indicates that the ZnO:N films are oriented along the c axis. Secondary-ion mass spectroscopy indicates that N is present in the ZnO films. In the low-energy luminescence spectrum of the ZnO:N films, a peak at 3.31 eV is observed. This peak is presumably attributed to the exciton bound at the neutral acceptor N O . The postannealing of the ZnO:N films was carried out in atomic oxygen. The nature of the donor-acceptor (3.23 eV) and green (2.56 eV) luminescence bands is discussed

  20. Coupled quantum dot-ring structures by droplet epitaxy

    International Nuclear Information System (INIS)

    Somaschini, C; Bietti, S; Koguchi, N; Sanguinetti, S

    2011-01-01

    The fabrication, by pure self-assembly, of GaAs/AlGaAs dot-ring quantum nanostructures is presented. The growth is performed via droplet epitaxy, which allows for the fine control, through As flux and substrate temperature, of the crystallization kinetics of nanometer scale metallic Ga reservoirs deposited on the surface. Such a procedure permits the combination of quantum dots and quantum rings into a single, multi-functional, complex quantum nanostructure.

  1. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  2. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  3. Radioactive starting aids for electrodeless light sources

    International Nuclear Information System (INIS)

    Proud, J.M.; Regan, R.J.; Haugsjaa, P.O.; Baird, D.H.

    1980-01-01

    The use of radioactive sources of α particles, β particles or γ rays as aids in starting a discharge in an electrodeless light source is discussed. The advantages of siting the sources at various positions in the device are discussed. Preferred materials are 85 Kr and 241 Am. (U.K.)

  4. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  5. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming; Yu, Dapeng; Wu, Xiaosong, E-mail: xswu@pku.edu.cn [State Key Laboratory for Artificial Microstructure and Mesoscopic Physics, Peking University, Beijing 100871 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100871 (China)

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effect results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.

  6. Rocksalt nitride metal/semiconductor superlattices: A new class of artificially structured materials

    Science.gov (United States)

    Saha, Bivas; Shakouri, Ali; Sands, Timothy D.

    2018-06-01

    Artificially structured materials in the form of superlattice heterostructures enable the search for exotic new physics and novel device functionalities, and serve as tools to push the fundamentals of scientific and engineering knowledge. Semiconductor heterostructures are the most celebrated and widely studied artificially structured materials, having led to the development of quantum well lasers, quantum cascade lasers, measurements of the fractional quantum Hall effect, and numerous other scientific concepts and practical device technologies. However, combining metals with semiconductors at the atomic scale to develop metal/semiconductor superlattices and heterostructures has remained a profoundly difficult scientific and engineering challenge. Though the potential applications of metal/semiconductor heterostructures could range from energy conversion to photonic computing to high-temperature electronics, materials challenges primarily had severely limited progress in this pursuit until very recently. In this article, we detail the progress that has taken place over the last decade to overcome the materials engineering challenges to grow high quality epitaxial, nominally single crystalline metal/semiconductor superlattices based on transition metal nitrides (TMN). The epitaxial rocksalt TiN/(Al,Sc)N metamaterials are the first pseudomorphic metal/semiconductor superlattices to the best of our knowledge, and their physical properties promise a new era in superlattice physics and device engineering.

  7. Radiation hardness of silicon detectors manufactured on epitaxial material and FZ bulk enriched with oxygen, carbon, tin and platinum

    CERN Document Server

    Ruzin, A; Glaser, M; Lemeilleur, F; Talamonti, R; Watts, S; Zanet, A

    1999-01-01

    Recent results on the radiation hardness of silicon detectors fabricated on epitaxial and float zone bulk silicon enriched by various impurities, such as carbon, oxygen, tin and platinum are reported. A new methodology of measurements of electrical properties of the devices has been utilized in the experiment. It has been shown that in the case of irradiation by protons, oxygen enriched silicon has better radiation hardness than standard float zone silicon. The carbon enriched silicon detectors, on the other hand, exhibited significantly inferior radiation hardness compared to standard detectors. This study shows for the first time, a violation of the widely used normalization technique of the various particle irradiations by NIEL coefficients. The study has been carried out in the framework of the RD48 (ROSE) collaboration, which studies the radiation hardening of silicon detectors. (5 refs).

  8. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chun-Hao [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Lin, Jheng-Cyuan [Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Liu, Heng-Jui; Do, Thi Hien [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Zhu, Yuan-Min; Zhan, Qian [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Ha, Thai Duy; Juang, Jenh-Yih [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); He, Qing [Department of Physics, Durham University, Durham DH1 3LE (United Kingdom); Arenholz, Elke [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Chiu, Po-Wen, E-mail: pwchiu@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan (China); Chu, Ying-Hao, E-mail: yhc@nctu.edu.tw [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-20

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar to those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.

  9. Magnetic structural effect (MSE in epitaxial films of cerium oxide and lanthanum zirconate

    Directory of Open Access Journals (Sweden)

    Fatima Kh. Chibirova

    2015-06-01

    Full Text Available Increasing the critical current density in the second generation high-temperature superconducting wires (2G HTS is the major challenge for researchers and manufacturers of 2G HTS wires all over the world. We proposed a new approach to increase the number of percolation paths for supercurrent, i.e. increasing the number of low angle grain boundaries (<5° in the epitaxial superconducting YBCO layer by magnetic structural processing (MSP of buffer layers. New experimental results have been presented on the application of MSP for improving the structure and increasing the texture sharpness of buffer in electrical conducting element of 2G HTS wire. The influence of MCO on the structural and textural properties has been investigated in a buffer consisting of epitaxial films of cerium oxide CeO2 and lanthanum zirconate La2Zr2O7 in the CeO2/4La2Zr2O7 architecture. The influence of the magnetic processing of the epitaxial La2Zr2O7 buffer film on the shape of grains has been found. An atomic force microscopical study has shown that after magnetic processing the shape of grains improved significantly. A multilayer CeO2/4La2Zr2O7 buffer each layer of which was processed in a magnetic field has a high degree of orientation: only one diffraction peak with (200 indexes is observed in the X-ray spectrum. The X-ray settings of the (200 diffraction peak indicate a well developed epitaxial structure of CeO2 and La2Zr2O7 layers. The texture of the buffer is by more than 2° sharper than that of the Ni–5 at% W substrate.

  10. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  11. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  12. Doping efficiency analysis of highly phosphorous doped epitaxial/amorphous silicon emitters grown by PECVD for high efficiency silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    El-Gohary, H.G.; Sivoththaman, S. [Waterloo Univ., ON (Canada). Dept. of Electrical and Computer Engineering

    2008-08-15

    The efficient doping of hydrogenated amorphous and crystalline silicon thin films is a key factor in the fabrication of silicon solar cells. The most popular method for developing those films is plasma enhanced chemical vapor deposition (PECVD) because it minimizes defect density and improves doping efficiency. This paper discussed the preparation of different structure phosphorous doped silicon emitters ranging from epitaxial to amorphous films at low temperature. Phosphine (PH{sub 3}) was employed as the doping gas source with the same gas concentration for both epitaxial and amorphous silicon emitters. The paper presented an analysis of dopant activation by applying a very short rapid thermal annealing process (RTP). A spreading resistance profile (SRP) and SIMS analysis were used to detect both the active dopant and the dopant concentrations, respectively. The paper also provided the results of a structural analysis for both bulk and cross-section at the interface using high-resolution transmission electron microscopy and Raman spectroscopy, for epitaxial and amorphous films. It was concluded that a unity doping efficiency could be achieved in epitaxial layers by applying an optimized temperature profile using short time processing rapid thermal processing technique. The high quality, one step epitaxial layers, led to both high conductive and high doping efficiency layers.

  13. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  14. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  15. Defect distribution in low-temperature molecular beam epitaxy grown Si/Si(100), improved depth profiling with monoenergetic positrons

    International Nuclear Information System (INIS)

    Szeles, C.; Asoka-Kumar, P.; Lynn, K.G.; Gossmann, H.; Unterwald, F.C.; Boone, T.

    1995-01-01

    The depth distribution of open-volume defects has been studied in Si(100) crystals grown by molecular beam epitaxy at 300 degree C by the variable-energy monoenergetic positron beam technique combined with well-controlled chemical etching. This procedure gave a 10 nm depth resolution which is a significant improvement over the inherent depth resolving power of the positron beam technique. The epitaxial layer was found to grow defect-free up to 80 nm, from the interface, where small vacancy clusters, larger than divacancies, appear. The defect density then sharply increases toward the film surface. The result clearly shows that the nucleation of small open-volume defects is a precursor state to the breakdown of epitaxy and to the evolution of an amorphous film

  16. Optical characterization of epitaxial single crystal CdTe thin films on Al{sub 2}O{sub 3} (0001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jovanovic, S.M.; Devenyi, G.A., E-mail: devenyga@mcmaster.ca; Jarvis, V.M.; Meinander, K.; Haapamaki, C.M.; Kuyanov, P.; Gerber, M.; LaPierre, R.R.; Preston, J.S.

    2014-11-03

    The optoelectronic properties of single crystal CdTe thin films were investigated by photoluminescence spectroscopy, photoreflectance spectroscopy and variable angle spectroscopic ellipsometry. The room temperature bandgap was measured to be 1.51 eV and was consistent between spectroscopic measurements and previously reported values. Breadth of bandgap emission was consistent with high quality material. Low temperature photoluminescence spectra indicated a dominant emission consistent with bound excitons. Emissions corresponding to self-compensation defects, doping and contaminants were not found. Variable angle spectroscopic ellipsometry measurements over the near-UV to infrared range demonstrated sharp resonance peaks. All spectroscopic measurements indicate high quality thin film material of comparable or better quality than bulk CdTe. - Highlights: • High quality epitaxial CdTe thin films were grown. • Two dimensional X-ray diffraction characterization confirmed single crystal material. • Photoluminescence indicated low defect density when compared to bulk single crystals. • Optical characterization indicated the presence of room temperature excitons.

  17. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  18. Self-assembled magnetic nanostructures: Epitaxial Ni nanodots on TiN/Si (001) surface

    International Nuclear Information System (INIS)

    Zhou, H.; Narayan, J.

    2006-01-01

    Systems containing single domain magnetic particles are of great interest in view of their possible applications in ultrahigh-density data storage and magnetoelectronic devices. The focus of this work is plan-view STEM Z-contrast imaging study of the self-assembly growth of magnetic nickel nanostructures by domain matching epitaxy under Volmer-Weber (V-W) mode. The growth was carried out using pulsed laser deposition (PLD) technique with epitaxial titanium nitride film as the template, which was in turn grown on silicon (001) substrate via domain matching epitaxy. Our results show that the base of nickel islands is rectangular with the two principal edges parallel to two orthogonal directions, which is [110] and [1-bar 1 0] for [001] oriented growth. The size distribution of the islands is relatively narrow, comparable to that obtained from self-assembled islands grown under Stranski-Krastanow (S-K) mode. A certain degree of self-organization was also found in the lateral distribution of islands: island chains were observed along the directions close to , which are also the edge directions. The interaction between neighboring islands through the island edge-induced strain field is believed to be responsible for the size uniformity and the lateral ordering

  19. BiFeO3 epitaxial thin films and devices: past, present and future

    Science.gov (United States)

    Sando, D.; Barthélémy, A.; Bibes, M.

    2014-11-01

    The celebrated renaissance of the multiferroics family over the past ten years has also been that of its most paradigmatic member, bismuth ferrite (BiFeO3). Known since the 1960s to be a high temperature antiferromagnet and since the 1970s to be ferroelectric, BiFeO3 only had its bulk ferroic properties clarified in the mid-2000s. It is however the fabrication of BiFeO3 thin films and their integration into epitaxial oxide heterostructures that have fully revealed its extraordinarily broad palette of functionalities. Here we review the first decade of research on BiFeO3 films, restricting ourselves to epitaxial structures. We discuss how thickness and epitaxial strain influence not only the unit cell parameters, but also the crystal structure, illustrated for instance by the discovery of the so-called T-like phase of BiFeO3. We then present its ferroelectric and piezoelectric properties and their evolution near morphotropic phase boundaries. Magnetic properties and their modification by thickness and strain effects, as well as optical parameters, are covered. Finally, we highlight various types of devices based on BiFeO3 in electronics, spintronics, and optics, and provide perspectives for the development of further multifunctional devices for information technology and energy harvesting.

  20. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.