WorldWideScience

Sample records for epitaxial mbe growth

  1. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  2. Growth studies of CVD-MBE by in-situ diagnostics

    Science.gov (United States)

    Maracas, George N.; Steimle, Timothy C.

    1992-10-01

    This is the final technical report for the three year DARPA-URI program 'Growth Studies of CVD-MBE by in-situ Diagnostics'. The goals of the program were to develop non-invasive, real time epitaxial growth monitoring techniques and combine them to gain an understanding of processes that occur during MBE growth from gas sources. We have adapted these techniques to a commercially designed gas source MBE system (Vacuum Generators Inc.) to facilitate technology transfer out of the laboratory into industrial environments. The in-situ measurement techniques of spectroscopic ellipsometry (SE) and laser induced fluorescence (LIF) have been successfully implemented to monitor the optical and chemical properties of the growing epitaxial film and the gas phase reactants. The ellipsometer was jointly developed with the J. Woolam Co. and has become a commercial product. The temperature dependence of group 3 and 5 desorption from GaAs and InP has been measured as well as the incident effusion cell fluxes. The temporal evolution of the growth has also been measured both by SE and LIF to show the smoothing of heterojunction surfaces during growth interruption. Complicated microcavity optical device structures have been monitored by ellipsometry in real time to improve device quality. This data has been coupled with the structural information obtained from reflection high energy electron diffraction (RHEED) to understand the growth processes in binary and ternary bulk 3-5 semiconductors and heterojunctions.

  3. Epitaxial growth of semiconducting β-FeSi2 and its application to light-emitting diodes

    International Nuclear Information System (INIS)

    Suemasu, T.; Takakura, K.; Li, Cheng; Ozawa, Y.; Kumagai, Y.; Hasegawa, F.

    2004-01-01

    In this paper, we review the detailed study of epitaxial growth of β-FeSi 2 films by reactive deposition epitaxy (RDE), multilayer technique and molecular beam epitaxy (MBE). The p- and n-type β-FeSi 2 was formed when it was grown under an Fe-rich and an Si-rich condition, respectively. The maximum electron and hole mobilities of the β-FeSi 2 epitaxial films reached 6900 and 13000 cm 2 /V·s for the n- and p-type β-FeSi 2 , respectively, at around 50 K. Room temperature (RT) 1.6 μm electroluminescence (EL) was realized by optimizing the growth conditions for p-Si/β-FeSi 2 particles/n-Si structures prepared by RDE for β-FeSi 2 and by MBE for Si

  4. Mathematical model for predicting molecular-beam epitaxy growth rates for wafer production

    International Nuclear Information System (INIS)

    Shi, B.Q.

    2003-01-01

    An analytical mathematical model for predicting molecular-beam epitaxy (MBE) growth rates is reported. The mathematical model solves the mass-conservation equation for liquid sources in conical crucibles and predicts the growth rate by taking into account the effect of growth source depletion on the growth rate. Assumptions made for deducing the analytical model are discussed. The model derived contains only one unknown parameter, the value of which can be determined by using data readily available to MBE growers. Procedures are outlined for implementing the model in MBE production of III-V compound semiconductor device wafers. Results from use of the model to obtain targeted layer compositions and thickness of InP-based heterojunction bipolar transistor wafers are presented

  5. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  6. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  7. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  8. Molecular Beam Epitaxy Growth of Transition Metal Dichalcogenides

    Science.gov (United States)

    Yue, Ruoyu

    The exponential growth of Si-based technology has finally reached its limit, and a new generation of devices must be developed to continue scaling. A unique class of materials, transition metal dichalcogenides (TMD), have attracted great attention due to their remarkable optical and electronic properties at the atomic thickness scale. Over the past decade, enormous efforts have been put into TMD research for application in low-power devices. Among these studies, a high-quality TMD synthesis method is essential. Molecular beam epitaxy (MBE) can enable high-quality TMD growth by combining high purity elemental sources and an ultra-high vacuum growth environment, together with the back-end-of-line compatible growth temperatures. Although many TMD candidates have been grown by MBE with promising microstructure, the limited grain size (improvement in grain size was achieved through this study. Results from both experiment and simulation showed that reducing the growth rate, enabled by high growth temperature and low metal flux, is vital to nucleation density control. Meanwhile, providing a chalcogen-rich growth environment will promote larger grain lateral growth by suppressing vertical growth. Applying the knowledge learned from the nucleation study, we sucessfully integrated the MBE-grown WSe2 into Si complementary metal-oxide-semiconductor (CMOS) compatible field-effect transistors (FETs). Excellent transport properties, such as field effect hole mobilities (40 cm 2/V·s) with orders of magnitude improvement over the reported values of MBE-grown TMDs, are shown. These studies provide a comprehensive understanding of the MBE synthesis of TMDs and devices, indicating the great potential of integrating TMDs into CMOS process flows for the future electronics.

  9. Molecular-beam epitaxy growth of high-performance midinfrared diode lasers

    International Nuclear Information System (INIS)

    Turner, G.W.; Choi, H.K.; Calawa, D.R.

    1994-01-01

    Recent advances in the performance of GaInAsSb/AlGaAsSb quantum-well diode lasers have been directly related to improvements in the quality of the molecular-beam epitaxy (MBE)-grown epitaxial layers. These improvements have been based on careful measurement and control of lattice matching and intentional strain, changes in shutter sequencing at interfaces, and a generally better understanding of the growth of Sb-based epitaxial materials. By using this improved MBE-grown material, significantly enhanced performance has been obtained for midinfrared lasers. These lasers, which are capable of ∼2-μm emission at room temperature, presently exhibit threshold current densities of 143 A/cm 2 , continuous wave powers of 1.3 W, and diffraction-limited powers of 120 mW. Such high-performance midinfrared diode lasers are of interest for a wide variety of applications, including eye-safe laser radar, remote sensing of atmospheric contaminants and wind turbulence, laser surgery, and pumping of solid-state laser media. 12 refs., 3 figs

  10. InAs/GaAs(001) molecular beam epitaxial growth in a scanning tunnelling microscope

    International Nuclear Information System (INIS)

    Bastiman, F; Cullis, A G; Hopkinson, M

    2010-01-01

    The growth on InAs on GaAs(001) has attracted great interest and investigation over the past few decades primarily due to the opto-electronic properties of the self-assembled quantum dot (QD) arrays formed. Scanning tunnelling microscopy (STM) has been extensively employed to investigate the complicated and spontaneous mechanism of QD growth via molecular beam epitaxy (MBE). Classically, combined MBE-STM requires quenching the sample after growth and transferring it to an arsenic-free high vacuum chamber which houses the STM system. However, without access to the phenomenon as a dynamic process a basic understanding remains elusive. In order to access surface dynamics, MBE and STM must be combined into a single element. The system herein discussed allows the operation of MBE sources in an STM system relating to InAs/GaAs(001) surfaces.

  11. Investigation of the silicon ion density during molecular beam epitaxy growth

    CERN Document Server

    Eifler, G; Ashurov, K; Morozov, S

    2002-01-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate betw...

  12. Twenty years of molecular beam epitaxy

    Science.gov (United States)

    Cho, A. Y.

    1995-05-01

    The term "molecular beam epitaxy" (MBE) was first used in one of our crystal growth papers in 1970, after having conducted extensive surface physics studies in the late 1960's of the interaction of atomic and molecular beams with solid surfaces. The unique feature of MBE is the ability to prepare single crystal layers with atomic dimensional precision. MBE sets the standard for epitaxial growth and has made possible semiconductor structures that could not be fabricated with either naturally existing materials or by other crystal growth techniques. MBE led the crystal growth technologies when it prepared the first semiconductor quantum well and superlattice structures that gave unexpected and exciting electrical and optical properties. For example, the discovery of the fractional quantized Hall effect. It brought experimental quantum physics to the classroom, and practically all major universities throughout the world are now equipped with MBE systems. The fundamental principles demonstrated by the MBE growth of III-V compound semiconductors have also been applied to the growth of group IV, II-VI, metal, and insulating materials. For manufacturing, the most important criteria are uniformity, precise control of the device structure, and reproducibility. MBE has produced more lasers (3 to 5 million per month for compact disc application) than any other crystal growth technique in the world. New directions for MBE are to incorporate in-situ, real-time monitoring capabilities so that complex structures can be precisely "engineered". In the future, as environmental concerns increase, the use of toxic arsine and phosphine may be limited. Successful use of valved cracker cells for solid arsenic and phosphorus has already produced InP based injection lasers.

  13. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  14. Growth of ZnO heterostructures in an ultra compact MBE system

    Energy Technology Data Exchange (ETDEWEB)

    Ruth, Marcel [University of Duisburg-Essen, Institute of Experimental Physics, Duisburg (Germany); University of Paderborn (Germany). Group Nanophotonics and Nanomaterials; Meier, Cedrik [University of Paderborn (Germany). Group Nanophotonics and Nanomaterials

    2009-07-01

    Due to its unique properties such as the large direct bandgap of 3.37 eV and its high exciton binding energy, zinc oxide (ZnO) is a very promising semiconductor for optoelectronic and photonic applications even at room temperature. By adding cadmium (Cd) or magnesium (Mg) the bandgap can be tuned between 3.0 eV and 4.0 eV. It has already been shown that plasma assisted molecular beam epitaxy (PA-MBE) is a very suitable technique for growing high-quality epilayers of ZnO. Especially for research issues small samples are often sufficient. By using ultra compact MBE-systems the running costs can be kept down. However, the special system geometry and the very compact design lead to high requirements on the system. It is not trivial that in such a system stoichiometric and homogeneous growth conditions be achieved anyway. Furthermore, very high growth-rates can be obtained. By working in the zinc- (Zn) or oxygen-rich (O) regime completely different surface morphologies free of any metallic clusters are created. We present a systematic study on the growth conditions in such a compact system. Especially, the determination of the flux is discussed, and the grown heterostructures are characterised for their usability for nanophotonic devices.

  15. Ab initio-based approach to structural change of compound semiconductor surfaces during MBE growth

    Science.gov (United States)

    Ito, Tomonori; Akiyama, Toru; Nakamura, Kohji

    2009-01-01

    Phase diagrams of GaAs and GaN surfaces are systematically investigated by using our ab initio-based approach in conjunction with molecular beam epitaxy (MBE). The phase diagrams are obtained as a function of growth parameters such as temperature and beam equivalent pressure (BEP). The versatility of our approach is exemplified by the phase diagram calculations for GaAs(0 0 1) surfaces, where the stable phases and those phase boundaries are successfully determined as functions of temperature and As 2 and As 4 BEPs. The initial growth processes are clarified by the phase diagram calculations for GaAs(1 1 1)B-(2×2). The calculated results demonstrate that the As-trimer desorption on the GaAs(1 1 1)B-(2×2) with Ga adatoms occurs beyond 500-700 K while the desorption without Ga adatoms does beyond 800-1000 K. This self-surfactant effect induced by Ga adsorption crucially affects the initial growth of GaAs on the GaAs(1 1 1)B-(2×2). Furthermore, the phase diagram calculations for GaN(0 0 0 1) suggests that Ga adsorption or desorption during GaN MBE growth can easily change the pseudo-(1×1) to the (2×2)-Ga via newly found (1×1) and vice versa. On the basis of this finding, the possibility of ghost island formation during MBE growth is discussed.

  16. Kinetic-energy induced smoothening and delay of epitaxial breakdown in pulsed-laser deposition

    International Nuclear Information System (INIS)

    Shin, Byungha; Aziz, Michael J.

    2007-01-01

    We have isolated the effect of kinetic energy of depositing species from the effect of flux pulsing during pulsed-laser deposition (PLD) on surface morphology evolution of Ge(001) homoepitaxy at low temperature (100 deg. C). Using a dual molecular beam epitaxy (MBE) PLD chamber, we compare morphology evolution from three different growth methods under identical experimental conditions except for the differing nature of the depositing flux: (a) PLD with average kinetic energy 300 eV (PLD-KE); (b) PLD with suppressed kinetic energy comparable to thermal evaporation energy (PLD-TH); and (c) MBE. The thicknesses at which epitaxial breakdown occurs are ranked in the order PLD-KE>MBE>PLD-TH; additionally, the surface is smoother in PLD-KE than in MBE. The surface roughness of the films grown by PLD-TH cannot be compared due to the early epitaxial breakdown. These results demonstrate convincingly that kinetic energy is more important than flux pulsing in the enhancement of epitaxial growth, i.e., the reduction in roughness and the delay of epitaxial breakdown

  17. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  18. Closed-loop MBE growth of droplet-free GaN with very metal rich conditions using Metal Modulated Epitaxy with Mg and In

    Energy Technology Data Exchange (ETDEWEB)

    Burnham, S.D.; Henderson, W.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2008-07-01

    Improvements to the Metal Modulated Epitaxy (MME) technique are achieved through computer controlled shutter transitions based on feedback from RHEED transients (S. D. Burnham and W. A. Doolittle, J. Vac. Sci. Technol. B 24, 2100 (2006)), thus creating a closed-loop control system for nitride MBE, the first of its kind. A high-sensitivity 22 bit camera is used to determine when RHEED transients have subsided, upon which a shutter transition is initiated allowing the efficient buildup and depletion of the metal bilayer, which improves surface morphology and growth rate compared to the standard MME technique. RMS surface roughness was reduced by 41% by using this 'Smart Shuttering' improvement to MME. A substantially higher peak concentration of Mg, approaching 2% atomic concentration, was achieved using the MME technique. As expected, a negligible amount of In was incorporated into the very Ga-rich films. Using the new closed loop control system developed for MBE, the surface morphology was improved for GaN films while highly repeatable holes concentrations as high as 4.7 x 10{sup 18} cm{sup -3} with 1.07 cm{sup 2}/V-sec mobility was obtained. This approach offers great promise for improved reproducibility and improved material quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  20. Hybrid molecular beam epitaxy for the growth of stoichiometric BaSnO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, Abhinav, E-mail: praka019@umn.edu; Dewey, John; Yun, Hwanhui; Jeong, Jong Seok; Mkhoyan, K. Andre; Jalan, Bharat, E-mail: bjalan@umn.edu [Department of Chemical Engineering and Materials Science, University of Minnesota, Minneapolis, Minnesota 55455 (United States)

    2015-11-15

    Owing to its high room-temperature electron mobility and wide bandgap, BaSnO{sub 3} has recently become of significant interest for potential room-temperature oxide electronics. A hybrid molecular beam epitaxy (MBE) approach for the growth of high-quality BaSnO{sub 3} films is developed in this work. This approach employs hexamethylditin as a chemical precursor for tin, an effusion cell for barium, and a radio frequency plasma source for oxygen. BaSnO{sub 3} films were thus grown on SrTiO{sub 3} (001) and LaAlO{sub 3} (001) substrates. Growth conditions for stoichiometric BaSnO{sub 3} were identified. Reflection high-energy electron diffraction (RHEED) intensity oscillations, characteristic of a layer-by-layer growth mode were observed. A critical thickness of ∼1 nm for strain relaxation was determined for films grown on SrTiO{sub 3} using in situ RHEED. Scanning transmission electron microscopy combined with electron energy-loss spectroscopy and energy dispersive x-ray spectroscopy confirmed the cube-on-cube epitaxy and composition. The importance of precursor chemistry is discussed in the context of the MBE growth of BaSnO{sub 3}.

  1. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  3. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  4. Molecular Beam Epitaxial Growth and Characterization of Graphene and Hexagonal Boron Nitride Two-Dimensional Layers

    Science.gov (United States)

    Zheng, Renjing

    Van der Waals (vdW) materials (also called as two-dimensional (2D) material in some literature) systems have received extensive attention recently due to their potential applications in next-generation electronics platform. Exciting properties have been discovered in this field, however, the performance and properties of the systems rely on the materials' quality and interface significantly, leading to the urgent need for scalable synthesis of high-quality vdW crystals and heterostructures. Toward this direction, this dissertation is devoted on the study of Molecular Beam Epitaxy (MBE) growth and various characterization of vdW materials and heterostructures, especially graphene and hexagonal boron nitride (h-BN). The goal is to achieve high-quality vdW materials and related heterostructures. There are mainly four projects discussed in this dissertation. The first project (Chapter 2) is about MBE growth of large-area h-BN on copper foil. After the growth, the film was transferred onto SiO2 substrate for characterization. It is observed that as-grown film gives evident h-BN Raman spectrum; what's more, h-BN peak intensity and position is dependent on film thickness. N-1s and B-1s XPS peaks further suggest the formation of h-BN. AFM and SEM images show the film is flat and continuous over large area. Our synthesis method shows it's possible to use MBE to achieve h-BN growth and could also pave a way for some unique structure, such as h-BN/graphene heterostructures and doped h-BN films by MBE. The second project (Chapter 3) is focused on establishment of grapehene/h-BN heterostructure on cobalt (Co) film. In-situ epitaxial growth of graphene/h-BN heterostructures on Co film substrate was achieved by using plasma-assisted MBE. The direct graphene/h-BN vertical stacking structures were demonstrated and further confirmed by various characterizations, such as Raman spectroscopy, SEM, XPS and TEM. Large area heterostructures consisting of single- /bilayer graphene and

  5. MBE growth of Topological Isolators based on strained semi-metallic HgCdTe layers

    Science.gov (United States)

    Grendysa, J.; Tomaka, G.; Sliz, P.; Becker, C. R.; Trzyna, M.; Wojnarowska-Nowak, R.; Bobko, E.; Sheregii, E. M.

    2017-12-01

    Particularities of Molecular Beam Epitaxial (MBE) technology for the growth of Topological Insulators (TI) based on the semi-metal Hg1-xCdx Te are presented. A series of strained layers grown on GaAs substrates with a composition close to the 3D Dirac point were studied. The composition of the layers was verified by means of the position of the E1 maximum in optical reflectivity in the visible region. The surface morphology was determined via atomic force and electron microscopy. Magneto-transport measurements show quantized Hall resistance curves and Shubnikov de Hass oscillations (up to 50 K). It has been demonstrated that a well-developed MBE technology enables one to grow strained Hg1-xCdx Te layers on GaAs/CdTe substrates with a well-defined composition near the 3D Dirac point and consequently allows one to produce a 3D topological Dirac semimetal - 3D analogy of graphene - for future applications.

  6. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  7. Deep traps at GaAs/GaAs interface grown by MBE-interruption growth technique

    International Nuclear Information System (INIS)

    Kaniewska, M.; Engstroem, O.

    2007-01-01

    Electron trapping centers at the GaAs/GaAs interface grown by molecular beam epitaxy (MBE)-interruption growth technique have been studied by capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS). Two main electron traps have been revealed with activation energies at 0.16 eV and 0.52 eV from the conduction band. Inhomogeneous spatial distributions of the traps, obtained by DLTS profiling, indicate that they are agglomerated at the interrupted interface on a concentration level of (2-3) x 10 15 cm -3 at their maximum. Their behaviour is typical of acceptor-like traps when investigating by C-V profiling as a function of temperature. Based on a comparison made with electron traps in MBE-GaAs as well as with the traps in InAs/GaAs quantum dot/quantum well (QD/QW) structures, we conclude they are the well-known EL10 and EL4 defects, respectively, and their concentrations are growth condition dependent. They may be point defect-impurity complexes. Their presence may cause interpretation and application problems of the low-dimensional InAs/GaAs structures

  8. Deep traps at GaAs/GaAs interface grown by MBE-interruption growth technique

    Energy Technology Data Exchange (ETDEWEB)

    Kaniewska, M. [Department of Analysis of Semicoductor Nanostructures, Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warsaw (Poland)], E-mail: kaniew@ite.waw.pl; Engstroem, O. [Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Goeteborg (Sweden)

    2007-09-15

    Electron trapping centers at the GaAs/GaAs interface grown by molecular beam epitaxy (MBE)-interruption growth technique have been studied by capacitance versus voltage (C-V) measurements and deep level transient spectroscopy (DLTS). Two main electron traps have been revealed with activation energies at 0.16 eV and 0.52 eV from the conduction band. Inhomogeneous spatial distributions of the traps, obtained by DLTS profiling, indicate that they are agglomerated at the interrupted interface on a concentration level of (2-3) x 10{sup 15} cm{sup -3} at their maximum. Their behaviour is typical of acceptor-like traps when investigating by C-V profiling as a function of temperature. Based on a comparison made with electron traps in MBE-GaAs as well as with the traps in InAs/GaAs quantum dot/quantum well (QD/QW) structures, we conclude they are the well-known EL10 and EL4 defects, respectively, and their concentrations are growth condition dependent. They may be point defect-impurity complexes. Their presence may cause interpretation and application problems of the low-dimensional InAs/GaAs structures.

  9. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  10. In situ synchrotron X-ray diffraction study on epitaxial-growth dynamics of III–V semiconductors

    Science.gov (United States)

    Takahasi, Masamitu

    2018-05-01

    The application of in situ synchrotron X-ray diffraction (XRD) to the molecular-beam epitaxial (MBE) growth of III–V semiconductors is overviewed along with backgrounds of the diffraction theory and instrumentation. X-rays are sensitive not only to the surface of growing films but also to buried interfacial structures because of their large penetration depth. Moreover, a spatial coherence length up to µm order makes X-rays widely applicable to the characterization of low-dimensional structures, such as quantum dots and wires. In situ XRD studies during growth were performed using an X-ray diffractometer, which was combined with an MBE chamber. X-ray reciprocal space mapping at a speed matching a typical growth rate was achieved using intense X-rays available from a synchrotron light source and an area detector. The importance of measuring the three-dimensional distribution of XRD intensity in a reciprocal space map is demonstrated for the MBE growth of two-, one-, and zero-dimensional structures. A large amount of information about the growth process of two-dimensional InGaAs/GaAs(001) epitaxial films has been provided by three-dimensional X-ray reciprocal mappings, including the anisotropic strain relaxation, the compositional inhomogeneity, and the evolution of surface and interfacial roughness. For one-dimensional GaAs nanowires grown in a Au-catalyzed vapor-liquid–solid mode, the relationship between the diameter of the nanowires and the formation of polytypes has been suggested on the basis of in situ XRD measurements. In situ three-dimensional X-ray reciprocal space mapping is also shown to be useful for determining the lateral and vertical sizes of self-assembled InAs/GaAs(001) quantum dots as well as their internal strain distributions during growth.

  11. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  12. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  13. Investigation of the silicon ion density during molecular beam epitaxy growth

    Science.gov (United States)

    Eifler, G.; Kasper, E.; Ashurov, Kh.; Morozov, S.

    2002-05-01

    Ions impinging on a surface during molecular beam epitaxy influence the growth and the properties of the growing layer, for example, suppression of dopant segregation and the generation of crystal defects. The silicon electron gun in the molecular beam epitaxy (MBE) equipment is used as a source for silicon ions. To use the effect of ion bombardment the mechanism of generation and distribution of ions was investigated. A monitoring system was developed and attached at the substrate position in the MBE growth chamber to measure the ion and electron densities towards the substrate. A negative voltage was applied to the substrate to modify the ion energy and density. Furthermore the current caused by charge carriers impinging on the substrate was measured and compared with the results of the monitoring system. The electron and ion densities were measured by varying the emission current of the e-gun achieving silicon growth rates between 0.07 and 0.45 nm/s and by changing the voltage applied to the substrate between 0 to -1000 V. The dependencies of ion and electron densities were shown and discussed within the framework of a simple model. The charged carrier densities measured with the monitoring system enable to separate the ion part of the substrate current and show its correlation to the generation rate. Comparing the ion density on the whole substrate and in the center gives a hint to the ion beam focusing effect. The maximum ion and electron current densities obtained were 0.40 and 0.61 μA/cm2, respectively.

  14. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  15. Molecular beam epitaxial growth of graphene and ridge-structure networks of graphene

    International Nuclear Information System (INIS)

    Maeda, Fumihiko; Hibino, Hiroki

    2011-01-01

    By gas-source molecular beam epitaxy (MBE) using cracked ethanol, we grew graphene at substrate temperatures between 600 and 915 °C on graphene formed on SiC(0 0 0 1) by thermal decomposition. To investigate the substrate temperature dependence of graphene growth we analysed the MBE-grown graphene by Raman spectroscopy and in situ x-ray photoelectron spectroscopy (XPS) and observed it by atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (TEM). Analyses using the G-band peak and the peak intensity ratio between D- and G-band peaks in the Raman spectra revealed that growth at higher temperatures improved the crystallinity and increased the domain size. Although the growth rate decreased at higher temperatures, as revealed by XPS, these results indicated that growth at a higher temperature is effective in obtaining graphene of higher quality. Furthermore, the AFM and TEM observations revealed a network of fin-like ridge structures of graphene sticking out from the surface. The presence of these 'graphene nanofins' indicated that two-dimensional islands of graphene are surrounded by the nanofins, and the island size was estimated to be 67 nm using the average distance between the nanofins.

  16. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  17. Molecular beam epitaxy applications to key materials

    CERN Document Server

    Farrow, Robin F C

    1995-01-01

    In this volume, the editor and contributors describe the use of molecular beam epitaxy (MBE) for a range of key materials systems that are of interest for both technological and fundamental reasons. Prior books on MBE have provided an introduction to the basic concepts and techniques of MBE and emphasize growth and characterization of GaAs-based structures. The aim in this book is somewhat different; it is to demonstrate the versatility of the technique by showing how it can be utilized to prepare and explore a range of distinct and diverse materials. For each of these materials systems MBE has played a key role both in their development and application to devices.

  18. Molecular-beam epitaxy growth and structural characterization of semiconductor-ferromagnet heterostructures by grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Satapathy, D.K.

    2005-12-19

    The present work is devoted to the growth of the ferromagnetic metal MnAs on the semiconductor GaAs by molecular-beam epitaxy (MBE). The MnAs thin films are deposited on GaAs by molecular-beam epitaxy (MBE). Grazing incidence diffraction (GID) and reflection high-energy electron diffraction (RHEED) are used in situ to investigate the nucleation, evolution of strain, morphology and interfacial structure during the MBE growth. Four stages of the nucleation process during growth of MnAs on GaAs(001) are revealed by RHEED azimuthal scans. GID shows that further growth of MnAs films proceed via the formation of relaxed islands at a nominal thickness of 2.5 ML which increase in size and finally coalesce to form a continuous film. Early on, an ordered array of misfit dislocations forms at the interface releasing the misfit strain even before complete coalescence occurs. The fascinating complex nucleation process of MnAs on GaAs(0 0 1) contains elements of both Volmer-Weber and Stranski-Krastanov growth. A nonuniform strain amounting to 0.66%, along the [1 -1 0] direction and 0.54%, along the [1 1 0] direction is demonstrated from x-ray line profile analysis. A high correlation between the defects is found along the GaAs[1 1 0] direction. An extremely periodic array of misfit dislocations with a period of 4.95{+-}0.05 nm is formed at the interface along the [1 1 0] direction which releases the 7.5% of misfit. The inhomogeneous strain due to the periodic dislocations is confined at the interface within a layer of 1.6 nm thickness. The misfit along the [1 -1 0] direction is released by the formation of a coincidence site lattice. (orig.)

  19. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  20. Self-organised growth of InN-nanocolumns by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Malindretos, Joerg; Schuhmann, Henning; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut and Virtual Institute of Spin Electronics (VISel), Georg-August Universitaet Goettingen, 37077 Goettingen (Germany); Garro, Nuria; Cantarero, Andres [Material Science Institute, University of Valencia, PO Box 22085, 46071 Valencia (Spain)

    2007-07-01

    InN nanocolumns (NCs) are an attractive system for light harvesting applications. Our aim is to investigate the optical and electrical properties of nanorod ensembles and of single objects. An electron accumulation layer is known to be formed at the surface of epitaxial thin layers due to Fermi level pinning and should therefore facilitate the electrical contacting of the nanorods. InN-NCs were grown on p-Si(111) by plasma assisted MBE. In dependence on the growth parameters four different growth regimes were identified, according to the final shape of the NCs: broadened, tapered, uniform, tapered as well as long uniform rods. The early stages of nucleation have been analysed and correlated to the final shape distribution of the NCs. Nanorods with diameters of 20-250 nm and lengths up to 1.75 {mu}m have been grown. The aspect ratio (length-to-diameter) reaches values of 45. HR-TEM images show a perfect crystal quality. Additionally we will present I-U-characteristics of a single NC contacted by Focused Ion Beam and e-beam lithography. First results show a resistance of a single object in the order of k{omega}. Micro-Raman and micro-PL measurements will provide an insight into the optical properties of InN-NC-ensembles and single objects.

  1. Epitaxial growth with pulsed deposition: Submonolayer scaling and Villain instability

    DEFF Research Database (Denmark)

    Hinnemann, Berit; Hinrichsen, H.; Wolf, D.E.

    2003-01-01

    It has been observed experimentally that under certain conditions, pulsed laser deposition (PLD) produces smoother surfaces than ordinary molecular beam epitaxy (MBE). So far, the mechanism leading to the improved quality of surfaces in PLD is not yet fully understood. In the present work, we...

  2. MBE growth of VCSELs for high volume applications

    Science.gov (United States)

    Jäger, Roland; Riedl, Michael C.

    2011-05-01

    Mass market applications like laser computer mouse or optical data transmission based on vertical-cavity surface-emitting laser (VCSEL) chips need a high over all yield including epitaxy, processing, dicing, mounting and testing. One yield limitation for VCSEL structures is the emission wavelength variation of the substrate surface area leading to the fraction on laser chips which are below or above the specification limits. For most 850 nm VCSEL products a resonator wavelength variation of ±2 nm is common. This represents an average resonator thickness variation of much less than 1% which is quite challenging to be fulfilled on the entire processed wafer surface area. A high over all yield is demonstrated on MBE grown VCSEL structures.

  3. Effects of film polarities on InN growth by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xu, K.; Yoshikawa, A.

    2003-01-01

    Effects of the film polarity on InN growth were investigated in molecular-beam epitaxy (MBE). It was found that N-polarity InN could be grown at higher temperatures than In-polarity one. For the In-polarity films, which were grown on Ga-polar GaN template, the highest growth temperature was limited below 500 deg. C, and the surface morphology and crystal quality tended to be poor mainly because of the tolerated low growth temperature. While for the N-polarity InN films, which were grown on MBE-grown N-polar GaN, the growth temperature could be as high as 600 deg. C. The step-flow-like growth morphology was achieved for the InN films grown with N polarity at 580 deg. C. The resulting full widths of half maximum of x-ray rocking curve around InN (002) and (102) reflections were about 200-250 and 950-1100 arc sec, respectively. The photoluminescence of the InN films peaked at 0.697 eV. The recording Hall mobility of InN film grown in N polarity is 1400 cm 2 /V s with a background carrier concentration of 1.56x10 18 cm -3 at room temperature. For both-polarity films, we found N-rich condition was necessary for the stable InN growth

  4. Specific features of NH3 and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    International Nuclear Information System (INIS)

    Alexeev, A. N.; Krasovitsky, D. M.; Petrov, S. I.; Chaly, V. P.; Mamaev, V. V.; Sidorov, V. G.

    2015-01-01

    The specific features of how nitride HEMT heterostructures are produced by NH 3 and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10 8 −1 × 10 9 cm −2 . The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH 3 -MBE with an extremely high ammonia flux are demonstrated

  5. MBE growth of few-layer 2H-MoTe2 on 3D substrates

    Science.gov (United States)

    Vishwanath, Suresh; Sundar, Aditya; Liu, Xinyu; Azcatl, Angelica; Lochocki, Edward; Woll, Arthur R.; Rouvimov, Sergei; Hwang, Wan Sik; Lu, Ning; Peng, Xin; Lien, Huai-Hsun; Weisenberger, John; McDonnell, Stephen; Kim, Moon J.; Dobrowolska, Margaret; Furdyna, Jacek K.; Shen, Kyle; Wallace, Robert M.; Jena, Debdeep; Xing, Huili Grace

    2018-01-01

    MoTe2 is the least explored material in the Molybdenum-chalcogen family. Molecular beam epitaxy (MBE) provides a unique opportunity to tackle the small electronegativity difference between Mo and Te while growing layer by layer away from thermodynamic equilibrium. We find that for a few-layer MoTe2 grown at a moderate rate of ∼6 min per monolayer, a narrow window in temperature (above Te cell temperature) and Te:Mo ratio exists, where we can obtain pure phase 2H-MoTe2. This is confirmed using reflection high-energy electron diffraction (RHEED), Raman spectroscopy and X-ray photoemission spectroscopy (XPS). For growth on CaF2, Grazing incidence X-ray diffraction (GI-XRD) reveals a grain size of ∼90 Å and presence of twinned grains. In this work, we hypothesis the presence of excess Te incorporation in MBE grown few layer 2H-MoTe2. For film on CaF2, it is based on >2 Te:Mo stoichiometry using XPS as well as 'a' and 'c' lattice spacing greater than bulk 2H-MoTe2. On GaAs, its based on observations of Te crystallite formation on film surface, 2 × 2 superstructure observed in RHEED and low energy electron diffraction, larger than bulk c-lattice spacing as well as the lack of electrical conductivity modulation by field effect. Finally, thermal stability and air sensitivity of MBE 2H-MoTe2 is investigated by temperature dependent XRD and XPS, respectively.

  6. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  7. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  8. MBE growth and design of II-VI heterostructures for epitaxial lift-off

    Energy Technology Data Exchange (ETDEWEB)

    Davidson, Ian A.; Vallance, Erin C.; Prior, Kevin A. [School of Engineering and Physical Science, Heriot-Watt University, Edinburgh (United Kingdom); Moug, Richard T.; Tamargo, Maria C. [Department of Chemistry, City College of New York, New York, NY (United States)

    2012-08-15

    Epitaxial lift-off (ELO) is a post-growth process that allows the active part of a semiconductor structure to be transferred from its growth substrate to a new one. This is a well established technique for III-V semiconductors, and has previously been demonstrated for ZnSe-based alloys grown on GaAs using a metastable MgS sacrificial layer, taking advantage of the huge difference in etch rates of MgS and ZnSe. We report here the first successful extension of this process to II-VI layers grown on InP by using a MgSe sacrificial layer. By using the correct etching conditions, MgSe has been found to work effectively as a sacrificial layer. 5 x 5 mm{sup 2} square pieces of material can be lifted and deposited on glass substrates without any deterioration in the structural or optical properties; as confirmed by optical microscopy and photoluminescence (PL) measurements. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Fabrication of photovoltaic laser energy converterby MBE

    Science.gov (United States)

    Lu, Hamilton; Wang, Scott; Chan, W. S.

    1993-01-01

    A laser-energy converter, fabricated by molecular beam epitaxy (MBE), was developed. This converter is a stack of vertical p-n junctions connected in series by low-resistivity, lattice matched CoSi2 layers to achieve a high conversion efficiency. Special high-temperature electron-beam (e-beam) sources were developed especially for the MBE growth of the junctions and CoSi2 layers. Making use of the small (greater than 1.2 percent) lattice mismatch between CoSi2 and Si layers, high-quality and pinhole-free epilayers were achieved, providing a capability of fabricating all the junctions and connecting layers as a single growth process with one pumpdown. Well-defined multiple p-n junctions connected by CoSi2 layers were accomplished by employing a low growth temperature (greater than 700 C) and a low growth rate (less than 0.5 microns/hour). Producing negligible interdiffusion, the low growth temperature and rate also produced negligible pinholes in the CoSi2 layers. For the first time, a stack of three p-n junctions connected by two 10(exp -5) Ohm-cm CoSi2 layers was achieved, meeting the high conversion efficiency requirement. This process can now be optimized for high growth rate to form a practical converter with 10 p-n junctions in the stack.

  10. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  11. Molecular beam epitaxy for high-performance Ga-face GaN electron devices

    International Nuclear Information System (INIS)

    Kaun, Stephen W; Speck, James S; Wong, Man Hoi; Mishra, Umesh K

    2013-01-01

    Molecular beam epitaxy (MBE) has emerged as a powerful technique for growing GaN-based high electron mobility transistor (HEMT) epistructures. Over the past decade, HEMT performance steadily improved, mainly through the optimization of device fabrication processes. Soon, HEMT performance will be limited by the crystalline quality of the epistructure. MBE offers heterostructure growth with highly abrupt interfaces, low point defect concentrations, and very low carbon and hydrogen impurity concentrations. Minimizing parasitic leakage pathways and resistances is essential in the growth of HEMTs for high-frequency and high-power applications. Through growth on native substrates with very low threading dislocation density, low-leakage HEMTs with very low on-resistance can be realized. Ga-rich plasma-assisted MBE (PAMBE) has been studied extensively, and it is clear that this technique has inherent limitations, including a high density of leakage pathways and a very small growth parameter space. Relatively new MBE growth techniques—high-temperature N-rich PAMBE and ammonia-based MBE—are being developed to circumvent the shortcomings of Ga-rich PAMBE. (invited review)

  12. Specific features of NH{sub 3} and plasma-assisted MBE in the fabrication of III-N HEMT heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Alexeev, A. N. [NTO ZAO (Russian Federation); Krasovitsky, D. M. [Svetlana-Rost ZAO (Russian Federation); Petrov, S. I., E-mail: petrov@semiteq.ru [NTO ZAO (Russian Federation); Chaly, V. P.; Mamaev, V. V. [Svetlana-Rost ZAO (Russian Federation); Sidorov, V. G. [St. Petersburg State Polytechnic University (Russian Federation)

    2015-01-15

    The specific features of how nitride HEMT heterostructures are produced by NH{sub 3} and plasma-assisted (PA) molecular-beam epitaxy (MBE) are considered. It is shown that the use of high-temperature AlN/AlGaN buffer layers grown with ammonia at extremely high temperatures (up to 1150°C) can drastically improve the structural perfection of the active GaN layers and reduce the dislocation density in these layers to values of 9 × 10{sup 8}−1 × 10{sup 9} cm{sup −2}. The use of buffer layers of this kind makes it possible to obtain high-quality GaN/AlGaN heterostructures by both methods. At the same time, in contrast to ammonia MBE which is difficult to apply at T < 500°C (because of the low efficiency of ammonia decomposition), PA MBE is rather effective at low temperatures, e.g., for the growth of InAlN layers lattice-matched with GaN. The results obtained in the MBE growth of AlN/AlGaN/GaN/InAlN heterostructures by both PA-MBE and NH{sub 3}-MBE with an extremely high ammonia flux are demonstrated.

  13. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  14. High-mobility BaSnO{sub 3} grown by oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Raghavan, Santosh; Schumann, Timo; Kim, Honggyu; Zhang, Jack Y.; Cain, Tyler A.; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-01-01

    High-mobility perovskite BaSnO{sub 3} films are of significant interest as new wide bandgap semiconductors for power electronics, transparent conductors, and as high mobility channels for epitaxial integration with functional perovskites. Despite promising results for single crystals, high-mobility BaSnO{sub 3} films have been challenging to grow. Here, we demonstrate a modified oxide molecular beam epitaxy (MBE) approach, which supplies pre-oxidized SnO{sub x}. This technique addresses issues in the MBE of ternary stannates related to volatile SnO formation and enables growth of epitaxial, stoichiometric BaSnO{sub 3}. We demonstrate room temperature electron mobilities of 150 cm{sup 2} V{sup −1} s{sup −1} in films grown on PrScO{sub 3}. The results open up a wide range of opportunities for future electronic devices.

  15. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  16. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  17. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  18. RHEED studies of MBE growth mechanisms of CdTe and CdMnTe

    Energy Technology Data Exchange (ETDEWEB)

    Waag, A.; Behr, T.; Litz, T.; Kuhn-Heinrich, B.; Hommel, D.; Landwehr, G. (Physikalisches Inst., Univ. Wuerzburg (Germany))

    1993-01-30

    We report on reflection high energy electron diffraction (RHEED) studies of molecular beam epitaxy (MBE) growth of CdTe and CdMnTe on (100) oriented CdTe substrates. RHEED oscillations were measured for both the growth and desorption of CdTe and CdMnTe as a function of flux and temperature. For the first time, the influence of laser and electron irradiation on the growth rate, as well as desorption, of CdTe is studied in detail using RHEED oscillations. We found a very small effect on the growth rate as well as on the CdTe desorption rate. The growth rate of CdTe was determined for different temperatures and CdTe flux ratios. The obtained experimental results are compared with a kinetic growth model to get information on the underlying growth processes, taking into account the influence of a precursor by including surface diffusion. From the comparison between model and experimental results the sticking coefficients of Cd and Te are determined. The growth rate of CdMnTe increases with Mn flux. This dependence can be used to calibrate the Mn content during growth by comparing the growth rate of CdTe with the growth rate of CdMnTe. The change in growth rate has been correlated with Mn content via photoluminescence measurements. In addition, the sticking coefficient of Mn is derived by comparing experimental results with a kinetic growth model. For high manganese content a transition to three-dimensional growth occurs. (orig.).

  19. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  20. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  1. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  2. Three-dimensional lattice rotation in GaAs nanowire growth on hydrogen-silsesquioxane covered GaAs (001) using molecular beam epitaxy

    Science.gov (United States)

    Tran, Dat Q.; Pham, Huyen T.; Higashimine, Koichi; Oshima, Yoshifumi; Akabori, Masashi

    2018-05-01

    We report on crystallographic behaviors of inclined GaAs nanowires (NWs) self-crystallized on GaAs (001) substrate. The NWs were grown on hydrogen-silsesquioxane (HSQ) covered substrates using molecular beam epitaxy (MBE). Commonly, the epitaxial growth of GaAs B (B-polar) NWs is prominently observed on GaAs (001); however, we yielded a remarkable number of epitaxially grown GaAs A (A-polar) NWs in addition to the majorly obtained B-polar NWs. Such NW orientations are always accompanied by a typical inclined angle of 35° from (001) plane. NWs with another inclined angle of 74° were additionally observed and attributed to be -oriented, not in direct epitaxial relation with the substrate. Such 74° NWs' existence is related to first-order three-dimensional (3D) lattice rotation taking place at the very beginning of the growth. It turns out that spatially 60° lattice rotation around directions at GaAs seeds is essentially in charge of A- and B-polar 74° NWs. Transmission electron microscope observations reveal a high density of twinning in the B-polar NWs and twin-free characteristic in the A-polar NWs.

  3. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  4. MBE growth of compounds on the copper rich side of the (Sr,Ca)CuO system

    Energy Technology Data Exchange (ETDEWEB)

    Eustache, B. [Ecole Superieure de Physique et Chimie Industrielles, Paris (France). Lab. Surfaces et Supraconducteurs]|[Wintici S.A., 17 Rue Jean Moulin, 94300, Paris (France); Beuran, F.C.; Hatterer, C.J.; Mairet, V.; Partiot, C.; Xu, X.Z.; Germain, P.; Lagues, M. [Ecole Superieure de Physique et Chimie Industrielles, Paris (France). Lab. Surfaces et Supraconducteurs; Deville Cavellin, C. [Universite Paris XII, 61 Avenue du General de Gaulle, 94010 Creteil, Paris (France)

    1997-04-01

    Thin films belonging to the copper rich family in the (Sr,Ca)-Cu-O system have been synthesized using molecular beam epitaxy (MBE) deposition. The growth conditions have been optimized on two different substrates, MgO (100) and SrTiO{sub 3} (100). We discuss in this paper the variations of the electron diffraction pattern (RHEED) during the growth. The films obtained present a single orientation with good crystallization. The average composition measured by Rutherford backscattering spectroscopy (RBS) is (Sr,Ca){sub 0.6}CuO{sub z}. This stoichiometry is close to the composition expected for the first members of the spin ladders homologous series Sr{sub n-1}Cu{sub n+1}O{sub 2n}. High resolution electron microscopy (HREM) and X-ray diffraction measurements on a four circles diffractometer are in progress. Preliminary results confirm that the structure is consistent with spin-ladders structures. Typical room temperature resistivity is of the order of 0.5 m{Omega} cm. The search for superconducting properties in these compounds is discussed. (orig.)

  5. Optimization of hetero-epitaxial growth for the threading dislocation density reduction of germanium epilayers

    Science.gov (United States)

    Chong, Haining; Wang, Zhewei; Chen, Chaonan; Xu, Zemin; Wu, Ke; Wu, Lan; Xu, Bo; Ye, Hui

    2018-04-01

    In order to suppress dislocation generation, we develop a "three-step growth" method to heteroepitaxy low dislocation density germanium (Ge) layers on silicon with the MBE process. The method is composed of 3 growth steps: low temperature (LT) seed layer, LT-HT intermediate layer as well as high temperature (HT) epilayer, successively. Threading dislocation density (TDD) of epitaxial Ge layers is measured as low as 1.4 × 106 cm-2 by optimizing the growth parameters. The results of Raman spectrum showed that the internal strain of heteroepitaxial Ge layers is tensile and homogeneous. During the growth of LT-HT intermediate layer, TDD reduction can be obtained by lowering the temperature ramping rate, and high rate deposition maintains smooth surface morphology in Ge epilayer. A mechanism based on thermodynamics is used to explain the TDD and surface morphological dependence on temperature ramping rate and deposition rate. Furthermore, we demonstrate that the Ge layer obtained can provide an excellent platform for III-V materials integrated on Si.

  6. Photoluminescence and Band Alignment of Strained GaAsSb/GaAs QW Structures Grown by MBE on GaAs

    Directory of Open Access Journals (Sweden)

    Nigamananda Samal

    2010-02-01

    Full Text Available An in-depth optimization of growth conditions and investigation of optical properties including discussions on band alignment of GaAsSb/GaAs quantum well (QW on GaAs by molecular beam epitaxy (MBE are reported. Optimal MBE growth temperature of GaAsSb QW is found to be 470 ± 10 °C. GaAsSb/GaAs QW with Sb content ~0.36 has a weak type-II band alignment with valence band offset ratio QV ~1.06. A full width at half maximum (FWHM of ~60 meV in room temperature (RT photoluminescence (PL indicates fluctuation in electrostatic potential to be less than 20 meV. Samples grown under optimal conditions do not exhibit any blue shift of peak in RT PL spectra under varying excitation.

  7. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong, E-mail: hhong@aps.anl.gov [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Tung, I. C. [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Chang, S.-H.; Bhattacharya, A.; Fong, D. D. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2016-01-15

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques.

  8. In situ surface/interface x-ray diffractometer for oxide molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Freeland, J. W.; Hong, Hawoong; Tung, I. C.; Chang, S.-H.; Bhattacharya, A.; Fong, D. D.

    2016-01-01

    In situ studies of oxide molecular beam epitaxy by synchrotron x-ray scattering has been made possible by upgrading an existing UHV/molecular beam epitaxy (MBE) six-circle diffractometer system. For oxide MBE growth, pure ozone delivery to the chamber has been made available, and several new deposition sources have been made available on a new 12 in. CF (ConFlat, a registered trademark of Varian, Inc.) flange. X-ray diffraction has been used as a major probe for film growth and structures for the system. In the original design, electron diffraction was intended for the secondary diagnostics available without the necessity of the x-ray and located at separate positions. Deposition of films was made possible at the two diagnostic positions. And, the aiming of the evaporation sources is fixed to the point between two locations. Ozone can be supplied through two separate nozzles for each location. Also two separate thickness monitors are installed. Additional features of the equipment are also presented together with the data taken during typical oxide film growth to illustrate the depth of information available via in situ x-ray techniques

  9. MBE-grown semiconductor nanostructures with electronic and photonic confinement

    DEFF Research Database (Denmark)

    Jensen, Jacob Riis

    In this thesis the realization of semiconductor nanostructures in the InAlGaAs material system with molecular beam epitaxy (MBE) is described, as well as the characterization of their optical properties. First, the growth conditions used for different materials and surfaces are given, and the gen...... a microcavity is measured and analysed, where a good qualitative agreement with theories for Rayleigh scattering is found, ant he so-called polariton bottleneck is observed....... well is also taken into account, which is demonstrated in a microcavity with a reduced light-matter interaction. For the polariton with the lowest eigenenergy, it is shown that the probability for scattering on lattice vibrations or free carriers is reduced. Finally, the secondary emission from...

  10. Recent Advances on p-Type III-Nitride Nanowires by Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Songrui Zhao

    2017-09-01

    Full Text Available p-Type doping represents a key step towards III-nitride (InN, GaN, AlN optoelectronic devices. In the past, tremendous efforts have been devoted to obtaining high quality p-type III-nitrides, and extraordinary progress has been made in both materials and device aspects. In this article, we intend to discuss a small portion of these processes, focusing on the molecular beam epitaxy (MBE-grown p-type InN and AlN—two bottleneck material systems that limit the development of III-nitride near-infrared and deep ultraviolet (UV optoelectronic devices. We will show that by using MBE-grown nanowire structures, the long-lasting p-type doping challenges of InN and AlN can be largely addressed. New aspects of MBE growth of III-nitride nanostructures are also discussed.

  11. Self-assembled InAs quantum dots formed by molecular beam epitaxy at low temperature and postgrowth annealing

    NARCIS (Netherlands)

    Zhan, H.H.; Nötzel, R.; Hamhuis, G.J.; Eijkemans, T.J.; Wolter, J.H.

    2003-01-01

    Self-assembled InAs quantum dots are grown at low temperature (LT) by molecular beam epitaxy (MBE) on GaAs substrates. The growth is in situ monitored by reflection high-energy electron diffraction, and ex situ evaluated by atomic force microscopy for the morphological properties, and by

  12. Adsorption-controlled growth of ferroelectric PbTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12} films for nonvolatile memory applications by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Theis, C.D.; Yeh, J.; Schlom, D.G. [Pennsylvania State Univ., University Park, PA (United States). Dept. of Materials Science and Engineering; Hawley, M.E.; Brown, G.W. [Los Alamos National Lab., NM (United States). Center for Materials Science

    1997-09-01

    Epitaxial PbTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12} thin films have been grown on (100) SrTiO{sub 3} and (100) LaAlO{sub 3} substrates by reactive molecular beam epitaxy (MBE). Titanium is supplied to the film in the form of shuttered bursts each containing a one monolayer dose of titanium atoms for the growth of PbTiO{sub 3} and three monolayers for the growth of Bi{sub 4}Ti{sub 3}O{sub 12}. Lead, bismuth, and ozone are continuously supplied to the surface of the depositing film. Growth of phase pure, c-axis oriented epitaxial films with bulk lattice constants is achieved using an overpressure of these volatile species. With the proper choice of substrate temperature (600--650 C) and ozone background pressure (P{sub O{sub 3}} = 2 {times} 10{sup {minus}5} Torr), the excess of the volatile metals and ozone desorb from the surface of the depositing film leaving a phase-pure stoichiometric crystal. The smooth PbTiO{sub 3} surface morphology revealed by atomic force microscopy (AFM) suggests that the PbTiO{sub 3} films grow in a layer-by-layer fashion. In contrast the Bi{sub 4}Ti{sub 3}O{sub 12} films contain islands which evolve either continuously or around screw dislocations via a spiral-type growth mechanism.

  13. Growth and characterization of visible diode lasers

    International Nuclear Information System (INIS)

    Shealy, J.R.; Bour, D.P.

    1988-01-01

    The (Al x Ga 1-x )yIn 1-y rho material system, lattice matched to GaAs substrates, has received much attention for use in visible laser diodes emitting in the spectral region λ--650-680 nm. When lattice matched to GaAs (y=0.5), this alloy spans a direct band gap range from --1.85 eV (at x=0) to --2.3 eV (near the T-X crossover at chi--0.7) It was only recently that device quality epitaxial layers have been prepared in this material due to difficulties with liquid phase epitaxial (LPE) and halide vapor phase epitaxial growth.Only organometallic vapor phase epitaxy (OMVPE) and molecular beam epitaxy (MBE) growth techniques have successfully produced AlGainP laser material

  14. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  15. A low-energy ion source for p-type doping in MBE

    International Nuclear Information System (INIS)

    Park, R.M.; Stanley, C.R.; Clampitt, R.

    1980-01-01

    A compact low-energy ion cell has been developed for use as a source of acceptor impurities for the growth of p-type semiconductor material in ultra-high vacuum by molecular beam epitaxy. A flux of either zinc or cadmium atoms is emitted under molecular effusion conditions and partially ionised in the orifice of the cell by electron bombardment. The design provides for control of both the ion energy and current at constant cell temperature. (100)InP has been grown by MBE in a flux of 1 keV Zn ions. The surface morphology and crystal structure show no degradation when compared with (100)InP grown without the Zn ions present. (author)

  16. Photoluminescence characteristics of Pb-doped, molecular-beam-epitaxy grown ZnSe crystal layers

    International Nuclear Information System (INIS)

    Mita, Yoh; Kuronuma, Ryoichi; Inoue, Masanori; Sasaki, Shoichiro; Miyamoto, Yoshinobu

    2004-01-01

    The characteristic green photoluminescence emission and related phenomena in Pb-doped, molecular-beam-epitaxy (MBE)-grown ZnSe crystal layers were investigated to explore the nature of the center responsible for the green emission. The intensity of the green emission showed a distinct nonlinear dependence on excitation intensity. Pb-diffused polycrystalline ZnSe was similarly examined for comparison. The characteristic green emission has been observed only in MBE-grown ZnSe crystal layers with moderate Pb doping. The results of the investigations on the growth conditions, luminescence, and related properties of the ZnSe crystal layers suggest that the green emission is due to isolated Pb replacing Zn and surrounded with regular ZnSe lattice with a high perfection

  17. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  18. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. A new MBE CdTe photoconductor array detector for X-ray applications

    International Nuclear Information System (INIS)

    Yoo, S.S.; Sivananthan, S.; Faurie, J.P.; Rodricks, B.; Bai, J.; Montano, P.A.; Argonne National Lab., IL

    1994-10-01

    A CdTe photoconductor array x-ray detector was grown using Molecular Beam Epitaxially (MBE) on a Si (100) substrate. The temporal response of the photoconductor arrays is as fast as 21 psec risetime and 38 psec Full Width Half Maximum (FWHM). Spatial and energy responses were obtained using x-rays from a rotating anode and synchrotron radiation source. The spatial resolution of the photoconductor was good enough to provide 75 microm FWHM using a 50 microm synchrotron x-ray beam. A substantial number of x-ray photons are absorbed effectively within the MBE CdTe layer as observed from the linear response up to 15 keV. These results demonstrate that MBE grown CdTe is a suitable choice of the detector materials to meet the requirements for x-ray detectors in particular for the new high brightness synchrotron sources

  20. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  1. X-ray magnetic spectroscopy of MBE-grown Mn-doped Bi2Se3 thin films

    Directory of Open Access Journals (Sweden)

    L. J. Collins-McIntyre

    2014-12-01

    Full Text Available We report the growth of Mn-doped Bi2Se3 thin films by molecular beam epitaxy (MBE, investigated by x-ray diffraction (XRD, atomic force microscopy (AFM, SQUID magnetometry and x-ray magnetic circular dichroism (XMCD. Epitaxial films were deposited on c-plane sapphire substrates by co-evaporation. The films exhibit a spiral growth mechanism typical of this material class, as revealed by AFM. The XRD measurements demonstrate a good crystalline structure which is retained upon doping up to ∼7.5 atomic-% Mn, determined by Rutherford backscattering spectrometry (RBS, and show no evidence of the formation of parasitic phases. However an increasing interstitial incorporation of Mn is observed with increasing doping concentration. A magnetic moment of 5.1 μB/Mn is obtained from bulk-sensitive SQUID measurements, and a much lower moment of 1.6 μB/Mn from surface-sensitive XMCD. At ∼2.5 K, XMCD at the Mn L2,3 edge, reveals short-range magnetic order in the films and indicates ferromagnetic order below 1.5 K.

  2. MBE-growth, characterization and properties of InN and InGaN

    International Nuclear Information System (INIS)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T.; Suzuki, A.; Miyajima, T.

    2003-01-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. MBE-growth, characterization and properties of InN and InGaN

    Energy Technology Data Exchange (ETDEWEB)

    Nanishi, Y.; Saito, Y.; Yamaguchi, T.; Hori, M.; Matsuda, F.; Araki, T. [Dept. of Photonics, Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Suzuki, A. [Res. Org. of Sci. and Eng., Ritsumeikan Univ., 1-1-1 Noji-higashi, Kusatsu (Japan); Miyajima, T. [Sony Corp. Core Technology and Network Company, 4-14-1 Asahi, Atsugi, Kanagawa 243-0014 (Japan)

    2003-11-01

    Recent developments on RF-MBE growth of InN and InGaN and their structural and property characterizations are reviewed. For successful growth of high quality InN, (1) nitridation of the sapphire substrates, (2) two-step growth, (3) precise control of V/III ratio and (4) selection of optimum growth temperature are found to be essential. Characterization using XRD, TEM, EXAFS and Raman scattering have clearly demonstrated that InN films have ideal hexagonal wurtzite structure. It is also found that the film has N-polarity. Studies on optimum growth condition dependence on substrate polarity using C and Si face SiC substrates and Ga and N face free-standing GaN substrates are also demonstrated. The result explains why high-quality InN grown by RF-MBE has N-polarity. PL and CL studies on these well-characterized high-quality InN have shown luminescence peaks at approximately 0.75 eV at 77 K. These values, however, change slightly depending on measurement temperatures and probably on the residual carrier concentrations. InGaN with full compositional range are also successfully grown on sapphire substrates and band gap energies of these alloys are also studied using PL and CL. Based on these results, true band gap energies of InN are discussed. This paper also includes latest study on single crystalline InN growth on Si (111) substrates. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar; Dogheche, Karim; Belahsene, Sofiane; Janjua, Bilal; Ramdane, Abderrahim; Patriarche, Gilles; Ng, Tien Khee; S-Ooi, Boon; Decoster, Didier; Dogheche, Elhadj

    2016-01-01

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  5. Synthesis of In0.1Ga0.9N/GaN structures grown by MOCVD and MBE for high speed optoelectronics

    KAUST Repository

    Alshehri, Bandar

    2016-06-07

    In this work, we report a comparative investigation of InxGa1-xN (SL) and InxGa1-xN/GaN (MQW) structures with an indium content equivalent to x=10%. Both structures are grown on (0001) sapphire substrates using MOCVD and MBE growth techniques. Optical properties are evaluated for samples using PL characteristics. Critical differences between the resulting epitaxy are observed. Microstructures have been assessed in terms of crystalline quality, density of dislocations and surface morphology. We have focused our study towards the fabrication of vertical PIN photodiodes. The technological process has been optimized as a function of the material structure. From the optical and electrical characteristics, this study demonstrates the benefit of InGaN/GaN MQW grown by MOCVD in comparison with MBE for high speed optoelectronic applications.

  6. Fabrication and in-situ STM investigation of growth dynamics of semiconductor nanostructures grown by MBE

    International Nuclear Information System (INIS)

    Borisova, Svetlana

    2012-01-01

    Modern development of information technologies requires an introduction of new fundamental concepts, in order to create more efficient devices and to decrease their size. One of the most promising ways is to increase the functionality of silicon by integrating novel materials into Si-based production. This PhD thesis reports on the fabrication and investigation of the growth of semiconductor nanostructures on Si substrates by molecular beam epitaxy (MBE). In-situ scanning tunneling microscopy (STM) is a powerful technique in order to study morphological and electronic properties of the grown structures directly under ultra high vacuum (UHV) conditions. It is shown that the combination of MBE and in-situ STM enables the study of nucleation and growth dynamics at the atomic scale. It provides us with numerous information concerning the nucleation mechanism, the growth mode of the structures, adatom kinetics, influence of the lattice mismatch between the substrate and the grown structure as well as formation and morphology of crystal defects. The first part of the thesis focuses on the experimental realization based upon an existing setup. The construction of an in-situ UHV STM compatible with the MBE cluster and the technical improvement of the STM setup are described. Subsequently, test measurements are performed on the technologically most important surfaces, Ge (100) and Si (111). The second part of the thesis is dedicated to ordered small-period arrays of self-assembled Ge quantum dots (QDs) grown on pre-patterned Si (100) substrates. Small-period Ge QD crystals are highly interesting since band structure calculations indicate coupled electronic states of the QDs in the case of the small lateral period of approximately 30 nm. Small-period hole patterns with a period of 56 nm are fabricated by e-beam lithography on Si substrates. The evolution of the hole morphology during the in-situ pre-growth annealing and the Si buffer layer growth are studied. Deposition of 5

  7. Fabrication and in-situ STM investigation of growth dynamics of semiconductor nanostructures grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Borisova, Svetlana

    2012-05-23

    Modern development of information technologies requires an introduction of new fundamental concepts, in order to create more efficient devices and to decrease their size. One of the most promising ways is to increase the functionality of silicon by integrating novel materials into Si-based production. This PhD thesis reports on the fabrication and investigation of the growth of semiconductor nanostructures on Si substrates by molecular beam epitaxy (MBE). In-situ scanning tunneling microscopy (STM) is a powerful technique in order to study morphological and electronic properties of the grown structures directly under ultra high vacuum (UHV) conditions. It is shown that the combination of MBE and in-situ STM enables the study of nucleation and growth dynamics at the atomic scale. It provides us with numerous information concerning the nucleation mechanism, the growth mode of the structures, adatom kinetics, influence of the lattice mismatch between the substrate and the grown structure as well as formation and morphology of crystal defects. The first part of the thesis focuses on the experimental realization based upon an existing setup. The construction of an in-situ UHV STM compatible with the MBE cluster and the technical improvement of the STM setup are described. Subsequently, test measurements are performed on the technologically most important surfaces, Ge (100) and Si (111). The second part of the thesis is dedicated to ordered small-period arrays of self-assembled Ge quantum dots (QDs) grown on pre-patterned Si (100) substrates. Small-period Ge QD crystals are highly interesting since band structure calculations indicate coupled electronic states of the QDs in the case of the small lateral period of approximately 30 nm. Small-period hole patterns with a period of 56 nm are fabricated by e-beam lithography on Si substrates. The evolution of the hole morphology during the in-situ pre-growth annealing and the Si buffer layer growth are studied. Deposition of 5

  8. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  9. Continuous room-temperature operation of GaAs-Al/sub x/Ga1/sub -//sub x/As double-heterostructure lasers prepared by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Cho, A.Y.; Dixon, R.W.; Casey, H.C. Jr.; Hartman, R.L.

    1976-01-01

    The continuous (cw) operation at temperatures as high as 100 0 C of stripe-geometry GaAs-Al/sub x/Ga/sub 1-x/As double-heterostructure lasers fabricated by molecular-beam epitaxial (MBE) techniques has been achieved. Improved MBE laser performance was the result of the extensive efforts to eliminate hydrocarbon and water vapor from the growth apparatus. For 12-μm-wide stripe-geometry lasers with 380-μm-long cavities, the cw threshold currents varied between 163 and 297 mA at room temperature

  10. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  11. Kinetics of self-assembled InN quantum dots grown on Si (111) by plasma-assisted MBE

    International Nuclear Information System (INIS)

    Kumar, Mahesh; Roul, Basanta; Bhat, Thirumaleshwara N.; Rajpalke, Mohana K.; Sinha, Neeraj; Kalghatgi, A. T.; Krupanidhi, S. B.

    2011-01-01

    One of the scientific challenges of growing InN quantum dots (QDs), using Molecular beam epitaxy (MBE), is to understand the fundamental processes that control the morphology and distribution of QDs. A systematic manipulation of the morphology, optical emission, and structural properties of InN/Si (111) QDs is demonstrated by changing the growth kinetics parameters such as flux rate and growth time. Due to the large lattice mismatch, between InN and Si (∼8%), the dots formed from the Strannski–Krastanow (S–K) growth mode are dislocated. Despite the variations in strain (residual) and the shape, both the dot size and pair separation distribution show the scaling behavior. We observed that the distribution of dot sizes, for samples grown under varying conditions, follow the scaling function.

  12. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    Energy Technology Data Exchange (ETDEWEB)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Balderas-Navarro, R.E. [Instituto de Investigacion en Comunicacion Optica, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico); Facultad de Ciencias, Universidad Autonoma de San Luis Potosi. Alvaro Obregon 64, San Luis Potosi (Mexico)

    2008-07-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E{sub 1} optical transition as a probe. We follow the kinetics of the deposition of GaAs and In{sub 0.3}Ga{sub 0.7}As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As{sub 4} or As{sub 2} flux pressure of 5 x 10{sup -6} Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Reflectance-anisotropy study of the dynamics of molecular beam epitaxy growth of GaAs and InGaAs on GaAs(001)

    International Nuclear Information System (INIS)

    Ortega-Gallegos, J.; Lastras-Martinez, A.; Lastras-Martinez, L.F.; Balderas-Navarro, R.E.

    2008-01-01

    Reflectance-Anisotropy (RA) observations during the Molecular Beam Epitaxy (MBE) growth of zincblende semiconductors films were carried out using the E 1 optical transition as a probe. We follow the kinetics of the deposition of GaAs and In 0.3 Ga 0.7 As on GaAs(001) at growth rates of 0.2 and 0.25 ML/s, respectively. During growth we used a constant As 4 or As 2 flux pressure of 5 x 10 -6 Torr. Clear RA-oscillations were observed during growth with a period that nearly coincides with the growth period for a Ga-As bilayer. RHEED was used as an auxiliary technique in order to obtain a correlation between RHEED and RA oscillations. On the basis of our results, we argue that RAS oscillations are mainly associated to periodic changes in surface atomic structure. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Effect of BST film thickness on the performance of tunable interdigital capacitors grown by MBE

    Science.gov (United States)

    Meyers, Cedric J. G.; Freeze, Christopher R.; Stemmer, Susanne; York, Robert A.

    2017-12-01

    Voltage-tunable, interdigital capacitors (IDCs) were fabricated on Ba0.29Sr0.71TiO3 grown by hybrid molecular beam epitaxy (MBE). In this growth technique, we utilize the metal-organic precursor titanium tetraisopropoxide rather than solid-source Ti as with conventional MBE. Two samples of varying BaxSr(1-x)TiO3 (BST) thicknesses were fabricated and analyzed. High-quality, epitaxial Pt electrodes were deposited by sputtering from a high-purity Pt target at 825 °C. The Pt electrodes were patterned and etched by argon ion milling, passivated with reactively sputtered SiO2, and then metallized with lift-off Ti/Au. The fabricated devices consisted of two-port IDCs embedded in ground-signal-ground, coplanar waveguide (CPW) transmission lines to enable radio-frequency (RF) probing. The sample included open and thru de-embedding structures to remove pad and CPW parasitic impedances. Two-port RF scattering (S) parameters were measured from 100 MHz to 40 GHz while DC bias was stepped from 0 V to 100 V. The IDCs exhibit a high zero-bias radio-frequency (RF) quality factor (Q) approaching 200 at 1 GHz and better than 2.3:1 capacitance tuning for the 300-nm-thick sample. Differences in the Q(V) and C(V) response with varying thicknesses indicate that unknown higher order material phenomena are contributing to the loss and tuning characteristics of the material.

  15. Determining of the growth mechanisms in the MBE growth of ternary Cd1-xAxTe (A = Zn, Mn, Hg) compounds. Part I - Methods of analysis of surface processes

    International Nuclear Information System (INIS)

    Sadowski, J.T.

    1999-01-01

    This paper is the first part of an extended abstract of the PhD thesis entitled 'Determining of the growth mechanisms in MBE growth of ternary Cd 1-x A x Te (A = Zn, Mn, Hg) compounds' written on the base of experiments performed in the MBE Lab. in Institute of Vacuum Technology, Warsaw. In that paper, the scientific problems to be solved in thesis are described. Also the analytical techniques (reflection quadrupole mass spectroscopy (REMS), reflection high-energy electron diffraction (RHEED), and laser interferometry (LI)) used in investigation and its implementation to 'in situ' measurements in MBE growth system are depicted. The experiments and extracted scientific results will be presented in the following paper, in next Elektronika issue. (author)

  16. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-01-01

    The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  17. Epitaxial growth of CZT(S,Se) on silicon

    Science.gov (United States)

    Bojarczuk, Nestor A.; Gershon, Talia S.; Guha, Supratik; Shin, Byungha; Zhu, Yu

    2016-03-15

    Techniques for epitaxial growth of CZT(S,Se) materials on Si are provided. In one aspect, a method of forming an epitaxial kesterite material is provided which includes the steps of: selecting a Si substrate based on a crystallographic orientation of the Si substrate; forming an epitaxial oxide interlayer on the Si substrate to enhance wettability of the epitaxial kesterite material on the Si substrate, wherein the epitaxial oxide interlayer is formed from a material that is lattice-matched to Si; and forming the epitaxial kesterite material on a side of the epitaxial oxide interlayer opposite the Si substrate, wherein the epitaxial kesterite material includes Cu, Zn, Sn, and at least one of S and Se, and wherein a crystallographic orientation of the epitaxial kesterite material is based on the crystallographic orientation of the Si substrate. A method of forming an epitaxial kesterite-based photovoltaic device and an epitaxial kesterite-based device are also provided.

  18. Reduced defect densities in the ZnO epilayer grown on Si substrates by laser-assisted molecular-beam epitaxy using a ZnS epitaxial buffer layer

    International Nuclear Information System (INIS)

    Onuma, T.; Chichibu, S.F.; Uedono, A.; Yoo, Y.-Z.; Chikyow, T.; Sota, T.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    Nonradiative photoluminescence (PL) lifetime (τ nr ) and point defect density in the (0001) ZnO epilayer grown on (111) Si substrates by laser-assisted molecular-beam epitaxy (L-MBE) using a (0001) ZnS epitaxial buffer layer were compared with those in the ZnO films on (111) and (001) Si substrates prepared by direct transformation of ZnS epilayers on Si by thermal oxidation [Yoo et al., Appl. Phys. Lett. 78, 616 (2001)]. Both the ZnO films exhibited excitonic reflectance anomalies and corresponding PL peaks at low temperature, and the density or size of vacancy-type point defects (Zn vacancies), which were measured by the monoenergetic positron annihilation measurement, in the L-MBE epilayer was lower than that in the films prepared by the oxidation transformation. The ZnO epilayer grown on a (0001) ZnS epitaxial buffer on (111) Si exhibited longer τ nr of 105 ps at room temperature

  19. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.

    2010-01-21

    Epitaxial growth, a bottom-up self-assembly process for creating surface nano- and microstructures, has been extensively studied in the context of atoms. This process, however, is also a promising route to self-assembly of nanometer- and micrometer-scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics of colloidal crystal films with single-particle resolution. We show quantitatively that colloidal epitaxy obeys the same two-dimensional island nucleation and growth laws that govern atomic epitaxy. However, we found that in colloidal epitaxy, step-edge and corner barriers that are responsible for film morphology have a diffusive origin. This diffusive mechanism suggests new routes toward controlling film morphology during epitaxy.

  20. Oxygen engineering of HfO{sub 2-x} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU-Darmstadt (Germany); Zaumseil, Peter; Schroeder, Thomas [IHP, Frankfurt, Oder (Germany)

    2010-07-01

    Reactive molecular beam epitaxy (R-MBE) is an ideal tool for tailoring physical properties of thin films to specific needs. For the development of cutting-edge oxides for thin film applications a precise control of oxygen defects is crucial. R-MBE in combination with rf-activated oxygen allows reproducibly growing oxide thin films with precise oxidation conditions enabling oxygen engineering. R-MBE was used to grow Hf and HfO{sub 2{+-}}{sub x} thin films with different oxidation conditions on sapphire single crystal substrates. Structural characterization was carried out using rotating anode x-ray diffraction revealing highly textured to epitaxial thin films on c-cut sapphire. Furthermore, switching of film orientation by varying the oxidation conditions was observed demonstrating the role of oxygen in the growth procedure. The investigation of electrical properties using a four probe measurement setup showed conductivities in the range of 1000 {mu}{omega}cm for oxygen deficient HfO{sub 2-x} thin films. Optical properties were investigated using a photospectrometer and additionally x-ray photoelectron spectroscopy was carried out to study the band gap and valence states. Both techniques were used to monitor the oxygen content in deficient HfO{sub 2-x} thin films. Our results demonstrate the importance of oxygen engineering even in the case of 'simple' oxides.

  1. Influence of V/III growth flux ratio on trap states in m-plane GaN grown by ammonia-based molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Z.; Arehart, A. R.; Hurni, C. A.; Speck, J. S.; Ringel, S. A.

    2012-01-01

    Deep level transient spectroscopy (DLTS) and deep level optical spectroscopy (DLOS) were utilized to investigate the behavior of deep states in m-plane, n-type GaN grown by ammonia-based molecular beam epitaxy (NH 3 -MBE) as a function of systematically varied V/III growth flux ratios. Levels were detected at E C - 0.14 eV, E C - 0.21 eV, E C - 0.26 eV, E C - 0.62 eV, E C - 0.67 eV, E C - 2.65 eV, and E C - 3.31 eV, with the concentrations of several traps exhibiting systematic dependencies on V/III ratio. The DLTS spectra are dominated by traps at E C - 0.14 eV and E C - 0.67 eV, whose concentrations decreased monotonically with increasing V/III ratio and decreasing oxygen impurity concentration, and by a trap at E C - 0.21 eV that revealed no dependence of its concentration on growth conditions, suggestive of different physical origins. Higher concentrations of deeper trap states detected by DLOS with activation energies of E C - 2.65 eV and E C - 3.31 eV in each sample did not display measureable sensitivity to the intentionally varied V/III ratio, necessitating further study on reducing these deep traps through growth optimization for maximizing material quality of NH 3 -MBE grown m-plane GaN.

  2. Influence of substrate quality on structural properties of AlGaN/GaN superlattices grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, F. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); Merkel, U.; Schmult, S. [TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany); Mikolajick, T. [NaMLab gGmbH, Nöthnitzer Straße 64, 01187 Dresden (Germany); TU Dresden, Institute of Semiconductors and Microsystems, Nöthnitzer Straße 64, 01187 Dresden (Germany)

    2014-02-28

    Short-period AlGaN/GaN superlattices were established as versatile test structures to investigate the structural properties of molecular beam epitaxy (MBE)-grown GaN and AlGaN layers and their dependence on the GaN substrate quality. X-ray diffractometry data of the investigated superlattices allow access to relevant structural parameters such as aluminum mole fraction and layer thicknesses. The occurrence of theoretically predicted intense high-order satellite peaks and pronounced interface fringes in the diffraction pattern reflects abrupt interfaces and perfect 2-dimensional growth resulting in smooth surfaces. The data unambiguously demonstrate that the structural quality of the MBE grown layers is limited by the structural properties of the GaN substrate.

  3. Drift compression experiments on MBE-4 and related emittance growth phenomena

    International Nuclear Information System (INIS)

    Eylon, S.; Faltens, A.; Fawley, W.; Garvey, T.; Hahn, K.; Henestroza, E.; Smith, L.

    1991-04-01

    We have recently conducted a series of experiments on the MBE-4 heavy ion accelerator in which a velocity tilt was placed on the beam in the first accelerating section beyond the injector, followed by drift compression over the remaining 11 meters. Depending upon the magnitude of the velocity tilt and the accompanying mismatch in the focusing lattice, emittance growth was observed, manifested by ''butterfly'' shapes in x - x' phase space. We discuss various analytical limits on ion beam compression and relate them to these experiments and also to a driver for a heavy ion fusion reactor. We also present numerical simulations which investigate various aspects of compression and consequent emittance growth. 2 refs., 3 figs., 1 tab

  4. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman, E-mail: rue2@psu.edu [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  5. NATO Advanced Research Workshop on Thin Film Growth Techniques for Low-Dimensional Structures

    CERN Document Server

    Parkin, S; Dobson, P; Neave, J; Arrott, A

    1987-01-01

    This work represents the account of a NATO Advanced Research Workshop on "Thin Film Growth Techniques for Low Dimensional Structures", held at the University of Sussex, Brighton, England from 15-19 Sept. 1986. The objective of the workshop was to review the problems of the growth and characterisation of thin semiconductor and metal layers. Recent advances in deposition techniques have made it possible to design new material which is based on ultra-thin layers and this is now posing challenges for scientists, technologists and engineers in the assessment and utilisation of such new material. Molecular beam epitaxy (MBE) has become well established as a method for growing thin single crystal layers of semiconductors. Until recently, MBE was confined to the growth of III-V compounds and alloys, but now it is being used for group IV semiconductors and II-VI compounds. Examples of such work are given in this volume. MBE has one major advantage over other crystal growth techniques in that the structure of the growi...

  6. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  7. Self-consistent expansion for the molecular beam epitaxy equation.

    Science.gov (United States)

    Katzav, Eytan

    2002-03-01

    Motivated by a controversy over the correct results derived from the dynamic renormalization group (DRG) analysis of the nonlinear molecular beam epitaxy (MBE) equation, a self-consistent expansion for the nonlinear MBE theory is considered. The scaling exponents are obtained for spatially correlated noise of the general form D(r-r('),t-t('))=2D(0)[r-->-r(')](2rho-d)delta(t-t(')). I find a lower critical dimension d(c)(rho)=4+2rho, above which the linear MBE solution appears. Below the lower critical dimension a rho-dependent strong-coupling solution is found. These results help to resolve the controversy over the correct exponents that describe nonlinear MBE, using a reliable method that proved itself in the past by giving reasonable results for the strong-coupling regime of the Kardar-Parisi-Zhang system (for d>1), where DRG failed to do so.

  8. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  9. Accelerated carrier recombination by grain boundary/edge defects in MBE grown transition metal dichalcogenides

    Science.gov (United States)

    Chen, Ke; Roy, Anupam; Rai, Amritesh; Movva, Hema C. P.; Meng, Xianghai; He, Feng; Banerjee, Sanjay K.; Wang, Yaguo

    2018-05-01

    Defect-carrier interaction in transition metal dichalcogenides (TMDs) plays important roles in carrier relaxation dynamics and carrier transport, which determines the performance of electronic devices. With femtosecond laser time-resolved spectroscopy, we investigated the effect of grain boundary/edge defects on the ultrafast dynamics of photoexcited carrier in molecular beam epitaxy (MBE)-grown MoTe2 and MoSe2. We found that, comparing with exfoliated samples, the carrier recombination rate in MBE-grown samples accelerates by about 50 times. We attribute this striking difference to the existence of abundant grain boundary/edge defects in MBE-grown samples, which can serve as effective recombination centers for the photoexcited carriers. We also observed coherent acoustic phonons in both exfoliated and MBE-grown MoTe2, indicating strong electron-phonon coupling in this materials. Our measured sound velocity agrees well with the previously reported result of theoretical calculation. Our findings provide a useful reference for the fundamental parameters: carrier lifetime and sound velocity and reveal the undiscovered carrier recombination effect of grain boundary/edge defects, both of which will facilitate the defect engineering in TMD materials for high speed opto-electronics.

  10. Accelerated carrier recombination by grain boundary/edge defects in MBE grown transition metal dichalcogenides

    Directory of Open Access Journals (Sweden)

    Ke Chen

    2018-05-01

    Full Text Available Defect-carrier interaction in transition metal dichalcogenides (TMDs plays important roles in carrier relaxation dynamics and carrier transport, which determines the performance of electronic devices. With femtosecond laser time-resolved spectroscopy, we investigated the effect of grain boundary/edge defects on the ultrafast dynamics of photoexcited carrier in molecular beam epitaxy (MBE-grown MoTe2 and MoSe2. We found that, comparing with exfoliated samples, the carrier recombination rate in MBE-grown samples accelerates by about 50 times. We attribute this striking difference to the existence of abundant grain boundary/edge defects in MBE-grown samples, which can serve as effective recombination centers for the photoexcited carriers. We also observed coherent acoustic phonons in both exfoliated and MBE-grown MoTe2, indicating strong electron-phonon coupling in this materials. Our measured sound velocity agrees well with the previously reported result of theoretical calculation. Our findings provide a useful reference for the fundamental parameters: carrier lifetime and sound velocity and reveal the undiscovered carrier recombination effect of grain boundary/edge defects, both of which will facilitate the defect engineering in TMD materials for high speed opto-electronics.

  11. Surface chemistry and growth mechanisms studies of homo epitaxial (1 0 0) GaAs by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yan Dawei; Wu Weidong; Zhang Hong; Wang Xuemin; Zhang Hongliang; Zhang Weibin; Xiong Zhengwei; Wang Yuying; Shen Changle; Peng Liping; Han Shangjun; Zhou Minjie

    2011-01-01

    In this paper, GaAs thin film has been deposited on thermally desorbed (1 0 0) GaAs substrate using laser molecular beam epitaxy. Scanning electron microscopy, in situ reflection high energy electron diffraction and in situ X-ray photoelectron spectroscopy are applied for evaluation of the surface morphology and chemistry during growth process. The results show that a high density of pits is formed on the surface of GaAs substrate after thermal treatment and the epitaxial thin film heals itself by a step flow growth, resulting in a smoother surface morphology. Moreover, it is found that the incorporation of As species into GaAs epilayer is more efficient in laser molecular beam epitaxy than conventional molecular beam epitaxy. We suggest the growth process is impacted by surface chemistry and morphology of GaAs substrate after thermal treatment and the growth mechanisms are discussed in details.

  12. IMPORTANCE OF IN SITU MONITORS IN THE PREPARATION OF LAYERED OXIDE HETEROSTRUCTURES BY REACTIVE MBE.

    Energy Technology Data Exchange (ETDEWEB)

    Schlom, Darrell G.; Haeni, J. H.; Theis, C. D. (Christopher); Tian, W.; Pan, X. Q.; Brown, G. W. (Geoffrey W.); Hawley, M. E. (Marilyn E.)

    2001-01-01

    Using a variety of in situ monitors and when possible adsorption-controlled growth conditions, layered oxide heterostructures including new compounds and metastable superlattices have been grown by reactive molecular beam epitaxy (MBE). The heteroepitaxial layers grown include Bi{sub 4}Ti{sub 3}O{sub 12}-SrTiO{sub 3} and Bi{sub 4}Ti{sub 3}O{sub 12}-PbTiO{sub 3} Aurivillius phases, Sr{sub n+1}Ti{sub n}O{sub 3n+1} Ruddlesden-Popper phases, and metastable PbTiO{sub 3}/SrTiO{sub 3} and BaTiO{sub 3}/SrTiO{sub 3} superlattices. Accurate composition control is key to the controlled growth of such structures, and to this end combinations of reflection high-energy electron diffraction (RHEED), atomic absorption spectroscopy (AA), a quartz crystal microbalance (QCM), and adsorption-controlled growth conditions were employed during growth. The structural perfection of the films has been investigated using in situ RHEED, four-circle x-ray diffraction, atomic force microscopy (AFM), and high-resolution transmission electron microscopy (TEM).

  13. Direct Measurements of Island Growth and Step-Edge Barriers in Colloidal Epitaxy

    KAUST Repository

    Ganapathy, R.; Buckley, M. R.; Gerbode, S. J.; Cohen, I.

    2010-01-01

    -scale particles into microstructures that have numerous technological applications. To determine whether atomic epitaxial growth laws are applicable to the epitaxy of larger particles with attractive interactions, we investigated the nucleation and growth dynamics

  14. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  15. Molecular beam epitaxy of single crystal colossal magnetoresistive material

    International Nuclear Information System (INIS)

    Eckstein, J.N.; Bozovic, I.; Rzchowski, M.; O'Donnell, J.; Hinaus, B.; Onellion, M.

    1996-01-01

    The authors have grown films of (LaSr)MnO 3 (LSMO) and (LaCa)MnO 3 (LCMO) using atomic layer-by-layer molecular beam epitaxy (ALL-MBE). Depending on growth conditions, substrate lattice constant and the exact cation stoichiometry, the films are either pseudomorphic or strain relaxed. The pseudomorphic films show atomically flat surfaces, with a unit cell terrace structure that is a replica of that observed on the slightly vicinal substrates, while the strain relaxed films show bumpy surfaces correlated with a dislocation network. All films show tetragonal structure and exhibit anisotropic magnetoresistance, with a low field response, (1/R)(dR/dH) as large as 5 T -1

  16. High density of (pseudo) periodic twin-grain boundaries in molecular beam epitaxy-grown van der Waals heterostructure: MoTe{sub 2}/MoS{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, Horacio Coy; Ma, Yujing; Chaghi, Redhouane; Batzill, Matthias [Department of Physics, University of South Florida, Tampa, Florida 33620 (United States)

    2016-05-09

    Growth of transition metal dichalcogenide heterostructures by molecular beam epitaxy (MBE) promises synthesis of artificial van der Waals materials with controllable layer compositions and separations. Here, we show that MBE growth of 2H-MoTe{sub 2} monolayers on MoS{sub 2} substrates results in a high density of mirror-twins within the films. The grain boundaries are tellurium deficient, suggesting that Te-deficiency during growth causes their formation. Scanning tunneling microscopy and spectroscopy reveal that the grain boundaries arrange in a pseudo periodic “wagon wheel” pattern with only ∼2.6 nm repetition length. Defect states from these domain boundaries fill the band gap and thus give the monolayer an almost metallic property. The band gap states pin the Fermi-level in MoTe{sub 2} and thus determine the band-alignment in the MoTe{sub 2}/MoS{sub 2} interface.

  17. Periodic Two-Dimensional GaAs and InGaAs Quantum Rings Grown on GaAs (001) by Droplet Epitaxy.

    Science.gov (United States)

    Tung, Kar Hoo Patrick; Huang, Jian; Danner, Aaron

    2016-06-01

    Growth of ordered GaAs and InGaAs quantum rings (QRs) in a patterned SiO2 nanohole template by molecular beam epitaxy (MBE) using droplet epitaxy (DE) process is demonstrated. DE is an MBE growth technique used to fabricate quantum nanostructures of high crystal quality by supplying group III and group V elements in separate phases. In this work, ordered QRs grown on an ordered nanohole template are compared to self-assembled QRs grown with the same DE technique without the nanohole template. This study allows us to understand and compare the surface kinetics of Ga and InGa droplets when a template is present. It is found that template-grown GaAs QRs form clustered rings which can be attributed to low mobility of Ga droplets resulting in multiple nucleation sites for QR formation when As is supplied. However, the case of template-grown InGaAs QRs only one ring is formed per nanohole; no clustering is observed. The outer QR diameter is a close match to the nanohole template diameter. This can be attributed to more mobile InGa droplets, which coalesce from an Ostwald ripening to form a single large droplet before As is supplied. Thus, well-patterned InGaAs QRs are demonstrated and the kinetics of their growth are better understood which could potentially lead to improvements in the future devices that require the unique properties of patterned QRs.

  18. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  19. Growth of layered superconductor β-PdBi{sub 2} films using molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Denisov, N.V., E-mail: denisov@iacp.dvo.ru [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Matetskiy, A.V.; Tupkalo, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); Zotov, A.V. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation); Department of Electronics, Vladivostok State University of Economics and Service, 690600 Vladivostok (Russian Federation); Saranin, A.A. [Institute of Automation and Control Processes FEB RAS, 5 Radio Street, 690041 Vladivostok (Russian Federation); School of Natural Sciences, Far Eastern Federal University, 690950 Vladivostok (Russian Federation)

    2017-04-15

    Highlights: • Bulk β-PdBi{sub 2} is layered material with advanced properties of topological superconductor. • We present a method for growing β-PdBi{sub 2} films of a desired thickness. • Method utilizes MBE growth of β-PdBi{sub 2}, using Bi(111) film on Si(111) as a template. • Electronic and superconducting properties of the films are similar to those of bulk β-PdBi{sub 2}. - Abstract: Bulk β-PdBi{sub 2} layered material exhibits advanced properties and is supposed to be probable topological superconductor. We present a method based on molecular beam epitaxy that allows us to grow β-PdBi{sub 2} films from a single β-PdBi{sub 2} triple layer up to the dozens of triple layers, using Bi(111) film on Si(111) as a template. The grown films demonstrate structural, electronic and superconducting properties similar to those of bulk β-PdBi{sub 2} crystals. Ability to grow the β-PdBi{sub 2} films of desired thickness opens the promising possibilities to explore fascinating properties of this advanced material.

  20. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  1. Comparative study of LaNiO$_3$/LaAlO$_3$ heterostructures grown by pulsed laser deposition and oxide molecular beam epitaxy

    OpenAIRE

    Wrobel, F.; Mark, A. F.; Christiani, G.; Sigle, W.; Habermeier, H. -U.; van Aken, P. A.; Logvenov, G.; Keimer, B.; Benckiser, E.

    2017-01-01

    Variations in growth conditions associated with different deposition techniques can greatly affect the phase stability and defect structure of complex oxide heterostructures. We synthesized superlattices of the paramagnetic metal LaNiO3 and the large band gap insulator LaAlO3 by atomic layer-by-layer molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) and compared their crystallinity, microstructure as revealed by high-resolution transmission electron microscopy images and resistiv...

  2. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1996-01-01

    A process and structure involving a silicon substrate utilize molecular beam epitaxy (MBE) and/or electron beam evaporation methods and an ultra-high vacuum facility to grow a layup of epitaxial alkaline earth oxide films upon the substrate surface. By selecting metal constituents for the oxides and in the appropriate proportions so that the lattice parameter of each oxide grown closely approximates that of the substrate or base layer upon which oxide is grown, lattice strain at the film/film or film/substrate interface of adjacent films is appreciably reduced or relieved. Moreover, by selecting constituents for the oxides so that the lattice parameters of the materials of adjacent oxide films either increase or decrease in size from one parameter to another parameter, a graded layup of films can be grown (with reduced strain levels therebetween) so that the outer film has a lattice parameter which closely approximates that of, and thus accomodates the epitaxial growth of, a pervoskite chosen to be grown upon the outer film.

  3. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  4. Metamorphic distributed Bragg reflectors for the 1440–1600 nm spectral range: Epitaxy, formation, and regrowth of mesa structures

    International Nuclear Information System (INIS)

    Egorov, A. Yu.; Karachinsky, L. Ya.; Novikov, I. I.; Babichev, A. V.; Berezovskaya, T. N.; Nevedomskiy, V. N.

    2015-01-01

    It is shown that metamorphic In 0.3 Ga 0.7 As/In 0.3 Al 0.7 As distributed Bragg reflectors (DBRs) with a reflection band at 1440–1600 nm and a reflectance of no less than 0.999 can be fabricated by molecular beam epitaxy (MBE) on a GaAs substrate. It is demonstrated that mesa structures formed from metamorphic DBRs on a GaAs substrate can be regrown by MBE and microcavities can be locally formed in two separate epitaxial processes. The results obtained can find wide application in the fabrication of vertical-cavity surface-emitting lasers (VCSELs) with a buried tunnel junction

  5. Fabrication and characterization of silicon nanowires by means of molecular beam epitaxy; Herstellung und Charakterisierung von Silizium-Nanodraehten mittels Molekularstrahlepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, Luise

    2007-06-19

    In this work, basic processes of silicon whisker growth were examined. For the first time, Si nanowhiskers were produced under UHV conditions by Molecular Beam Epitaxy (MBE) and characterized by different analysis methods afterwards. The existence of Au/Si droplets on a Si(111) substrate surface is a precondition of this growth method. Analyses of the temporal development of the Au/Si droplets during the whisker growth show a decrease of the number of small droplets resp. whiskers during the whisker growth with increasing growth time. This behaviour, i.e. the dissolution of smaller droplets/whiskers and the growth of larger ones in parallel can be explained by Ostwald ripenning. The diffusion-determined material transition of gold, which occurs during this process, is theoretically described by the Lifshitz-Slyozov-Wagner (LSW)-Theory. After this theory only whiskers grow which radii are larger than the critical radius. The whisker radii are temperature dependend whereas analogous whisker radii exist for identical growth times. Electron microscopy analysis show that all whiskers possess a hexagonal but no cylindrical habitus. The planes that form during the growth are crystallographic (111) planes. The growth of Si nanowhiskers under MBE conditions is determined by the Vapour Liquid Solid (VLS) mechanism and by surface diffusion of Si atoms. (orig.)

  6. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  7. Optical Epitaxial Growth of Gold Nanoparticle Arrays.

    Science.gov (United States)

    Huang, Ningfeng; Martínez, Luis Javier; Jaquay, Eric; Nakano, Aiichiro; Povinelli, Michelle L

    2015-09-09

    We use an optical analogue of epitaxial growth to assemble gold nanoparticles into 2D arrays. Particles are attracted to a growth template via optical forces and interact through optical binding. Competition between effects determines the final particle arrangements. We use a Monte Carlo model to design a template that favors growth of hexagonal particle arrays. We experimentally demonstrate growth of a highly stable array of 50 gold particles with 200 nm diameter, spaced by 1.1 μm.

  8. Molecular beam epitaxy of CdSe epilayers and quantum wells on ZnTe substrate

    International Nuclear Information System (INIS)

    Park, Y.M.; Andre, R.; Kasprzak, J.; Dang, Le Si; Bellet-Amalric, E.

    2007-01-01

    We have grown zinc-blende cadmium selenide (CdSe) epilayers on ZnTe-(0 0 1) substrate by molecular beam epitaxy (MBE). By controlling the substrate temperature and beam-equivalent pressure (BEP) ratio, of Se to Cd, we determined the most suitable growth condition based on reflection high-energy electron diffraction (RHEED) pattern. At a substrate temperature of 280 deg. C and a BEP ratio of 3.6, the RHEED pattern showed a V-like feature, indicating a rough surface with facets. As the substrate temperature was increased to 360 deg. C at the same BEP ratio, a V-like RHEED pattern moved to a clear streaky pattern. Moreover when the BEP ratio was increased to 4.8 at 360 deg. C of substrate temperature, a clear (2 x 1) reconstruction of the CdSe layer was observed. A CdSe/CdMgSe single quantum well structure was also grown on ZnTe-(0 0 1) substrate by MBE. The RHEED pattern showed a clear (2 x 1) surface reconstruction during the growth. By photoluminescence measurement, a good optical property of the structure was obtained

  9. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  10. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  12. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  13. GaIn As Quantum Dots (QD) grown by Liquid Phase Epitaxy (LPE)

    Energy Technology Data Exchange (ETDEWEB)

    Ortiz Vazquez, F E; Mishurnyi, V A; Gorbatchev, A Yu; De Anda, F [Universidad Autonoma de San Luis Potosi, Instituto de Investigation en Comunicacion Optica, Av. Karakorum 1470, Col. Lomas 4a Sec., San Luis Potosi, SLP, CP 78210 (Mexico); Elyukhin, V A, E-mail: fcoe_ov@prodigy.net.m, E-mail: andre@cactus.iico.uaslp.m [CINVESTAV-IPN, Av. IPN 2508, Col. San Pedro Zacatenco, Mexico D.F., CP 07360 (Mexico)

    2009-05-01

    The majority of the semiconductor structures with QD today are grown by MBE and MOCVD. It is known that the best material quality can be achieved by LPE because, in contrast to MBE and MOCVD, this method is realized at near-equilibrium conditions. To develop QD LPE technology first of all it is necessary to find out a growth technique allowing the crystallization of epitaxial materials with very small volume. This can be done by means of different techniques. In this work we apply a low temperature short-time growth method, which allows the production not only of single, but also of multilayer heterostructures. We have grown Ga{sub x}In{sub 1-z}As QD on GaAs (100) substrates at 450 C. The details of the QD formation, depending on composition of the Ga{sub x}In{sub -x} As solid solutions, have been studied by atom-force microscopy. The photoluminescence spectra of investigated samples show, in addition to a short-wave GaAs related peak, a longer wavelength line, which disappears after removal of the grown GaInAs material using an etching solution. This fact, together with atom-force microscopy results can be interpreted as a proof that QD heterostructures were grown successfully by LPE.

  14. Performance of MBE-4

    International Nuclear Information System (INIS)

    Warwick, A.I.; Fessenden, T.J.; Keefe, D.; Kim, C.H.; Meuth, H.

    1988-01-01

    This paper reports on an experimental induction linac, called MBE-4, constructed to demonstrate acceleration and current amplification of multiple heavy ion beams. This work is part of a program to study the use of such an accelerator as a driver for heavy ion inertial fusion. MBE-4 is 16 m long and accelerates four space-charge- dominated beams of singly-charged cesium ions, in this case from 200 keV to 700 keV, amplifying the current in each beam from 10 mA by a factor of nine. Construction of the experiment was completed late in 1987 and we present the results of detailed measurements of the longitudinal beam dynamics. Of particular interest in the contribution of acceleration errors to the growth of current fluctuations and to the longitudinal emittance. The effectiveness of the longitudinal focusing, accomplished by means of the controlled time dependence of the accelerating fields, is also discussed

  15. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  16. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  17. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  18. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  19. Adsorption-controlled growth of Bi{sub 4}Ti{sub 3}O{sub 12} by reactive MBE

    Energy Technology Data Exchange (ETDEWEB)

    Theis, C.D.; Yeh, J.; Schlom, D.G. [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802-5005 (United States); Hawley, M.E.; Brown, G.W. [Center for Materials Science, Los Alamos National Laboratory, Los Alamos, New Mexico 87545 (United States); Jiang, J.C.; Pan, X.Q. [University of Michigan, Department of Materials Science and Engineering, Ann Arbor, Michigan 48109-2136 (United States)

    1998-06-01

    Adsorption-controlled conditions have been identified and utilized to grow epitaxial bismuth titanate thin films by reactive molecular beam epitaxy. Growth of stoichiometric, phase pure, c-axis oriented, epitaxial films is achieved by supplying a large overabundance of bismuth and ozone continuously to the surface of the depositing film. Titanium is supplied to the film in the form of shuttered bursts each containing a three monolayer dose of titanium to grow one formula unit of Bi{sub 4}Ti{sub 3}O{sub 12}. It is seen from measured film thickness, Rutherford backscattering spectrometry composition measurements, monitoring of reflection high-energy electron diffraction half-order intensity oscillations during growth, and {ital in situ} flux measurements using atomic absorption spectroscopy that at suitable temperature and ozone background pressure, the titanium sticking coefficient approaches one and the excess bismuth desorbs from the surface. Film growth proceeds by the formation of mounds whose step heights are predominantly integral multiples of a half-unit cell.{copyright} {ital 1998 American Institute of Physics.}

  20. Adsorption-controlled growth of BiFeO3 by MBE and integration with wide band gap semiconductors.

    Science.gov (United States)

    Ihlefeld, Jon F; Tian, Wei; Liu, Zi-Kui; Doolittle, W Alan; Bernhagen, Margitta; Reiche, Peter; Uecker, Reinhard; Ramesh, Ramamoorthy; Schlom, Darrell G

    2009-08-01

    BiFeO3 thin films have been deposited on (001) SrTiO3, (101) DyScO3, (011) DyScO3, (0001) AlGaN/GaN, and (0001) 6H-SiC single crystal substrates by reactive molecular beam epitaxy in an adsorption-controlled growth regime. This is achieved by supplying a bismuth over-pressure and utilizing the differential vapor pressures between bismuth oxides and BiFeO3 to control stoichiometry in accordance with thermodynamic calculations. Four-circle x-ray diffraction and transmission electron microscopy reveal phase-pure, epitaxial films with rocking curve full width at half maximum values as narrow as 7.2 arc seconds (0.002 degrees). Epitaxial growth of (0001)-oriented BiFeO3 thin films on (0001) GaN, including AlGaN HEMT structures, and (0001) SiC has been realized using intervening epitaxial (111) SrTiO3 / (100) TiO2 buffer layers. The epitaxial BiFeO3 thin films have 2 in-plane orientations: [1120] BiFeO3 || [1120] GaN (SiC) plus a twin variant related by a 180 degrees in-plane rotation. This epitaxial integration of the ferroelectric with the highest known polarization, BiFeO3, with high bandgap semiconductors is an important step toward novel field-effect devices.

  1. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  2. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    Science.gov (United States)

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  3. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  4. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  5. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  6. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  7. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  8. Growth and characterization of CdTe absorbers on GaAs by MBE for high concentration PV solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ari, Ozan; Polat, Mustafa; Selamet, Yusuf [Department of Physics, Izmir Institute of Technology, Izmir 35430 (Turkey); Karakaya, Merve [Department of Material Science and Engineering, Izmir Institute of Technology, Izmir 35430 (Turkey)

    2015-11-15

    CdTe based II-VI absorbers are promising candidates for high concentration PV solar cells with an ideal band gap for AM1.5 solar radiation. In this study, we propose single crystal CdTe absorbers grown on GaAs substrates with a molecular beam epitaxy (MBE) which is a clean deposition technology. We show that high quality CdTe absorber layers can be grown with full width half maximum of X-ray diffraction rocking curves (XRD RC) as low as 227 arc-seconds with 0.5% thickness uniformity that a 2 μm layer is capable of absorbing 99% of AM1.5 solar radiation. Bandgap of the CdTe absorber is found as 1.483 eV from spetroscopic ellipsometry (SE) measurements. Also, high absorption coefficient is calculated from the results, which is ∝5 x 10{sup 5}cm{sup -1} in solar radiation spectrum. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Science.gov (United States)

    Malinverni, M.; Lamy, J.-M.; Martin, D.; Feltin, E.; Dorsaz, J.; Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C.; Grandjean, N.

    2014-12-01

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH3-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10-4 Ω cm2, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH3-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm2 ridge dimension and a threshold current density of ˜5 kA cm-2 in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al0.06Ga0.94N:Mg despite the low growth temperature.

  10. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  11. Halide based MBE of crystalline metals and oxides

    Energy Technology Data Exchange (ETDEWEB)

    Greenlee, Jordan D.; Calley, W. Laws; Henderson, Walter; Doolittle, W. Alan [Georgia Institute of Technology, School of Electrical and Computer Engineering, Atlanta, Georgia (United States)

    2012-02-15

    A halide based growth chemistry has been demonstrated which can deliver a range of transition metals using low to moderate effusion cell temperatures (30-700 C) even for high melting point metals. Previously, growth with transition metal species required difficult to control electron beam or impurity inducing metal organic sources. Both crystalline oxide and metal films exhibiting excellent crystal quality are grown using this halide-based growth chemistry. Films are grown using a plasma assisted Molecular Beam Epitaxy (MBE) system with metal-chloride precursors. Crystalline niobium, cobalt, iron, and nickel were grown using this chemistry but the technology can be generalized to almost any metal for which a chloride precursor is available. Additionally, the oxides LiNbO{sub 3} and LiNbO{sub 2} were grown with films exhibiting X-ray diffraction (XRD) rocking curve full-widths at half maximum of 150 and 190 arcseconds respectively. LiNbO{sub 2} films demonstrate a memristive response due to the rapid movement of lithium in the layered crystal structure. The rapid movement of lithium ions in LiNbO{sub 2} memristors is characterized using impedance spectroscopy measurements. The impedance spectroscopy measurements suggest an ionic current of.1 mA for a small drive voltage of 5 mV AC or equivalently an ionic current density of {proportional_to}87 A/cm{sup 2}. This high ionic current density coupled with low charge transfer resistance of {proportional_to}16.5 {omega} and a high relaxation frequency (6.6 MHz) makes this single crystal material appealing for battery applications in addition to memristors. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  13. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  14. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    Science.gov (United States)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  15. Electrical performance of phase change memory cells with Ge3Sb2Te6 deposited by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella; Boniardi, Mattia; Redaelli, Andrea

    2015-01-01

    Here, we report on the electrical characterization of phase change memory cells containing a Ge 3 Sb 2 Te 6 (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles

  16. STM investigation of epitaxial Si growth for the fabrication of a Si-based quantum computer

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, Lars; Hallam, Toby; Curson, Neil J.; Simmons, Michelle Y.; Clark, Robert G

    2003-05-15

    We investigate the morphology of epitaxial Si layers grown on clean and on hydrogen terminated Si(0 0 1) to explore the growth strategy for the fabrication of a Si-based quantum computer. We use molecular beam epitaxy to deposit 5 monolayers of silicon at a temperature of 250 deg. C and scanning tunnelling microscopy to image the surface at room temperature after growth and after various rapid annealing steps in the temperature range of 350-600 deg. C. The epitaxial layer grown on the hydrogenated surface shows a significantly higher surface roughness due to a lower mobility of silicon surface atoms in the presence of hydrogen. Annealing at temperatures {>=}550 deg. C reduces the roughness of both epitaxial layers to the value of a clean silicon surface. However, the missing dimer defect density of the epitaxial layer grown on the hydrogenated surface remains higher by a factor of two compared to the layer grown on clean Si(0 0 1). Our results suggest a quantum computer growth strategy in which the hydrogen resist layer is desorbed before the epitaxial silicon layer is grown at low temperature to encapsulate phosphorus quantum bits.

  17. Recent progress in MBE grown HgCdTe materials and devices at UWA

    Science.gov (United States)

    Gu, R.; Lei, W.; Antoszewski, J.; Madni, I.; Umana-Menbreno, G.; Faraone, L.

    2016-05-01

    HgCdTe has dominated the high performance end of the IR detector market for decades. At present, the fabrication costs of HgCdTe based advanced infrared devices is relatively high, due to the low yield associated with lattice matched CdZnTe substrates and a complicated cooling system. One approach to ease this problem is to use a cost effective alternative substrate, such as Si or GaAs. Recently, GaSb has emerged as a new alternative with better lattice matching. In addition, implementation of MBE-grown unipolar n-type/barrier/n-type detector structures in the HgCdTe material system has been recently proposed and studied intensively to enhance the detector operating temperature. The unipolar nBn photodetector structure can be used to substantially reduce dark current and noise without impeding photocurrent flow. In this paper, recent progress in MBE growth of HgCdTe infrared material at the University of Western Australia (UWA) is reported, including MBE growth of HgCdTe on GaSb alternative substrates and growth of HgCdTe nBn structures.

  18. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  19. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  20. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  1. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  2. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  3. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  4. Low temperature p-type doping of (Al)GaN layers using ammonia molecular beam epitaxy for InGaN laser diodes

    Energy Technology Data Exchange (ETDEWEB)

    Malinverni, M., E-mail: marco.malinverni@epfl.ch; Lamy, J.-M.; Martin, D.; Grandjean, N. [ICMP, École Polytechnique Fédérale de Lausanne (EPFL), CH-1015 Lausanne (Switzerland); Feltin, E.; Dorsaz, J. [NOVAGAN AG, CH-1015 Lausanne (Switzerland); Castiglia, A.; Rossetti, M.; Duelk, M.; Vélez, C. [EXALOS AG, CH-8952 Schlieren (Switzerland)

    2014-12-15

    We demonstrate state-of-the-art p-type (Al)GaN layers deposited at low temperature (740 °C) by ammonia molecular beam epitaxy (NH{sub 3}-MBE) to be used as top cladding of laser diodes (LDs) with the aim of further reducing the thermal budget on the InGaN quantum well active region. Typical p-type GaN resistivities and contact resistances are 0.4 Ω cm and 5 × 10{sup −4} Ω cm{sup 2}, respectively. As a test bed, we fabricated a hybrid laser structure emitting at 400 nm combining n-type AlGaN cladding and InGaN active region grown by metal-organic vapor phase epitaxy, with the p-doped waveguide and cladding layers grown by NH{sub 3}-MBE. Single-mode ridge-waveguide LD exhibits a threshold voltage as low as 4.3 V for an 800 × 2 μm{sup 2} ridge dimension and a threshold current density of ∼5 kA cm{sup −2} in continuous wave operation. The series resistance of the device is 6 Ω and the resistivity is 1.5 Ω cm, confirming thereby the excellent electrical properties of p-type Al{sub 0.06}Ga{sub 0.94}N:Mg despite the low growth temperature.

  5. Lattice Gas Model Based Optimization of Plasma-Surface Processes for GaN-Based Compound Growth

    Science.gov (United States)

    Nonokawa, Kiyohide; Suzuki, Takuma; Kitamori, Kazutaka; Sawada, Takayuki

    2001-10-01

    Progress of the epitaxial growth technique for GaN-based compounds makes these materials attractive for applications in high temperature/high-power electronic devices as well as in short-wavelength optoelectronic devices. For MBE growth of GaN epilayer, atomic nitrogen is usually supplied from ECR-plasma while atomic Ga is supplied from conventional K-cell. To grow high-quality epilayer, fundamental knowledge of the detailed atomic process, such as adsorption, surface migration, incorporation, desorption and so forth, is required. We have studied the influence of growth conditions on the flatness of the growth front surface and the growth rate using Monte Carlo simulation based on the lattice gas model. Under the fixed Ga flux condition, the lower the nitrogen flux and/or the higher the growth temperature, the better the flatness of the front surface at the sacrifice of the growth rate of the epilayer. When the nitrogen flux is increased, the growth rate reaches saturation value determined from the Ga flux. At a fixed growth temperature, increasing of nitrogen to Ga flux ratio results in rough surface owing to 3-dimensional island formation. Other characteristics of MBE-GaN growth using ECR-plasma can be well reproduced.

  6. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  7. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  8. Electrical performance of phase change memory cells with Ge{sub 3}Sb{sub 2}Te{sub 6} deposited by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Boniardi, Mattia; Redaelli, Andrea [Micron Semiconductor Italia S.r.l., Via C. Olivetti, 2, 20864, Agrate Brianza, MB (Italy)

    2015-01-12

    Here, we report on the electrical characterization of phase change memory cells containing a Ge{sub 3}Sb{sub 2}Te{sub 6} (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles.

  9. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  10. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  11. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  12. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  13. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  14. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  15. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Agrawal, M.; Ravikiran, L.; Dharmarasu, N.; Radhakrishnan, K.; Karthikeyan, G. S.; Zheng, Y.

    2017-01-01

    The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE) has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V˜1)and GaN is grown under N-rich growth regime (III/VHEMT) heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG) properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm-2.

  16. X-ray diffraction study of rare earth epitaxial structures grown by MBE onto (111) GaAs

    International Nuclear Information System (INIS)

    Bennett, W.R.; Farrow, R.F.C.; Parkin, S.S.P.; Marinero, E.E.; Segmuller, A.P.

    1989-01-01

    The authors report on the new epitaxial system LaF 3 /Er/Dy/Er/LaF 3 /GaAs(111) grown by molecular beam epitaxy. X-ray diffraction studies have been used to determine the epitaxial relationships between the rare earths, the LaF 3 and the substrate. Further studies of symmetric and asymmetric reflections yielded the in-plane and perpendicular strain components of the rare earth layers. Such systems may be used to probe the effects of magnetoelastic interactions and dimensionality on magnetic ordering in rare earth metal films

  17. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  18. CdSe-based quantum dot nanostructures: MBE growth, properties and applications

    International Nuclear Information System (INIS)

    Ivanov, S.V.

    2004-01-01

    The paper presents an overview of the recent results of novel CdSe quantum dot (QD) fabrication techniques with the main emphasis on a stressor-controlled self-organization process resulting in nucleation of the optically active up to room temperature real CdSe QDs with a narrower size distribution, a higher density and a high Cd content. The main idea of the stressor-controlled epitaxy is the intentional introduction of a super-strained fractional monolayer of a much higher lattice-mismatch compound - stressor - to create strong local stress fields on the growth surface which govern self-assembling of the main QD material. The studies were performed on a type-II non-common atom CdSe/BeTe system, where CdTe (Δa/a∼14%) and BeSe (Δa/a∼-10%) interface bonds play the role of intrinsic stressors. Both experimental data (growth, structural and optical characterization) and Monte Carlo simulation of the growth process are presented

  19. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  20. Impact of P/In flux ratio and epilayer thickness on faceting for nanoscale selective area growth of InP by molecular beam epitaxy.

    Science.gov (United States)

    Fahed, M; Desplanque, L; Coinon, C; Troadec, D; Wallart, X

    2015-07-24

    The impact of the P/In flux ratio and the deposited thickness on the faceting of InP nanostructures selectively grown by molecular beam epitaxy (MBE) is reported. Homoepitaxial growth of InP is performed inside 200 nm wide stripe openings oriented either along a [110] or [1-10] azimuth in a 10 nm thick SiO2 film deposited on an InP(001) substrate. When varying the P/In flux ratio, no major shape differences are observed for [1-10]-oriented apertures. On the other hand, the InP nanostructure cross sections strongly evolve for [110]-oriented apertures for which (111)B facets are more prominent and (001) ones shrink for large P/In flux ratio values. These results show that the growth conditions allow tailoring the nanocrystal shape. They are discussed in the framework of the equilibrium crystal shape model using existing theoretical calculations of the surface energies of different low-index InP surfaces as a function of the phosphorus chemical potential, directly related to the P/In ratio. Experimental observations strongly suggest that the relative (111)A surface energy is probably smaller than the calculated value. We also discuss the evolution of the nanostructure shape with the InP-deposited thickness.

  1. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  2. Epitaxial growth of thin single-crystals and their quality study by Rutherford scattering in channeling conditions

    International Nuclear Information System (INIS)

    Kirsch, Robert.

    1975-01-01

    Some aspects of thin crystalline layers are reminded: vacuum deposition, epitaxial growth, annealing and interdiffusion ion channeling and scattering of 1-2MeV helium ions are used to study the crystalline quality, the annealing effects and in some cases the interdiffusion in epitaxial multilayers of silver, copper gold and nickel. Thin single-crystals of gold and nickel oriented (III) plan parallel to the surface were obtained by successive epitaxial growth from muscovite mica clivages. The mounting techniques of single crystalline, self-supporting, 300 to 1200 Angstroems thick, gold and nickel targets of 3mm diameter are described. The gold single-crystals have dislocation densities of 10 8 cm -2 and the various epitaxial layers are obtained without twinning [fr

  3. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  4. H{sub 2}O{sub 2}-molecular beam epitaxy of high quality ZnO

    Energy Technology Data Exchange (ETDEWEB)

    El Shaer, A.; Bakin, A.; Che Mofor, A.; Kreye, M.; Waag, A. [Technical University Braunschweig, Institute of Semiconductor Technology, Braunschweig (Germany); Blaesing, J.; Krost, A. [Otto-von-Guericke-University, Institute of Experimental Physics, Magdeburg (Germany); Stoimenos, J. [Aristotele University, Physics Department, Thessaloniki (Greece); Pecz, B. [Hungarian Academy of Sciences, Research Institute for Technical Physics and Materials Science, P.O. Box 49, Budapest (Hungary)

    2007-07-15

    We have studied the growth and characterization of ZnO epilayers on (0001)-sapphire by H{sub 2}O{sub 2}-molecular beam epitaxy (MBE). A high temperature (HT) MgO buffer followed by a low-temperature ZnO buffer was introduced in order to accommodate the lattice mismatch between ZnO and sapphire. The surface morphology of the samples was studied using atomic force microscopy (AFM), and scanning electron microscopy (SEM). The crystalline quality of the layers was investigated by employing high resolution X-ray diffractometry (HRXRD) and high resolution transmission electron microscopy (HRTEM). The electrical properties of the grown ZnO layers were studied by Hall-effect measurements in a standard van der Pauw configuration. The measured surface roughness for the best layers is as low as 0.26 nm rms. HRXRD measurements of the obtained ZnO layers show excellent quality of the single crystalline ZnO heteroepitaxially grown on (0001)-sapphire with a HT MgO buffer layers. The influence of the growth conditions on the crystalline quality is discussed. The FWHM of the HRXRD (0002) rocking curves measured for the 2-inch ZnO-on-sapphire is as low as 27 arcsec with a very high lateral homogeneity across the whole 2-inch ZnO epilayers. The results indicate that H{sub 2}O{sub 2}-MBE is a suitable technique to fabricate ZnO epilayers of very high quality. (orig.)

  5. YCo5±x thin films with perpendicular anisotropy grown by molecular beam epitaxy

    Science.gov (United States)

    Sharma, S.; Hildebrandt, E.; Sharath, S. U.; Radulov, I.; Alff, L.

    2017-06-01

    The synthesis conditions of buffer-free (00l) oriented YCo5 and Y2Co17 thin films onto Al2O3 (0001) substrates have been explored by molecular beam epitaxy (MBE). The manipulation of the ratio of individual atomic beams of Yttrium, Y and Cobalt, Co, as well as growth rate variations allows establishing a thin film phase diagram. Highly textured YCo5±x thin films were stabilized with saturation magnetization of 517 emu/cm3 (0.517 MA/m), coercivity of 4 kOe (0.4 T), and anisotropy constant, K1, equal to 5.34 ×106 erg/cm3 (0.53 MJ/m3). These magnetic parameters and the perpendicular anisotropy obtained without additional underlayers make the material system interesting for application in magnetic recording devices.

  6. Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study

    Directory of Open Access Journals (Sweden)

    Sangmo Koo

    2016-09-01

    Full Text Available The selective epitaxial growth of Si1-xGex and the related strain properties were studied. Epitaxial Si1-xGex films were deposited on (100 and (110 orientation wafers and on patterned Si wafers with recessed source and drain structures via ultrahigh vacuum chemical vapor deposition using different growing steps and Ge concentrations. The stepwise process was split into more than 6 growing steps that ranged in thicknesses from a few to 120 nm in order to cover the wide stages of epitaxial growth. The growth rates of SiGe on the plane and patterned wafers were examined and a dependence on the surface orientation was identified. As the germanium concentration increased, defects were generated with thinner Si1-xGex growth. The defect generation was the result of the strain evolution which was examined for channel regions with a Si1-xGex source/drain (S/D structure.

  7. Ga-assisted catalyst-free growth mechanism of GaAs nanowires by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Colombo, C.; Spirkoska, D.; Frimmer, M.; Abstreiter, G.; Fontcuberta i Morral, A.

    2008-01-01

    The mechanisms of Ga-assisted GaAs nanowires grown by molecular beam epitaxy are addressed. The axial and radial growth rates as a function of the Ga rate and As pressure indicate that on the opposite of what is observed in thin film epitaxy, the growth rate of the nanowires is arsenic limited. As a consequence, the axial growth rate of the wires can be controlled by the As 4 pressure. Additionally, due to the small As 4 pressure leading to nanowire growth, the deposition on the facets is very slow, leading to a much lower radial growth rate. Finally, we present a model that is able to accurately describe the presented observations and predicts a maximum length of nontapered nanowires of 40 μm

  8. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  9. Abnormal growth kinetics of h-BN epitaxial monolayer on Ru(0001) enhanced by subsurface Ar species

    Science.gov (United States)

    Wei, Wei; Meng, Jie; Meng, Caixia; Ning, Yanxiao; Li, Qunxiang; Fu, Qiang; Bao, Xinhe

    2018-04-01

    Growth kinetics of epitaxial films often follows the diffusion-limited aggregation mechanism, which shows a "fractal-to-compact" morphological transition with increasing growth temperature or decreasing deposition flux. Here, we observe an abnormal "compact-to-fractal" morphological transition with increasing growth temperature for hexagonal boron nitride growth on the Ru(0001) surface. The unusual growth process can be explained by a reaction-limited aggregation (RLA) mechanism. Moreover, introduction of the subsurface Ar atoms has enhanced this RLA growth behavior by decreasing both reaction and diffusion barriers. Our work may shed light on the epitaxial growth of two-dimensional atomic crystals and help to control their morphology.

  10. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  11. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    KAUST Repository

    Young, E. C.; Grandjean, N.; Mates, T. E.; Speck, J. S.

    2016-01-01

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼1012 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 1018 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.

  12. Calcium impurity as a source of non-radiative recombination in (In,Ga)N layers grown by molecular beam epitaxy

    KAUST Repository

    Young, E. C.

    2016-11-23

    Ca as an unintentional impurity has been investigated in III-nitride layers grown by molecular beam epitaxy (MBE). It is found that Ca originates from the substrate surface, even if careful cleaning and rinsing procedures are applied. The initial Ca surface coverage is ∼1012 cm−2, which is consistent with previous reports on GaAs and silicon wafers. At the onset of growth, the Ca species segregates at the growth front while incorporating at low levels. The incorporation rate is strongly temperature dependent. It is about 0.03% at 820 °C and increases by two orders of magnitude when the temperature is reduced to 600 °C, which is the typical growth temperature for InGaN alloy. Consequently, [Ca] is as high as 1018 cm−3 in InGaN/GaN quantum well structures. Such a huge concentration might be detrimental for the efficiency of light emitting diodes (LEDs) if one considers that Ca is potentially a source of Shockley-Read-Hall (SRH) defects. We thus developed a specific growth strategy to reduce [Ca] in the MBE grown LEDs, which consisted of burying Ca in a low temperature InGaN/GaN superlattice (SL) before the growth of the active region. Finally, two LED samples with and without an SL were fabricated. An increase in the output power by one order of magnitude was achieved when Ca was reduced in the LED active region, providing evidence for the role of Ca in the SRH recombination.

  13. X-ray diffraction study of InAlAs-InGaAs on InP high electron mobility transistor structure prepared by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Liu, H.Y.; Kao, Y.C.; Kim, T.S.

    1990-01-01

    High-electron mobility transistors (HEMTs) can be prepared by growing alternating epitaxial layers of InAlAs and InGaAs on InP substrates. Lattice matched HEMTs are obtained by growing layers of IN x Al (1-x) As and In y Ga (1-y) As with x ≅ 0.5227 and y ≅ 0.5324. Varying the values of x and y by controlling the individual flux during molecular-beam epitaxial (MBE) growth, one can obtain pseudomorphic HEMTs. Pseudomorphic HEMTs may have superior electronic transport properties and larger conduction band discontinuity when compared to an unstrained one. The precise control of the composition is thus important to the properties of HEMTs. This control is however very difficult and the values of x and y may vary from run to run. The authors demonstrate in this paper the capability of a double crystal rocking curve (DCRC) on the structure characterization

  14. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  15. Molecular beam epitaxy of GaAs nanowires and their sustainability for optoelectronic applications. Comparing Au- and self-assisted growth methods

    Energy Technology Data Exchange (ETDEWEB)

    Breuer, Steffen

    2011-09-28

    In this work the synthesis of GaAs nanowires by molecular beam epitaxy (MBE) using the vapour-liquid-solid (VLS) mechanism is investigated. A comparison between Au- and self-assisted VLS growth is at the centre of this thesis. While the Au-assisted method is established as a versatile tool for nanowire growth, the recently developed self-assisted variation results from the exchange of Au by Ga droplets and thus eliminates any possibility of Au incorporation. By both methods, we achieve nanowires with epitaxial alignment to the Si(111) substrates. Caused by differences during nanowire nucleation, a parasitic planar layer grows between the nanowires by the Au-assisted method, but can be avoided by the self-assisted method. Au-assisted nanowires grow predominantly in the metastable wurtzite crystal structure, while their self-assisted counterparts have the zincblende structure. All GaAs nanowires are fully relaxed and the strain arising from the lattice mismatch between GaAs and Si of 4.1 % is accommodated by misfit dislocations at the interface. Self-assisted GaAs nanowires are generally found to have vertical and non-polar side facets, while tilted and polar nanofacets were described for Au-assisted GaAs nanowires. We employ VLS nucleation theory to understand the effect of the droplet material on the lateral facets. Optoelectronic applications require long minority carrier lifetimes at room temperature. We fabricate GaAs/(Al,Ga)As core-shell nanowires and analyse them by transient photoluminescence (PL) spectroscopy. The results are 2.5 ns for the self-assisted nanowires as well as 9 ps for the Au-assisted nanowires. By temperature-dependent PL measurements we find a characteristic activation energy of 77 meV that is present only in the Au-assisted nanowires. We conclude that most likely Au is incorporated from the droplets into the GaAs nanowires and acts as a deep, non-radiative recombination centre.

  16. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  17. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  18. Passivation effect on optical and electrical properties of molecular beam epitaxy-grown HgCdTe/CdTe/Si layers

    Science.gov (United States)

    Kiran, Rajni; Mallick, Shubhrangshu; Hahn, Suk-Ryong; Lee, T. S.; Sivananthan, Sivalingam; Ghosh, Siddhartha; Wijewarnasuriya, P. S.

    2006-06-01

    The effects of passivation with two different passivants, ZnS and CdTe, and two different passivation techniques, physical vapor deposition (PVD) and molecular beam epitaxy (MBE), were quantified in terms of the minority carrier lifetime and extracted surface recombination velocity on both MBE-grown medium-wavelength ir (MWIR) and long-wavelength ir HgCdTe samples. A gradual increment of the minority carrier lifetime was reported as the passivation technique was changed from PVD ZnS to PVD CdTe, and finally to MBE CdTe, especially at low temperatures. A corresponding reduction in the extracted surface recombination velocity in the same order was also reported for the first time. Initial data on the 1/ f noise values of as-grown MWIR samples showed a reduction of two orders of noise power after 1200-Å ZnS deposition.

  19. Boron, arsenic and phosphorus dopant incorporation during low temperature low pressure silicon epitaxial growth

    International Nuclear Information System (INIS)

    Borland, J.O.; Thompson, T.; Tagle, V.; Benzing, W.

    1987-01-01

    Submicron silicon epitaxial structures with very abrupt epi/substrate transition widths have been realized through the use of low temperature silicon epitaxial growth techniques. At these low temperature and low pressure epitaxial growth conditions there is minimal, if any, dopant diffusion from the substrate into the epilayer during deposition. The reincorporation of autodoped dopant as well as the incorporation of intentional dopant can be a trade-off at low temperatures and low pressures. For advanced CMOS and Bi-CMOS technologies, five to six orders of magnitude change in concentration levels are desirable. In this investigation, all of the epitaxial depositions were carried out in an AMC-7810 epi-reactor with standard jets for a turbulent mixing system, and using a modified center inject configuration to achieve a single pass laminar flow system. To simulate the reincorporation of various autodoped dopant, the authors ran a controlled dopant flow of 100 sccm for each of the three dopants (boron, phosphorus and arsenic) to achieve the controlled background dopant level in the reactor gas stream

  20. Epitaxial growth by monolayer restricted galvanic displacement

    Directory of Open Access Journals (Sweden)

    Vasilić Rastko

    2012-01-01

    Full Text Available The development of a new method for epitaxial growth of metals in solution by galvanic displacement of layers pre-deposited by underpotential deposition (UPD was discussed and experimentally illustrated throughout the lecture. Cyclic voltammetry (CV and scanning tunneling microscopy (STM are employed to carry out and monitor a “quasi-perfect”, two-dimensional growth of Ag on Au(111, Cu on Ag(111, and Cu on Au(111 by repetitive galvanic displacement of underpotentially deposited monolayers. A comparative study emphasizes the displacement stoichiometry as an efficient tool for thickness control during the deposition process and as a key parameter that affects the deposit morphology. The excellent quality of layers deposited by monolayer-restricted galvanic displacement is manifested by a steady UPD voltammetry and ascertained by a flat and uniform surface morphology maintained during the entire growth process.

  1. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  2. Hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cai, Tuocheng; Jia, Zhenzhao; Yan, Baoming; Yu, Dapeng; Wu, Xiaosong, E-mail: xswu@pku.edu.cn [State Key Laboratory for Artificial Microstructure and Mesoscopic Physics, Peking University, Beijing 100871 (China); Collaborative Innovation Center of Quantum Matter, Beijing 100871 (China)

    2015-01-05

    We demonstrate hydrogen assisted growth of high quality epitaxial graphene on the C-face of 4H-SiC. Compared with the conventional thermal decomposition technique, the size of the growth domain by this method is substantially increased and the thickness variation is reduced. Based on the morphology of epitaxial graphene, the role of hydrogen is revealed. It is found that hydrogen acts as a carbon etchant. It suppresses the defect formation and nucleation of graphene. It also improves the kinetics of carbon atoms via hydrocarbon species. These effects lead to increase of the domain size and the structure quality. The consequent capping effect results in smooth surface morphology and suppression of multilayer growth. Our method provides a viable route to fine tune the growth kinetics of epitaxial graphene on SiC.

  3. ZnMgO-ZnO quantum wells embedded in ZnO nanopillars: Towards realisation of nano-LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Bakin, A.; El-Shaer, A.; Mofor, A.C.; Al-Suleiman, M.; Schlenker, E.; Waag, A. [Institute of Semiconductor Technology, Braunschweig Technical University, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany)

    2007-07-01

    ZnO thin films, ZnMgO/ZnO heterostructures and ZnO nanostructures were fabricated using molecular beam epitaxy (MBE), vapour phase transport (VPT) and an aqueous chemical growth approach (ACG). The possibility to employ several fabrication techniques is of special importance for the realization of unique device structures. MBE was implemented for ZnO-based layer and heterostructure growth. Pronounced RHEED oscillations were used for growth control and optimisation, resulting in high quality ZnO and Zn{sub 1-x}Mg{sub x}O epilayers and heterostructures, as well as ZnMgO/ZnO quantum wells on sapphire and SiC substrates. A novel advanced VPT approach is developed and sapphire, SiC, ZnO epitaxial layers, and even plastic and glass were implemented as substrates for ZnO growth. The VPT fabrication of ZnO nanopillars, leading to well aligned, c-axis oriented nanopillars with excellent quality and purity is demonstrated. Successful steps were made towards device fabrication on ZnO basis. The nanopillar fabrication technique is combined with MBE technology: MBE-grown ZnMgO/ZnO quantum well structures were grown on ZnO nanopillars presenting significant progress towards nano-LEDs realization. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  5. Thin film growth studies using time-resolved x-ray scattering

    Science.gov (United States)

    Kowarik, Stefan

    2017-02-01

    Thin-film growth is important for novel functional materials and new generations of devices. The non-equilibrium growth physics involved is very challenging, because the energy landscape for atomic scale processes is determined by many parameters, such as the diffusion and Ehrlich-Schwoebel barriers. We review the in situ real-time techniques of x-ray diffraction (XRD), x-ray growth oscillations and diffuse x-ray scattering (GISAXS) for the determination of structure and morphology on length scales from Å to µm. We give examples of time resolved growth experiments mainly from molecular thin film growth, but also highlight growth of inorganic materials using molecular beam epitaxy (MBE) and electrochemical deposition from liquids. We discuss how scaling parameters of rate equation models and fundamental energy barriers in kinetic Monte Carlo methods can be determined from fits of the real-time x-ray data.

  6. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  7. Epitaxial growth of lithium fluoride on the (1 1 1) surface of CaF 2

    Science.gov (United States)

    Klumpp, St; Dabringhaus, H.

    1999-08-01

    Growth of lithium fluoride by molecular beam epitaxy on the (1 1 1) surface of calcium fluoride crystals was studied by TEM and LEED for crystal temperatures from 400 to 773 K and impinging lithium fluoride fluxes from 3×10 11 to 3×10 14 cm -2 s -1. Growth starts, usually, at the steps on the (1 1 1) surface of CaF 2. For larger step distances and at later growth stages also growth on the terraces between the steps is found. Preferably, longish, roof-like crystallites are formed, which can be interpreted by growth of LiF(2 0 1¯)[0 1 0] parallel to CaF 2(1 1 1)[ 1¯ 0 1]. To a lesser extent square crystallites, i.e. growth with LiF(0 0 1), and, rarely, three-folded pyramidal crystallites, i.e. growth with LiF(1 1 1) parallel to CaF 2(1 1 1), are observed. While the pyramidal crystallites show strict epitaxial orientation with LiF[ 1¯ 0 1]‖CaF 2[ 1¯ 0 1] and LiF[ 1¯ 0 1]‖CaF 2[1 2¯ 1], only about 80% of the square crystallites exhibit an epitaxial alignment, where LiF[1 0 0]‖CaF 2[ 1¯ 0 1] is preferred to LiF[1 1 0]‖CaF 2[ 1¯ 0 1]. The epitaxial relationships are discussed on the basis of theoretically calculated adsorption positions of the lithium fluoride monomer and dimer on the terrace and at the steps of the CaF 2(1 1 1) surface.

  8. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Lastras-Martínez, A., E-mail: alm@cactus.iico.uaslp.mx, E-mail: alastras@gmail.com; Ortega-Gallegos, J.; Guevara-Macías, L. E.; Nuñez-Olvera, O.; Balderas-Navarro, R. E.; Lastras-Martínez, L. F. [Instituto de Investigación en Comunicación Optica, Universidad Autónoma de San Luis Potosí, Alvaro Obregón 64, San Luis Potosí, SLP 78000 (Mexico); Lastras-Montaño, L. A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Lastras-Montaño, M. A. [Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California 93106 (United States)

    2014-03-01

    We report on real time-resolved Reflectance-difference (RD) spectroscopy of GaAs(001) grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  9. Dynamical x-ray diffraction studies of interfacial strain in superlattices grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vandenberg, J.M.; Chu, S.N.G.; Hamm, R.A.; Panish, M.B.; Ritter, D.; Mancrander, A.T.

    1992-01-01

    This paper reports on dynamical X-ray diffraction studies that have been carried out for lattice-matched InGaAs/InP superlattices grown by modified molecular beam epitaxy (MBE) techniques. The (400) X-ray satellite pattern, which is predominantly affected by the strain modulation, was analyzed. The strain and thickness of the actual layers including the presence of strained interfacial regions were determined

  10. Molecular beam epitaxy growth and characterization of two-six materials for visible semiconductor lasers

    Science.gov (United States)

    Zeng, Linfei

    This thesis proposes the molecular beam epitaxy (MBE) growth and characterization of a new Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se based semiconductor materials system on InP substrates for visible light emitting diodes (LED) and lasers. The growth conditions for lattice-matched Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se layers with the desired bandgap have been established and optimized. A chemical etching technique to measure the defect density of Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se materials has been established. The accuracy of this method for revealing stacking faults and dislocations was verified by plan-view TEM. Using the techniques such as III-V buffer layer, Zn-irradiation, low-temperature growth, ZnCdSe interfacial layer and growth interruption to improve the quality of the interface of III-V and II-VI, the material quality of Znsb{x}Cdsb{y}Mgsb{(1-x-y)}Se has been improved dramatically. Defect density has been reduced from 10sp{10}\\ cmsp{-2} to {˜}5×10sp4\\ cmsp{-2}. The properties of this material system such as the quality and strain state in the epilayer, the dependence of bandgap on temperature, and the band offset have been studied by using double crystal x-ray diffraction, photoluminescence and capacitance voltage measurements. The ZnCdSe/ZnCdMgSe based quantum well (QW) structures have been grown and studied. Optically pumped lasing with emission range from red to blue has been obtained from ZnCdSe/ZnCdMgSe based separate-confinement single QW laser structures. The results demonstrate the potential for these materials as integrated full color display devices. Preliminary studies of the degradation behavior of ZnCdSe/ZnCdMgSe QW were performed. No dark line defects (DLDs) were observed during the degradation. A very strong room temperature differential negative resistance behavior was observed from Al/Znsb{0.61}Cdsb{0.39}Se/nsp+-InP devices, which is useful in millimeter-wave applications. We also found that these devices can be set to either in highly conductive or

  11. Enhancement of spin-lattice coupling in nanoengineered oxide films and heterostructures by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Xi, Xiaoxing [Temple Univ., Philidelphia, PA (United States)

    2017-06-08

    The objective of the proposed research is to investigate nanoengineered oxide films and multilayer structures that are predicted to show desirable properties. The main focus of the project is an atomic layer-by-layer laser MBE (ALL-Laser MBE ) technique that is superior to the conventional laser MBE in broadening the conditions for the synthesis of high quality nanoscale oxides and new designer materials. In ALL-Laser MBE, separate oxide targets are used instead of one compound target in the conventional laser MBE. The targets are switched back and forth in front of a UV laser beam as they are alternately ablated. The oxide film is thus constructed one atomic layer at a time. The growth of each atomic layer is monitored and controlled by the reflection high energy electron diffraction (RHEED). The intensity of the diffraction spots increases or decreases depending on the chemistry of each atomic layer as well as the surface roughness. This allows us to determine whether the chemical ratio of the different elements in the films meets the desired value and whether each atomic layer is complete. ALL-Laser MBE is versatile: it works for non-polar film on non-polar substrate, polar film on polar substrate, and polar film on non-polar substrate. (In a polar material, each atomic layer is charged whereas in a non-polar material the atomic layers are charge neutral.) It allows one to push the thermodynamic boundary further in stabilizing new phases than reactive MBE and PLD, two of the most successful techniques for oxide thin films. For example, La5Ni4O13, the Ruddlesden-Popper phase with n = 4, has never been reported in the literature because it needs atomic layer-by-layer growth at high oxygen pressures, not possible with other growth techniques. ALL-Laser MBE makes it possible. We have studied the interfacial 2-dimensional electron gas in the LaAlO3/SrTiO3 system, whose mechanism has been a subject of controversy

  12. Molecular beam epitaxy of GaN(0001) utilizing NH3 and/or NH+x ions: Growth kinetics and defect structure

    International Nuclear Information System (INIS)

    Lee, N.; Powell, R.C.; Kim, Y.; Greene, J.E.

    1995-01-01

    Gas-source molecular beam epitaxy (GS-MBE), utilizing Ga and NH 3 , and reactive-ion MBE (RIMBE), incorporating both thermal NH 3 and low-energy NH + x ions, were used to grow single crystal GaN(0001) layers on Al 2 O 3 (0001) at temperatures T s between 700 and 850 degree C with deposition rates of 0.2--0.5 μm h -1 . The RIMBE experiments were carried out with incident NH + x /Ga flux ratios J NH + x /J Ga =1.9--3.2 and NH + x acceleration energies E NH + x =45--90 eV. Plan-view and cross-sectional transmission electron microscopy analyses showed that the primary defects in the GS-MBE films were threading dislocations having either pure edge or mixed edge/screw characteristics with Burgers vectors bar b=1/3 left-angle 2 bar 1 bar 10 right-angle, basal-plane stacking faults with displacement vectors bar R=1/6 left-angle 02 bar 23 right-angle, and prismatic stacking faults with bar R=1/2 left-angle bar 1101 right-angle. In the case of RIMBE films, no stacking faults or residual ion-induced defects were observed with E NH + x =45 eV and T s ≥800 degree C. However, increasing E NH + x to ≥60 eV at T s =800 degree C gave rise to the formation of residual ion-induced point-defect clusters observable by transmission electron microscopy (TEM). Increasing T s to 850 degree C with E NH + x ≥60 eV resulted in the ion-induced defects aggregating to form interstitial basal and prismatic dislocation loops, whose number densities depended upon the ion flux, with Burgers vectors 1/2 left-angle 0001 right-angle and 1/3 left-angle 2 bar 1 bar 10 right-angle, respectively. (Abstract Truncated)

  13. MBE growth and characterisation of light rare-earth superlattices

    DEFF Research Database (Denmark)

    Ward, R.C.C.; Wells, M.R.; Bryn-Jacobsen, C.

    1996-01-01

    The molecular beam epitaxy growth techniques which have already successfully produced a range of heavy rare-earth superlattices have now been extended to produce superlattices of two light rare-earth elements, Nd/Pr, as well as superlattices and alloy films of a heavy/light system, Ho/Pr. High......-resolution X-ray diffraction analysis shows the Nd/Pr superlattices to be of high structural quality, while the Ho/Pr superlattices are significantly less so. In the Ho/Pr superlattices, Pr is found to retain its bulk dhcp crystal structure even in thin layers (down to 6 atomic planes thick) sandwiched between...... thick layers of hcp Ho. In addition, neutron diffraction studies of the He/Pr superlattices have shown that the helical Ho magnetic order is not coherent through the dhcp Pr layers, in contrast to previous hcp/hcp superlattices Ho/Y, Ho/Lu and Ho/Er. The series of Ho:Pr alloy films has shown structural...

  14. Growth of GaSb1-xBix by molecular beam epitaxy

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Roy, Ivy Saha

    2012-01-01

    Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi ......As substrates were compared and no apparent difference for Bi incorporation was found.......Molecular beam epitaxy for GaSb1-xBix is investigated in this article. The growth window for incorporation of Bi in GaSb was found. Strategies of avoiding formation of Bi droplets and enhancing Bi incorporation were studied. The Bi incorporation was confirmed by SIMS and RBS measurements. The Bi...... concentration in the samples was found to increase with increasing growth temperature and Bi flux. The position of GaSb1-xBix layer peak in XRD rocking curves is found to be correlated to Bi composition. Surface and structural properties of the samples were also investigated. Samples grown on GaSb and Ga...

  15. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  16. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  17. Real-time reflectance-difference spectroscopy of GaAs molecular beam epitaxy homoepitaxial growth

    Directory of Open Access Journals (Sweden)

    A. Lastras-Martínez

    2014-03-01

    Full Text Available We report on real time-resolved Reflectance-difference (RD spectroscopy of GaAs(001 grown by molecular beam epitaxy, with a time-resolution of 500 ms per spectrum within the 2.3–4.0 eV photon energy range. Through the analysis of transient RD spectra we demonstrated that RD line shapes are comprised of two components with different physical origins and determined their evolution during growth. Such components were ascribed to the subsurface strain induced by surface reconstruction and to surface stoichiometry. Results reported in this paper render RD spectroscopy as a powerful tool for the study of fundamental processes during the epitaxial growth of zincblende semiconductors.

  18. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  19. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  20. Shaping metal nanocrystals through epitaxial seeded growth

    Energy Technology Data Exchange (ETDEWEB)

    Habas, Susan E.; Lee, Hyunjoo; Radmilovic, Velimir; Somorjai,Gabor A.; Yang, Peidong

    2008-02-17

    Morphological control of nanocrystals has becomeincreasingly important, as many of their physical and chemical propertiesare highly shape-dependent. Nanocrystal shape control for both single andmultiple material systems, however, remains fairly empirical andchallenging. New methods need to be explored for the rational syntheticdesign of heterostructures with controlled morphology. Overgrowth of adifferent material on well-faceted seeds, for example, allows for the useof the defined seed morphology to control nucleation and growth of thesecondary structure. Here, we have used highly faceted cubic Pt seeds todirect the epitaxial overgrowth of a secondary metal. We demonstrate thisconcept with lattice matched Pd to produce conformal shape-controlledcore-shell particles, and then extend it to lattice mismatched Au to giveanisotropic growth. Seeding with faceted nanocrystals may havesignificant potential towards the development of shape-controlledheterostructures with defined interfaces.

  1. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  2. The investigation of Al0.29Ga0.71N/GaN/AlN and AlN/GaN/AlN thin films grown on Si (111) by RF plasma-assisted MBE

    Science.gov (United States)

    Yusoff, Mohd Zaki Mohd; Mahyuddin, Azzafeerah; Hassan, Zainuriah; Hassan, Haslan Abu; Abdullah, Mat Johar

    2012-06-01

    Recently, gallium nitride (GaN) and its related compounds involving Al and In have attracted much attention because of their potential to be used as high-efficiency UV light emitting devices, and as high frequency and high power electronic devices. Consequently, the growth and physics of GaN-based materials have attracted remarkable scientific attention. In this work, the growth and characterization of epitaxial Al0.29Ga0.71N and AlN layers grown on Si (111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. For AlN/GaN/AlN sample, the maximum Raman intensity at 521.53 cm-1 is attributed to crystalline silicon. It was found that the allowed Raman optical phonon mode of GaN, the E1 (high) is clearly visible, which is located at 570.74 cm-1. Photoluminscence (PL) spectrums of both samples have shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing good crystal quality of the samples have been successfully grown on Si substrate.

  3. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  4. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  5. Heterostructures (CaSrBa)F2 on InP for Optoelectronics

    National Research Council Canada - National Science Library

    Pyshkin, Sergei

    1995-01-01

    .... MBE and Laser Vacuum Epitaxy (LVE) growth methods for semiconductor-semiconductor (SS) and semiconductor-crystalline dielectric-semiconductor heterostructures are considered as well as experimental facilities for these processes are elaborated.

  6. Molecular beam epitaxy growth of niobium oxides by solid/liquid state oxygen source and lithium assisted metal-halide chemistry

    Science.gov (United States)

    Tellekamp, M. Brooks; Greenlee, Jordan D.; Shank, Joshua C.; Doolittle, W. Alan

    2015-09-01

    In order to consistently grow high quality niobium oxides and lithium niobium oxides, a novel solid/liquid state oxygen source, LiClO4, has been implemented in a molecular beam epitaxy (MBE) system. LiClO4 is shown to decompose into both molecular and atomic oxygen upon heating. This allows oxidation rates similar to that of molecular oxygen but at a reduced overall beam flux, quantified by in situ Auger analysis. LiClO4 operation is decomposition limited to less than 400 °C, and other material limitations are identified. The design of a custom near-ambient NbCl5 effusion cell is presented, which improves both short and long term stability. Films of Nb oxidation state +2, +3, and +5 are grown using these new tools, including the multi-functional sub-oxide LiNbO2.

  7. Epitaxial Growth of a Methoxy-Functionalized Quaterphenylene on Alkali Halide Surfaces

    DEFF Research Database (Denmark)

    Balzer, Frank; Sun, Rong; Parisi, Jürgen

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of lowenergy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X...

  8. Analysis of MBE-grown II-VI hetero-interfaces and quantum-dots by Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Bass, Utz

    2012-10-16

    The material system of interest in this thesis are II-VI-semiconductors. The first part of this thesis focuses on the formation of self-assembled CdSe-based quantum dots (QD) on ZnSe. The lattice constants of ZnSe and CdSe differ as much as about 7% and therefore a CdSe layer grown on top of ZnSe experiences a huge strain. The aspired strain relief constitutes in the self-assembly of QDs (i.e. a roughened layer structure). Additionally, this QD layer is intermixed with Zn as this is also a possibility to decrease the strain in the layer. For CdSe on ZnSe, in Molecular Beam Epitaxy (MBE), various QD growth procedures were analysed with respect to the resulting Cd-content of the non-stoichiometric ternary (Zn,Cd)Se. The evaluation was performed by Raman Spectroscopy as the phonon frequency depends on the Cd-content. The second part of the thesis emphasis on the interface properties of n-ZnSe on n-GaAs. Different growth start procedures of the ZnSe epilayer may lead to different interface configurations with characteristic band-offsets and carrier depletion layer widths. The analysis is mainly focused on the individual depletion layer widths in the GaAs and ZnSe. This non-destructive analysis is performed by evaluating the Raman signal which comprises of phonon scattering from the depleted regions and coupled plasmon-phonon scattering from regions with free carriers.

  9. Analysis of MBE-grown II-VI hetero-interfaces and quantum-dots by Raman spectroscopy

    International Nuclear Information System (INIS)

    Bass, Utz

    2012-01-01

    The material system of interest in this thesis are II-VI-semiconductors. The first part of this thesis focuses on the formation of self-assembled CdSe-based quantum dots (QD) on ZnSe. The lattice constants of ZnSe and CdSe differ as much as about 7% and therefore a CdSe layer grown on top of ZnSe experiences a huge strain. The aspired strain relief constitutes in the self-assembly of QDs (i.e. a roughened layer structure). Additionally, this QD layer is intermixed with Zn as this is also a possibility to decrease the strain in the layer. For CdSe on ZnSe, in Molecular Beam Epitaxy (MBE), various QD growth procedures were analysed with respect to the resulting Cd-content of the non-stoichiometric ternary (Zn,Cd)Se. The evaluation was performed by Raman Spectroscopy as the phonon frequency depends on the Cd-content. The second part of the thesis emphasis on the interface properties of n-ZnSe on n-GaAs. Different growth start procedures of the ZnSe epilayer may lead to different interface configurations with characteristic band-offsets and carrier depletion layer widths. The analysis is mainly focused on the individual depletion layer widths in the GaAs and ZnSe. This non-destructive analysis is performed by evaluating the Raman signal which comprises of phonon scattering from the depleted regions and coupled plasmon-phonon scattering from regions with free carriers.

  10. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  11. Molecular-beam epitaxial growth and ion-beam analysis systems for functional materials research

    International Nuclear Information System (INIS)

    Takeshita, H.; Aoki, Y.; Yamamoto, S.; Naramoto, H.

    1992-01-01

    Experimental systems for molecular beam epitaxial growth and ion beam analysis have been designed and constructed for the research of inorganic functional materials such as thin films and superlattices. (author)

  12. MBE-growth of iron and iron oxide thin films on MgO(100), using NO2, NO, and N2O as oxidising agents

    NARCIS (Netherlands)

    Voogt, FC; Hibma, T; Smulders, PJM; Niesen, L; Fujii, T; Schlom, DG; Eom, CB; Hawley, ME; Foster, CM; Speck, JS

    1997-01-01

    We have made a study of the use of NO2 as the source of oxygen in the MBE-growth of iron oxides thin films. It is found that NO2 is a much more efficient oxidising agent than molecular O-2. As indicated by Mossbauer spectroscopy, performed on Fe-57 probe layers, NO2 is not only capable of forming

  13. Solution-phase epitaxial growth of quasi-monocrystalline cuprous oxide on metal nanowires

    NARCIS (Netherlands)

    Sciacca, Beniamino; Mann, Sander A.; Tichelaar, Frans D.; Zandbergen, Henny W.; Van Huis, Marijn A.; Garnett, Erik C.

    2014-01-01

    The epitaxial growth of monocrystalline semiconductors on metal nanostructures is interesting from both fundamental and applied perspectives. The realization of nanostructures with excellent interfaces and material properties that also have controlled optical resonances can be very challenging. Here

  14. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  15. Non-Epitaxial Thin-Film Indium Phosphide Photovoltaics: Growth, Devices, and Cost Analysis

    Science.gov (United States)

    Zheng, Maxwell S.

    In recent years, the photovoltaic market has grown significantly as module prices have continued to come down. Continued growth of the field requires higher efficiency modules at lower manufacturing costs. In particular, higher efficiencies reduce the area needed for a given power output, thus reducing the downstream balance of systems costs that scale with area such as mounting frames, installation, and soft costs. Cells and modules made from III-V materials have the highest demonstrated efficiencies to date but are not yet at the cost level of other thin film technologies, which has limited their large-scale deployment. There is a need for new materials growth, processing and fabrication techniques to address this major shortcoming of III-V semiconductors. Chapters 2 and 3 explore growth of InP on non-epitaxial Mo substrates by MOCVD and CSS, respectively. The results from these studies demonstrate that InP optoelectronic quality is maintained even by growth on non-epitaxial metal substrates. Structural characterization by SEM and XRD show stoichiometric InP can be grown in complete thin films on Mo. Photoluminescence measurements show peak energies and widths to be similar to those of reference wafers of similar doping concentrations. In chapter 4 the TF-VLS growth technique is introduced and cells fabricated from InP produced by this technique are characterized. The TF-VLS method results in lateral grain sizes of >500 mum and exhibits superior optoelectronic quality. First generation devices using a n-TiO2 window layer along with p-type TF-VLS grown InP have reached ˜12.1% power conversion efficiency under 1 sun illumination with VOC of 692 mV, JSC of 26.9 mA/cm2, and FF of 65%. The cells are fabricated using all non-epitaxial processing. Optical measurements show the InP in these cells have the potential to support a higher VOC of ˜795 mV, which can be achieved by improved device design. Chapter 5 describes a cost analysis of a manufacturing process using an

  16. Molecular beam epitaxy growth of InSb1−xBix thin films

    DEFF Research Database (Denmark)

    Song, Yuxin; Wang, Shumin; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1−xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  17. Epitaxial growth of a monolayer WSe2-MoS2 lateral p-n junction with an atomically sharp interface

    KAUST Repository

    Li, Ming Yang

    2015-07-30

    Two-dimensional transition metal dichalcogenides (TMDCs) such as molybdenum sulfide MoS2 and tungsten sulfide WSe2 have potential applications in electronics because they exhibit high on-off current ratios and distinctive electro-optical properties. Spatially connected TMDC lateral heterojunctions are key components for constructing monolayer p-n rectifying diodes, light-emitting diodes, photovoltaic devices, and bipolar junction transistors. However, such structures are not readily prepared via the layer-stacking techniques, and direct growth favors the thermodynamically preferred TMDC alloys. We report the two-step epitaxial growth of lateral WSe2-MoS2 heterojunction, where the edge of WSe2 induces the epitaxial MoS2 growth despite a large lattice mismatch. The epitaxial growth process offers a controllable method to obtain lateral heterojunction with an atomically sharp interface.

  18. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    Energy Technology Data Exchange (ETDEWEB)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che; Hassan, Haslan Abu; Abdullah, Mat Johar [Nano-Optoelectronics Research and Technology Laboratory School of Physics, Universiti Sains Malaysia, 11800 Penang, Malaysia and Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia); Department of Applied Sciences Universiti Teknologi MARA (UiTM) 13500 Permatang Pauh, Penang (Malaysia)

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign of cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.

  19. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  20. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The innovations proposed here are Ka-band (38 GHz) group III-nitride power FETs and the dislocation density reducing epitaxial growth methods (LPE) needed for their...

  1. Structural, optical, and hydrogenation properties of ZnO nanowall networks grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Su, S.C.; Lu, Y.M.; Zhang, Z.Z.; Li, B.H.; Shen, D.Z.; Yao, B.; Zhang, J.Y.; Zhao, D.X.; Fan, X.W.

    2008-01-01

    ZnO nanowall networks were grown on a Si (1 1 1) substrate by plasma-assisted molecular beam epitaxy (P-MBE) without using catalysts. Scanning electronic microscopy (FE-SEM) confirmed the formation of nanowalls with a thickness of about 10-20 nm. X-ray diffraction (XRD) showed that the ZnO nanowall networks were crystallized in a wurtzite structure with their height parallel to the direction. Photoluminescence (PL) of the ZnO nanowall networks exhibited free excitons (FEs), donor-bound exciton (D 0 X), donor-acceptor pair (DAP), and free exciton to acceptor (FA) emissions. The growth mechanism of the ZnO nanowall networks was discussed, and their hydrogenation was also studied

  2. Abnormal optical behaviour of InAsSb quantum dots grown on GaAs substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Rihani, J.; Ben Sedrine, N.; Sallet, V.; Harmand, J.C.; Oueslati, M.; Chtourou, R.

    2008-01-01

    InAs(Sb) quantum dots (QDs) samples were grown on GaAs (001) substrate by Molecular Beam Epitaxy (MBE). The structural characterization by Atomic Force Microscopy (AFM) of samples shows that InAsSb islands size increases strongly with antimony incorporation in InAs/GaAs QDs and decreases with reducing the growth temperature from 520 deg. C to 490 deg. C. Abnormal optical behaviour was observed in room temperature (RT) photoluminescence (PL) spectra of samples grown at high temperature (520 deg. C). Temperature dependent PL study was investigated and reveals an anomalous evolution of emission peak energy (EPE) of InAsSb islands, well-known as 'S-inverted curve' and attributed to the release of confined carriers from the InAsSb QDs ground states to the InAsSb wetting layer (WL) states. With only decreasing the growth temperature, the S-inverted shape was suppressed indicating a fulfilled 3D-confinement of carriers in the InAsSb/GaAs QD sample

  3. Transverse combining of four beams in MBE-4

    International Nuclear Information System (INIS)

    Celata, C.M.; Chupp, W.; Faltens, A.; Fawley, W.M.; Ghiorso, W.; Hahn, K.D.; Henestroza, E.; Judd, D.; Peters, C.; Seidl, P.A.

    1996-01-01

    Transverse beam combining is a cost-saving option employed in many designs for induction linac heavy ion fusion drivers. The resultant transverse emittance increase, due predominantly to anharmonic space charge forces, must be kept minimal so that the beam remains focusable at the target. A prototype combining experiment has been built using the MBE-4 experimental apparatus. Four new sources produce up to 6.7 mA Cs + beams at 200 keV. The ion sources are angled toward each other so that the beams converge. Focusing upstream of the merge consists of four quadrupoles and a final combined-function element (quadrupole and dipole). All lattice elements are electrostatic. Owing to the small distance between beams at the last element (about 3-4 mm), the electrodes here are a cage of small rods, each at different voltage. The beams emerge into the 30-period transport lattice of MBE-4 where emittance growth due to merging, as well as the subsequent evolution of the distribution function, can be diagnosed. The combiner design, simulation predictions and preliminary results from the experiment are presented. (orig.)

  4. Transverse combining of 4 beams in MBE-4

    International Nuclear Information System (INIS)

    Celata, C.M.; Chupp, W.; Faltens, A.; Fawley, W.M.; Ghiorso, W.; Hahn, K.D.; Henestroza, E.; Peters, C.; Seidl, P.

    1995-05-01

    Transverse beam combining is a cost-saving optio employed in many designs for induction linac heavy ion fusion drivers. But resultant transverse emittance increase, due predominantly to anharmonic space charoe forces, must be kept minimal so as not to sacrifice focusability at the target. A prototype combining experiment has been built, using the MBE-4 experiment. Four sources produce four 4 mA Cs + beams at 200 keV. The ion sources are angled toward each other, so that beams converge. Focusing upstream of the merge consists of 4 quadrupoles and a final combined-function element (quadrupole ampersand dipole). All lattice elements are electrostatic. Due to the small distance between beams at the last element (∼ 2 mm), the electrodes here are a cage of small wires, each at different voltage. The beams emerge into the 30 period transport lattice of MBE-4 where emittance growth due to merging, as well as the subsequent evolution of the distribution function, can be diagnosed. The combiner design, simulation predictions, and preliminary results from the experiment are presented

  5. Formation and properties of epitaxial CdSe, ZnSe quantum dots. Conventional molecular beam epitaxy and related techniques

    International Nuclear Information System (INIS)

    Mahapatra, Suddhasatta

    2008-01-01

    This thesis systematically investigates three such alternative approaches, along with conventional MBE, with emphasis on the formation-mechanism of QDs, and optimization of their morphological and optical attributes. it is shown here that no distinct 3D islands are formed in MBE growth of CdSe on ZnSe. While CdSe heteroepitaxy occurs in the multilayer-mode at T G =300 C, a reentrant recovery of the layer-by-layer mode is reported in this thesis, for growth at T G D =230 C). The process steps of the third variant technique, developed in course of this work, are very similar to those of the previous one-the only alteration being the substitution of selenium with tellurium as the cap-forming-material. (orig.)

  6. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  7. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  8. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  9. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  10. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  11. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxyGrowth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  12. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxyGrowth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  13. Epitaxial growth of zinc on ferritic steel under high current density electroplating conditions

    International Nuclear Information System (INIS)

    Greul, Thomas; Comenda, Christian; Preis, Karl; Gerdenitsch, Johann; Sagl, Raffaela; Hassel, Achim Walter

    2013-01-01

    Highlights: •EBSD of electroplated Zn on Fe or steel was performed. •Zn grows epitaxially on electropolished ferritic steel following Burger's orientation relation. •Surface deformation of steel leads to multiple electroplated zinc grains with random orientation. •Zn grows epitaxially even on industrial surfaces with little surface deformation. •Multiple zinc grains on one steel grain can show identical orientation relations. -- Abstract: The dependence of the crystal orientation of electrodeposited zinc of the grain orientation on ferritic steel substrate at high current density deposition (400 mA cm −2 ) during a pulse-plating process was investigated by means of EBSD (electron backscatter diffraction) measurements. EBSD-mappings of surface and cross-sections were performed on samples with different surface preparations. Furthermore an industrial sample was investigated to compare lab-coated samples with the industrial process. The epitaxial growth of zinc is mainly dependent on the condition of the steel grains. Deformation of steel grains leads to random orientation while zinc grows epitaxially on non-deformed steel grains even on industrial surfaces

  14. Substrate Misorientation Effects On (A1,Ga)As And (Al,Ga)As/GaAs Structures Grown By Molecular Beam Epitaxy

    Science.gov (United States)

    Tsui, Raymond K.; Kramer, Gary D.; Curless, J. A.; Peffley, Marilyn S.

    1987-04-01

    (Al,Ga)As layers have rough surface morphologies when deposited under certain growth conditions in molecular beam epitaxy (MBE). This leads to poor interfaces between (A1,Ga)- As and GaAs and degraded performance in heterojunction devices. We have observed that by misorienting the substrate slightly from (100), in a manner specific to the growth conditions, smooth (Al,Ga)As layers 3-4 μm thick can be grown at a rate of ≍ 1 μm/h for various AlAs mole fractions, x. Similar conditions for nominal (100) result in a rough, textured morphology. Experiments were carried out using flat substrates of specific misorientations as well as lens-shaped substrates. The lenticular substrates allowed all orientations within 14° of (100) [i.e., out to (511)] to be evaluated in one growth run. Deposition conditions that were varied included x, substrate temperature, and V/III beam flux ratio. Smooth layers obtained using optimal misorientations showed superior optical characteris-tics as determined from low-temperature photoluminescence (PL) measurements. The 4.2K PL spectra of smooth layers exhibit well-resolved exciton-related peaks, and do not have the deeper-level defect-related peaks observed in the spectra of rough layers. Single quantum well structures with A10.3Ga0.7As barriers and a 100 A-wide GaAs well deposited on mis-oriented substrates also have superior optical properties compared to a structure grown on nominal (100). Such findings may have significant implications for the performance of heterojunction device structures grown by MBE.

  15. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  16. Polarized Emission from Conjugated Polymer Chains Aligned by Epitaxial Growth during Off-Center Spin-Coating

    Directory of Open Access Journals (Sweden)

    Takuya Anzai

    2017-01-01

    Full Text Available Due to their macromolecular nature, conjugated polymers can be relatively easily aligned by applying a variety of processes resulting in either elongation or ordering of their conjugated backbones. Processes that induce chain alignment include electrospinning, mechanical rubbing, epitaxial growth, and nanoconfinement and unidirectional deposition techniques such as off-center spin-coating. In this study, we compare these deposition techniques by applying them to a green-emitting conjugated polymer material that exhibits liquid crystalline phase behavior. Our study reveals that while methods such as electrospinning and mechanical rubbing can be useful to locally generate polymer chain alignment, the combination of epitaxial growth using 1,3,5-trichlorobenzene as crystallizing agent with off-center spin-coating results in the formation of anisotropic nanofiber-like structures with enhanced crystallinity degree and polarized light-emission properties. The unidirectional epitaxial growth was also applied to a red-emitting polymer that exhibits polarization ratios up to 4.1. Our results emphasize that this simple solution formulation and process can be used for the fabrication of polarized thin films of a variety of conjugated polymers with potential applications in the advanced display technologies or analytical equipment fields.

  17. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  18. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  19. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  20. Growth mechanisms of plasma-assisted molecular beam epitaxy of green emission InGaN/GaN single quantum wells at high growth temperatures

    International Nuclear Information System (INIS)

    Yang, W. C.; Wu, C. H.; Tseng, Y. T.; Chiu, S. Y.; Cheng, K. Y.

    2015-01-01

    The results of the growth of thin (∼3 nm) InGaN/GaN single quantum wells (SQWs) with emission wavelengths in the green region by plasma-assisted molecular beam epitaxy are present. An improved two-step growth method using a high growth temperature up to 650 °C is developed to increase the In content of the InGaN SQW to 30% while maintaining a strong luminescence intensity near a wavelength of 506 nm. The indium composition in InGaN/GaN SQW grown under group-III-rich condition increases with increasing growth temperature following the growth model of liquid phase epitaxy. Further increase in the growth temperature to 670 °C does not improve the photoluminescence property of the material due to rapid loss of indium from the surface and, under certain growth conditions, the onset of phase separation

  1. A step-by-step experiment of 3C-SiC hetero-epitaxial growth on 4H-SiC by CVD

    Energy Technology Data Exchange (ETDEWEB)

    Xin, Bin [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Jia, Ren-Xu, E-mail: rxjia@mail.xidian.edu.cn [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Hu, Ji-Chao [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China); Tsai, Cheng-Ying [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Lin, Hao-Hsiung, E-mail: hhlin@ntu.edu.tw [Graduate Institute of Electronics Engineering, National Taiwan University, 10617 Taipei, Taiwan (China); Graduate Institute of Photonics and Optoelectronics, National Taiwan University, 10617 Taipei, Taiwan (China); Zhang, Yu-Ming [School of Microelectronics, Xidian University, Key Laboratory of Wide Band-Gap Semiconductor Materials and Devices, Xi’an 710071 (China)

    2015-12-01

    Highlights: • A step-by-step experiment to investigate the growth mechanism of SiC hetero-epitaxial is proposed. • It has shown protrusive regular “hill” morphology with much lower density of DPB defect in our experiment, which normally were in high density with shallow groove. Based on the defect morphology, an anisotropy migration rate phenomenon of adatoms has been regarded as forming the morphology of DPB defects and a new “DPB defects assist epitaxy” growth mode has been proposed based on Frank-van der Merwe growth mode. - Abstract: To investigate the growth mechanism of hetero-epitaxial SiC, a step-by-step experiment of 3C-SiC epitaxial layers grown on 4H-SiC on-axis substrates by the CVD method are reported in this paper. Four step experiments with four one-quarter 4H-SiC wafers were performed. Optical microscopy and atomic force microscopy (AFM) were used to characterize the morphology of the epitaxial layers. It was previously found that the main factor affecting the epilayer morphology was double-positioning boundary (DPB) defects, which normally were in high density with shallow grooves. However, a protrusive regular “hill” morphology with a much lower density was shown in our experiment in high-temperature growth conditions. The anisotropic migration of adatoms is regarded as forming the morphology of DPB defects, and a new “DPB defects assist epitaxy” growth mode has been proposed based on the Frank-van der Merwe growth mode. Raman spectroscopy and X-ray diffraction were used to examine the polytypes and the quality of the epitaxial layers.

  2. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee

    2014-03-08

    We report on the properties and growth kinetics of defect-free, photoluminescence (PL) efficient mushroom-like nanowires (MNWs) in the form of ~30nm thick hexagonal-shaped InGaN-nanodisk on GaN nanowires, coexisting with the conventional rod-like InGaN-on-GaN nanowires (RNWs) on (111)-silicon-substrate. When characterized using confocal microscopy (CFM) with 458nm laser excitation, while measuring spontaneous-emission at fixed detection wavelengths, the spatial intensity map evolved from having uniform pixelated emission, to having only an emission ring, and then a round emission spot. This corresponds to the PL emission with increasing indium composition; starting from emission mainly from the RNW, and then the 540 nm emission from one MNWs ensemble, followed by the 590 nm emission from a different MNW ensemble, respectively. These hexagonal-shaped InGaN-nano-disks ensembles were obtained during molecular-beam-epitaxy (MBE) growth. On the other hand, the regular rod-like InGaN-on-GaN nanowires (RNWs) were emitting at a shorter peak wavelength of 490 nm. While the formation of InGaN rod-like nanowire is well-understood, the formation of the hexagonal-shaped InGaN-nanodisk-on-GaN-nanowire requires further investigation. It was postulated to arise from the highly sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further accumulated more indium adatoms due to a higher cohesive bond between metallic molecules. © (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  3. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  4. Direct growth of superconducting NdFeAs(O,F) thin films by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Chihara, Masashi, E-mail: chihara@iku.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Nagoya University, Chikusa-ku, Nagoya 464-8603 (Japan); Sumiya, Naoki; Arai, Kenta [Department of Crystalline Materials Science, Nagoya University, Chikusa-ku, Nagoya 464-8603 (Japan); Ichinose, Ataru; Tsukada, Ichiro [Central Research Institute of Electric Power Industry, Yokosuka-shi, Kanagawa 240-0101 (Japan); Hatano, Takafumi; Iida, Kazumasa; Ikuta, Hiroshi [Department of Crystalline Materials Science, Nagoya University, Chikusa-ku, Nagoya 464-8603 (Japan)

    2015-11-15

    Highlights: • Highly textured NdFeAs(O,F) thin films were obtained by a direct growth method. • Enhancing the migration was crucial to realize the direct growth. • The critical current density exceeded 3 MA/cm{sup 2} at self-field and 1 MA/cm{sup 2} at 9 T. • A two-dimensional growth was confirmed by the observation of surface morphology. - Abstract: We report on the growth of NdFeAs(O,F) superconducting thin films by molecular beam epitaxy without having a NdOF secondary layer that was necessary for fluorine doping in our previous studies. The key to realizing the direct growth of a superconducting film was the enhancement of migration of the raw materials on the substrate, which was accomplished by two steps. Firstly, we increased the growth temperature that improved the crystalline quality of parent NdFeAsO thin films. Secondly, the atmosphere in the chamber during the growth was improved by changing the crucible material of the Fe source cell. Highly textured NdFeAs(O,F) thin films with critical temperatures up to 50 K were obtained, and terraces were observed by atomic force microscope, indicating a two-dimensional growth. However, precipitates were also found on the surface, which suggests that enhancing further the migration is necessary for obtaining a NdFeAs(O,F) thin film with a better quality.

  5. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Growth of InN on 6H-SiC by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Brown, April S.; Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Morse, Michael [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    We have investigated the growth of InN films by plasma assisted molecular beam epitaxy on the Si-face of 6H-SiC(0001). Growth is performed under In-rich conditions using a two-step process consisting of the deposition of a thin, low-temperature 350 C InN buffer layer, followed by the subsequent deposition of the InN epitaxial layer at 450 C. The effect of buffer annealing is investigated. The structural and optical evolution of the growing layer has been monitored in real time using RHEED and spectroscopic ellipsometry. Structural, morphological, electrical and optic properties are discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  8. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Science.gov (United States)

    Ghosh, Aheli; Clavel, Michael B.; Nguyen, Peter D.; Meeker, Michael A.; Khodaparast, Giti A.; Bodnar, Robert J.; Hudait, Mantu K.

    2017-09-01

    The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ˜0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  9. Molecular dynamics growth modeling of InAs1-xSbx-based type-II superlattice

    Science.gov (United States)

    Ciani, Anthony J.; Grein, Christoph H.; Irick, Barry; Miao, Maosheng; Kioussis, Nicholas

    2017-09-01

    Type-II strained-layer superlattices (T2SL) based on InAs1-xSbx are a promising photovoltaic detector material technology for thermal imaging; however, Shockley-Read-Hall recombination and generation rates are still too high for thermal imagers based on InAs1-xSbx T2SL to reach their ideal performance. Molecular dynamics simulations using the Stillinger-Weber (SW) empirical potentials are a useful tool to study the growth of tetrahedral coordinated crystals and the nonequilibrium formation of defects within them, including the long-range effects of strain. SW potentials for the possible atomic interactions among {Ga, In, As, Sb} were developed by fitting to ab initio calculations of elastically distorted zinc blende and diamond unit cells. The SW potentials were tested against experimental observations of molecular beam epitaxial (MBE) growth and then used to simulate the MBE growth of InAs/InAs0.5Sb0.5 T2SL on GaSb substrates over a range of processes parameters. The simulations showed and helped to explain Sb cross-incorporation into the InAs T2SL layers, Sb segregation within the InAsSb layers, and identified medium-range defect clusters involving interstitials and their induction of interstitial-vacancy pairs. Defect formation was also found to be affected by growth temperature and flux stoichiometry.

  10. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  11. Morphology and grain structure evolution during epitaxial growth of Ag films on native-oxide-covered Si surface

    International Nuclear Information System (INIS)

    Hur, Tae-Bong; Kim, Hong Koo; Perello, David; Yun, Minhee; Kulovits, Andreas; Wiezorek, Joerg

    2008-01-01

    Epitaxial nanocrystalline Ag films were grown on initially native-oxide-covered Si(001) substrates using radio-frequency magnetron sputtering. Mechanisms of grain growth and morphology evolution were investigated. An epitaxially oriented Ag layer (∼5 nm thick) formed on the oxide-desorbed Si surface during the initial growth phase. After a period of growth instability, characterized as kinetic roughening, grain growth stagnation, and increase of step-edge density, a layer of nanocrystalline Ag grains with a uniform size distribution appeared on the quasi-two-dimensional layer. This hierarchical process of film formation is attributed to the dynamic interplay between incoming energetic Ag particles and native oxide. The cyclic interaction (desorption and migration) of the oxide with the growing Ag film is found to play a crucial role in the characteristic evolution of grain growth and morphology change involving an interval of grain growth stagnation

  12. Growth of M-plane (10-10)InN on LiAlO2(100) substrate

    International Nuclear Information System (INIS)

    Takagi, Yusuke; Muto, Daisuke; Araki, Tsutomu; Nanishi, Yasushi; Yamaguchi, Tomohiro

    2009-01-01

    In this study, we report the growth and characterization of M-plane InN films on LiAlO 2 (100) substrates by radio-frequency plasma assisted molecular beam epitaxy (RF-MBE). InN films were grown at various temperatures and under various V/III ratios on the substrates. Pure M -plane InN films were successfully grown at a high temperature of 450 C and under a slightly In-rich condition, while the incorporation of C-plane phase was observed in M -plane InN films grown at low temperatures of less than 400 C or under a N-rich condition. These indicate that controls of growth temperature and V/III ratio are important for the growth of pure M-plane InN films. The in-plane epitaxial relationships of M -plane InN on LiAlO 2 (100) were[0001] InN //[010] LiAlO 2 and[1-210] InN //[001] LiAlO 2 . A surface electron accumulation layer on the obtained M-plane InN film is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Selective epitaxial growth of monolithically integrated GaN-based light emitting diodes with AlGaN/GaN driving transistors

    International Nuclear Information System (INIS)

    Liu, Zhaojun; Ma, Jun; Huang, Tongde; Liu, Chao; May Lau, Kei

    2014-01-01

    In this Letter, we report selective epitaxial growth of monolithically integrated GaN-based light emitting diodes (LEDs) with AlGaN/GaN high-electron-mobility transistor (HEMT) drivers. A comparison of two integration schemes, selective epitaxial removal (SER), and selective epitaxial growth (SEG) was made. We found the SER resulted in serious degradation of the underlying LEDs in a HEMT-on-LED structure due to damage of the p-GaN surface. The problem was circumvented using the SEG that avoided plasma etching and minimized device degradation. The integrated HEMT-LEDs by SEG exhibited comparable characteristics as unintegrated devices and emitted modulated blue light by gate biasing

  14. Gallium Phosphide Integrated with Silicon Heterojunction Solar Cells

    Science.gov (United States)

    Zhang, Chaomin

    It has been a long-standing goal to epitaxially integrate III-V alloys with Si substrates which can enable low-cost microelectronic and optoelectronic systems. Among the III-V alloys, gallium phosphide (GaP) is a strong candidate, especially for solar cells applications. Gallium phosphide with small lattice mismatch ( 0.4%) to Si enables coherent/pseudomorphic epitaxial growth with little crystalline defect creation. The band offset between Si and GaP suggests that GaP can function as an electron-selective contact, and it has been theoretically shown that GaP/Si integrated solar cells have the potential to overcome the limitations of common a-Si based heterojunction (SHJ) solar cells. Despite the promising potential of GaP/Si heterojunction solar cells, there are two main obstacles to realize high performance photovoltaic devices from this structure. First, the growth of the polar material (GaP) on the non-polar material (Si) is a challenge in how to suppress the formation of structural defects, such as anti-phase domains (APD). Further, it is widely observed that the minority-carrier lifetime of the Si substrates is significantly decreased during epitaxially growth of GaP on Si. In this dissertation, two different GaP growth methods were compared and analyzed, including migration-enhanced epitaxy (MEE) and traditional molecular beam epitaxy (MBE). High quality GaP can be realized on precisely oriented (001) Si substrates by MBE growth, and the investigation of structural defect creation in the GaP/Si epitaxial structures was conducted using high resolution X-ray diffraction (HRXRD) and high resolution transmission electron microscopy (HRTEM). The mechanisms responsible for lifetime degradation were further investigated, and it was found that external fast diffusors are the origin for the degradation. Two practical approaches including the use of both a SiNx diffusion barrier layer and P-diffused layers, to suppress the Si minority-carrier lifetime degradation

  15. Nanostructure formation during relatively high temperature growth of Mn-doped GaAs by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Del Río-De Santiago, A.; Méndez-García, V.H. [CIACyT-UASLP, Sierra Leona Av. # 550, Lomas 2a Secc, San Luis Potosí, S.L.P. 78210, México (Mexico); Martínez-Velis, I.; Casallas-Moreno, Y.L. [Physics Department, CINVESTAV-IPN, Apdo. Postal 14470 D. F. México, México (Mexico); López-Luna, E. [CIACyT-UASLP, Sierra Leona Av. # 550, Lomas 2a Secc, San Luis Potosí, S.L.P. 78210, México (Mexico); Yu Gorbatchev, A. [IICO-UASLP, Av. Karakorum 1470, Lomas 4a. Sección, San Luis Potosí, S.L.P. 78210, México (Mexico); López-López, M. [Physics Department, CINVESTAV-IPN, Apdo. Postal 14470 D. F. México, México (Mexico); Cruz-Hernández, E., E-mail: esteban.cruz@uaslp.mx [CIACyT-UASLP, Sierra Leona Av. # 550, Lomas 2a Secc, San Luis Potosí, S.L.P. 78210, México (Mexico)

    2015-04-01

    Highlights: • The formation of different kind of nanostructures in GaMnAs layers depending on Mn concentration at relative HT-MBE is reported. In this Mn% range, it is found the formation of nanogrooves, nanoleaves, and nanowires. • It is shown the progressive photoluminescence transitions from purely GaAsMn zinc blende (for Mn% = 0.01) to a mixture of zinc blende and wurtzite GaAsMn (for Mn% = 0.2). • A critical thickness for the Mn catalyst effect was determined by RHEED. - Abstract: In the present work, we report on molecular beam epitaxy growth of Mn-doped GaAs films at the relatively high temperature (HT) of 530 °C. We found that by increasing the Mn atomic percent, Mn%, from 0.01 to 0.2, the surface morphology of the samples is strongly influenced and changes from planar to corrugated for Mn% values from 0.01 to 0.05, corresponding to nanostructures on the surface with dimensions of 200–300 nm and with the shape of leave, to nanowire-like structures for Mn% values above 0.05. From reflection high-energy electron diffraction patterns, we observed the growth mode transition from two- to three-dimensional occurring at a Mn% exceeding 0.05. The optical and electrical properties were obtained from photoluminescence (PL) and Hall effect measurements, respectively. For the higher Mn concentration, besides the Mn related transitions at approximately 1.41 eV, PL spectra sharp peaks are present between 1.43 and 1.49 eV, which we related to the coexistence of zinc blende and wurtzite phases in the nanowire-like structures of this sample. At Mn% of 0.04, an increase of the carrier mobility up to a value of 1.1 × 10{sup 3} cm{sup 2}/Vs at 77 K was found, then decreases as Mn% is further increased due to the strengthening of the ionized impurity scattering.

  16. New phenomena in epitaxial growth: solid films on quasicrystalline substrates

    International Nuclear Information System (INIS)

    Fournee, V; Thiel, P A

    2005-01-01

    An overview is given of the research conducted in the field of solid film growth on quasiperiodic surfaces. An atomistic description of quasicrystalline surfaces is presented and discussed in relation to bulk structural models. The various systems for which thin film growth has been attempted so far are reviewed. Emphasis is placed on the nucleation mechanisms of the solid films, on their growth modes in relation to the nature of the deposited metals, on the possibility of intermixing or alloying at the interface and on the epitaxial relationships at the crystal-quasicrystal interfaces. We also describe situations where the deposited elements adopt a quasiperiodic structure, which opens up the possibility of extending our understanding of the relation between quasiperiodicity and the physical properties of such structurally and chemically complex solids. (topical review)

  17. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  18. Growth Interruption Effect on the Fabrication of GaAs Concentric Multiple Rings by Droplet Epitaxy

    Directory of Open Access Journals (Sweden)

    Fedorov A

    2010-01-01

    Full Text Available Abstract We present the molecular beam epitaxy fabrication and optical properties of complex GaAs nanostructures by droplet epitaxy: concentric triple quantum rings. A significant difference was found between the volumes of the original droplets and the final GaAs structures. By means of atomic force microscopy and photoluminescence spectroscopy, we found that a thin GaAs quantum well-like layer is developed all over the substrate during the growth interruption times, caused by the migration of Ga in a low As background.

  19. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  20. The Study of Al0.29Ga0.71N-BASED Schottky Photodiodes Grown on Silicon by Plasma-Assisted Molecular Beam Epitaxy

    Science.gov (United States)

    Mohd Yusoff, M. Z.; Hassan, Z.; Chin, C. W.; Hassan, H. Abu; Abdullah, M. J.; Mohammad, N. N.; Ahmad, M. A.; Yusof, Y.

    2013-05-01

    In this paper, the growth and characterization of epitaxial Al0.29Ga0.71N grown on Si(111) by RF-plasma assisted molecular beam epitaxy (MBE) are described. The Al mole fraction was derived from the HR-XRD symmetric rocking curve (RC) ω/2θ scans of (0002) plane as x = 0.29. PL spectrum of sample has shown sharp and intense band edge emission of GaN without the existence of yellow emission band, showing that it is comparable in crystal quality of the sample when compared with previous reports. From the Raman measurement of as-grown Al0.29Ga0.71N layer on GaN/AlN/Si sample. We found that the dominant E2 (high) phonon mode of GaN appears at 572.7 cm-1. The E2 (high) mode of AlN appears at 656.7 cm-1 and deviates from the standard value of 655 cm-1 for unstrained AlN. Finally, AlGaN Schottky photodiode have been fabricated and analyzed by mean of electrical characterization, using current-voltage (I-V) measurement to evaluate the performance of this device.

  1. Epitaxial growth of GaN/AlN/InAlN heterostructures for HEMTs in horizontal MOCVD reactors with different designs

    Energy Technology Data Exchange (ETDEWEB)

    Tsatsulnikov, A. F., E-mail: andrew@beam.ioffe.ru; Lundin, W. V.; Sakharov, A. V.; Zavarin, E. E.; Usov, S. O.; Nikolaev, A. E.; Yagovkina, M. A.; Ustinov, V. M. [Russian Academy of Sciences, Ioffe Physical–Technical Institute (Russian Federation); Cherkashin, N. A. [CEMES–CNRS—Université de Toulouse (France)

    2016-09-15

    The epitaxial growth of InAlN layers and GaN/AlN/InAlN heterostructures for HEMTs in growth systems with horizontal reactors of the sizes 1 × 2', 3 × 2', and 6 × 2' is investigated. Studies of the structural properties of the grown InAlN layers and electrophysical parameters of the GaN/AlN/InAlN heterostructures show that the optimal quality of epitaxial growth is attained upon a compromise between the growth conditions for InGaN and AlGaN. A comparison of the epitaxial growth in different reactors shows that optimal conditions are realized in small-scale reactors which make possible the suppression of parasitic reactions in the gas phase. In addition, the size of the reactor should be sufficient to provide highly homogeneous heterostructure parameters over area for the subsequent fabrication of devices. The optimal compositions and thicknesses of the InAlN layer for attaining the highest conductance in GaN/AlN/InAlN transistor heterostructures.

  2. Numerical approximations for the molecular beam epitaxial growth model based on the invariant energy quadratization method

    Energy Technology Data Exchange (ETDEWEB)

    Yang, Xiaofeng, E-mail: xfyang@math.sc.edu [Department of Mathematics, University of South Carolina, Columbia, SC 29208 (United States); Zhao, Jia, E-mail: zhao62@math.sc.edu [Department of Mathematics, University of South Carolina, Columbia, SC 29208 (United States); Department of Mathematics, University of North Carolina at Chapel Hill, Chapel Hill, NC 27599 (United States); Wang, Qi, E-mail: qwang@math.sc.edu [Department of Mathematics, University of South Carolina, Columbia, SC 29208 (United States); Beijing Computational Science Research Center, Beijing (China); School of Materials Science and Engineering, Nankai University, Tianjin (China)

    2017-03-15

    The Molecular Beam Epitaxial model is derived from the variation of a free energy, that consists of either a fourth order Ginzburg–Landau double well potential or a nonlinear logarithmic potential in terms of the gradient of a height function. One challenge in solving the MBE model numerically is how to develop proper temporal discretization for the nonlinear terms in order to preserve energy stability at the time-discrete level. In this paper, we resolve this issue by developing a first and second order time-stepping scheme based on the “Invariant Energy Quadratization” (IEQ) method. The novelty is that all nonlinear terms are treated semi-explicitly, and the resulted semi-discrete equations form a linear system at each time step. Moreover, the linear operator is symmetric positive definite and thus can be solved efficiently. We then prove that all proposed schemes are unconditionally energy stable. The semi-discrete schemes are further discretized in space using finite difference methods and implemented on GPUs for high-performance computing. Various 2D and 3D numerical examples are presented to demonstrate stability and accuracy of the proposed schemes.

  3. Epitaxial growth of Cu on Cu(001): Experiments and simulations

    International Nuclear Information System (INIS)

    Furman, Itay; Biham, Ofer; Zuo, Jiang-Kai; Swan, Anna K.; Wendelken, John

    2000-01-01

    A quantitative comparison between experimental and Monte Carlo simulation results for the epitaxial growth of Cu/Cu(001) in the submonolayer regime is presented. The simulations take into account a complete set of hopping processes whose activation energies are derived from semiempirical calculations using the embedded-atom method. The island separation is measured as a function of the incoming flux and the temperature. A good quantitative agreement between the experiment and simulation is found for the island separation, the activation energies for the dominant processes, and the exponents that characterize the growth. The simulation results are then analyzed at lower coverages, which are not accessible experimentally, providing good agreement with theoretical predictions as well

  4. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  5. Modelling of epitaxial film growth with an Ehrlich-Schwoebel barrier dependent on the step height

    International Nuclear Information System (INIS)

    Leal, F F; Ferreira, S C; Ferreira, S O

    2011-01-01

    The formation of mounded surfaces in epitaxial growth is attributed to the presence of barriers against interlayer diffusion in the terrace edges, known as Ehrlich-Schwoebel (ES) barriers. We investigate a model for epitaxial growth using an ES barrier explicitly dependent on the step height. Our model has an intrinsic topological step barrier even in the absence of an explicit ES barrier. We show that mounded morphologies can be obtained even for a small barrier while a self-affine growth, consistent with the Villain-Lai-Das Sarma equation, is observed in the absence of an explicit step barrier. The mounded surfaces are described by a super-roughness dynamical scaling characterized by locally smooth (facetted) surfaces and a global roughness exponent α > 1. The thin film limit is featured by surfaces with self-assembled three-dimensional structures having an aspect ratio (height/width) that may increase or decrease with temperature depending on the strength of the step barrier. (fast track communication)

  6. Superconducting proximity effect in MBE grown Nb-InAs junctions

    Science.gov (United States)

    Kan, Carolyn; Xue, Chi; Law, Stephanie; Eckstein, James

    2013-03-01

    Several proposals for the realization of Majorana fermions rely on excellent quality proximity coupling between a superconductor and a high-mobility semiconductor. We examine the long-range proximity coupling between MBE-grown InAs and in situ grown superconducting overlayers by fabricating transport devices, and investigate the effect of substrate choice and growth conditions on the quality of the MBE InAs. GaAs is commonly available as a high quality insulating substrate. Overcoming its lattice mismatch with InAs using GaSb and AlSb layers results in locally smooth terraced surfaces, but global spiral dislocation structures also appear and have a negative impact on the InAs mobility. Growing InAs on homoepitaxial GaSb results in improved morphology and increases the mean free path. We compare the proximity effect in devices made both ways. This material is based upon work supported by the U.S. Department of Energy, Division of Materials Sciences under Award No. DE-FG02 07ER46453, through the Frederick Seitz Materials Research Laboratory at the University of Illinois at Urbana-Champaign.

  7. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  8. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  9. Molecular-beam epitaxy growth and characterization of 5-μm quantum cascade laser

    International Nuclear Information System (INIS)

    Mamutin, V V; Ustinov, V M; Ilyinskaya, N D; Baydakova, M V; Ber, B Ya; Kasantsev, D Yu

    2011-01-01

    Molecular-beam epitaxy growth of 5 μm emitting strain-compensated quantum semiconductor laser (QCL) is reported. The QCL structure is characterized by complementary techniques: high-resolution X-ray diffraction and dynamical secondary-ion mass-spectrometry, that reveal the high quality of QCL structure and in-depth distribution of chemical composition, respectively.

  10. In situ monitoring of the surface reconstructions on InP(001) prepared by molecular beam epitaxy

    Science.gov (United States)

    Ozanyan, K. B.; Parbrook, P. J.; Hopkinson, M.; Whitehouse, C. R.; Sobiesierski, Z.; Westwood, D. I.

    1997-07-01

    Reflection anisotropy spectroscopy (RAS) and reflection high-energy electron diffraction (RHEED) were applied to study clean InP(001) surfaces prepared by molecular beam epitaxy (MBE). At phosphorus beam equivalent pressures (BEPs) between 3.5×10-7 and 3.5×10-6 mbar and substrate temperature (Ts) falling from 590 to 150 °C, (2×4), (2×1), (2×2), and c(4×4) RHEED patterns are observed. The main RAS features, observed at 1.7-1.9 and 2.6-2.9 eV are assigned to In and P dimers, respectively. The above reconstruction sequence is associated closely with transformations identified in RAS signatures that are induced by progressively increasing the P surface coverage. The RAS results also imply the existence of (2×4)α and (2×4)β phases. A surface-phase diagram for MBE-grown (001) InP, in the whole range of Ts and phosphorus BEPs is proposed.

  11. The Growth of GaN on Si by the Beam Flux Modulation

    International Nuclear Information System (INIS)

    Roh, C. H.; Ha, M. W.; Song, H. J.; Choi, H. G.; Lee, J. H.; Ra, Y. W.; Hahn, C. K.

    2011-01-01

    AlGaN/GaN HEMT structure was grown on Si (111) substrate by plasma-assisted molecular beam epitaxy (PA-MBE) using a beam flux modulation methods. In this result, it was verified that the propagation of treading dislocation (TD) due to N-rich GaN layer was effectively suppressed.

  12. Far-infrared phonon spectroscopy of Pb1-xMn xTe layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Romcevic, N.; Nadolny, A.J.; Romcevic, M.; Story, T.; Taliashvili, B.; Milutinovic, A.; Trajic, J.; Lusakowska, E.; Vasiljevic-Radovic, D.; Domukhovski, V.; Osinniy, V.; Hadzic, B.; Dziawa, P.

    2007-01-01

    In this paper we used far-infrared spectroscopy, reflection high energy electron diffraction (RHEED), X-ray diffraction and atomic force microscopy (AFM) to investigate structural and optical properties of Pb 1-x Mn x Te layers grown by molecular beam epitaxy (MBE). A numerical model for calculating the reflectivity coefficient for complex systems which include films, buffer layer and substrate has been applied. The infrared reflectivity spectra consist of Pb 1-x Mn x Te phonons, which exhibit intermediate one-two mode behavior, and MnTe phonons. A good agreement between calculated and experimental spectra is achieved. We registered the local distribution of Mn impurities depending on substrate type. For films growth on BaF 2 substrate we registered the orthorhombic local structure of MnTe clusters, while in the case of KCl substrate this structure is cubic. The Pb 1-x Mn x Te long wavelength optical phonons were described by the modified Genzel's model

  13. Molecular-beam epitaxial growth and characterization of quaternary III-nitride compounds

    International Nuclear Information System (INIS)

    Monroy, E.; Gogneau, N.; Enjalbert, F.; Fossard, F.; Jalabert, D.; Bellet-Amalric, E.; Dang, Le Si; Daudin, B.

    2003-01-01

    We report on the controlled growth and characterization of quaternary AlGaInN compounds by plasma-assisted molecular beam epitaxy. Two-dimensional growth is achieved with a monolayer of In segregating at the growth front. In incorporation is hindered by increasing growth temperature and Al mole fraction, which is explained by the lower binding energy of InN compared to GaN and AlN. The mosaicity of the layers is determined by the substrate quality, whereas the alloy disorder increases with the Al content, independent of the In mole fraction. Room temperature photoluminescence is dominated by a narrow band-edge emission, whose Stokes shift and activation energy increase with the In content. This behavior is interpreted in terms of carrier localization in self-formed alloy inhomogeneities. An In-related band bowing parameter of 2.5 eV has been estimated

  14. Role of defects in the process of graphene growth on hexagonal boron nitride from atomic carbon

    Energy Technology Data Exchange (ETDEWEB)

    Dabrowski, J., E-mail: Dabrowski@ihp-microelectronics.com; Lippert, G.; Schroeder, T.; Lupina, G. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany)

    2014-11-10

    Hexagonal boron nitride (h-BN) is an attractive substrate for graphene, as the interaction between these materials is weak enough for high carrier mobility to be retained in graphene but strong enough to allow for some epitaxial relationship. We deposited graphene on exfoliated h-BN by molecular beam epitaxy (MBE), we analyzed the atomistic details of the process by ab initio density functional theory (DFT), and we linked the DFT and MBE results by random walk theory. Graphene appears to nucleate around defects in virgin h-BN. The DFT analysis reveals that sticking of carbon to perfect h-BN is strongly reduced by desorption, so that pre-existing seeds are needed for the nucleation. The dominant nucleation seeds are C{sub N}C{sub B} and O{sub N}C{sub N} pairs and B{sub 2}O{sub 3} inclusions in the virgin substrate.

  15. Influence of the bismuth deficit on the structural and electric properties of the Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub y} thin films synthesized by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Alami, H.El.; Rannou, I.; Deville Cavellin, C

    2004-07-15

    BiSrCaCuO thin films were grown on (1 0 0) SrTiO3 substrates by molecular beam epitaxy (MBE) with variation of the Bi deposition time. A new 2x212 family with x varied between 1 and 0 was grown. The X-ray study, the Rutherford back scattering (RBS), reflection high energy electron diffraction (RHEED) and atomic force microscopy (AFM) were used to characterize the films. It was shown that the growth method used leads to intergrowth nanostructures. The transport measurements of BiSrCaCuO thin films were performed. The results analysed using the theory of percolation show a 2D character of conductivity in the films studied.

  16. On the origin of reflectance-anisotropy oscillations during GaAs (0 0 1) homoepitaxy

    Science.gov (United States)

    Ortega-Gallegos, J.; Guevara-Macías, L. E.; Ariza-Flores, A. D.; Castro-García, R.; Lastras-Martínez, L. F.; Balderas-Navarro, R. E.; López-Estopier, R. E.; Lastras-Martínez, A.

    2018-05-01

    We report on the first spectroscopic study of reflectance-anisotropy (RA) oscillations during molecular beam epitaxy (MBE) GaAs homoepitaxy. Real-time RA spectra measured during epitaxial growth were carried out with a recently developed rapid RA multichannel spectrometer with 100 ms per spectrum acquisition time. An analysis of the time-resolved RA spectra shows that RA oscillations are mostly due to the periodic modulation of the surface orthorhombic strain associated to surface reconstruction. Results reported here demonstrate the power of real-time RA spectroscopy as a probe for the study of epitaxial growth processes. In particular, given its sub monolayer surface-strain sensitivity, RA spectroscopy results a very convenient tool to study epitaxial growth mechanisms in real-time with sub monolayer resolution. This capability allows for real-time RA spectroscopy to be used as a probe for the in situ, real-time control of epitaxial growth, with the additional advantage of operating in higher pressure systems such as CVD, where RHEED monitoring cannot be implemented.

  17. Simulation and characterization of the crystal growth by photoemission; Simulation et caracterisation de la croissance cristalline par photoemission

    Energy Technology Data Exchange (ETDEWEB)

    Fazouan, N

    1994-05-16

    In this thesis, we argue in favour of photoemission as an in-situ characterization tool for the homo-epitaxial growth of GaAs. The first part, is concerned with the interpretation of the origin of the photoemission oscillations as first observed by J.N. Eckstein and al during MBE growth of GaAs. To study this effect, two approaches have been used. These approaches are based on reaction surface and roughness observations to study the growth mode. They associate the photoemission current with the presence of uncovered gallium adatoms, i.e. those which do not have an arsenic atom above them. The first approach is based on chemical rate theory, whereas the second is based on an atomistic simulation of GaAs homo-epitaxy. This last approach introduces the notion of interlayer migration processes and uses a Monte Carlo technique to look at the temporal evolution of the configuration and hence the morphology. It is shown with these two approaches that the photoemission current has similar characteristics as to those of RHEED, c.g.the same oscillation period. The results obtained have shown the relationship between the photoemission oscillations amplitude and the growth mode which are determined by the mechanisms of absorption and diffusion of gallium atoms and arsenic atoms of molecules. Finally, the study of the effect of the surface reactions shows the importance of these in the case where arsenic is supplied in molecular form (As{sub 2}). The last part concerns the experimental measurements at the threshold photoemission current during epitaxial growth of GaAs by metal-organic vapour phase epitaxy (MOVPE). The objective of this experimental study is to test the good running of the photo-assisted MOVPE low pressure system and to study the possibilities offered by this as an in-situ diagnostic tool for MOVPE. (author). 101 refs., 80 figs., 6 tabs.

  18. Liquid Solution Phase Epitaxial Growth of Al-doped f-SiC for LEDs

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; van der Eijk, Casper

    light quality and longer lifespan, compared to the current yellow phosphor based white LEDs. Liquid phase epitaxy technology is able to yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium process. In addition, the technological equipment...... are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  19. Sub-monolayer growth of titanium, cobalt, and palladium on epitaxial graphene

    Energy Technology Data Exchange (ETDEWEB)

    Sokolova, Anastasia; Kilchert, Franziska; Schneider, M. Alexander [Lehrstuhl fuer Festkoerperphysik, Friedrich-Alexander Universitaet Erlangen-Nuernberg (FAU), Erlangen (Germany); Link, Stefan; Stoehr, Alexander; Starke, Ulrich [Max-Planck-Institut fuer Festkoerperforschung, Stuttgart (Germany)

    2017-11-15

    We deposited metals (Ti, Co, Pd) typically used as seed layers for contacts on epitaxial graphene on SiC(0001) and studied the early stages of growth in the sub-monolayer regime by Scanning Tunneling Microscopy (STM). All three metals do not wet the substrate and Ostwalt ripening occurs at temperatures below 400 K. The analysis of the epitaxial orientation of the metal adislands revealed their specific alignment to the graphene lattice. It is found that the apparent height of the islands as measured by STM strongly deviates from their true topographic height. This is interpreted as an indication of the presence of scattering processes within the metal particles that increase the transparency of the metal-graphene interface for electrons. Even large islands are easily picked up by the tip of the STM allowing insight into the bonding between metal island and graphene surface and into mechanisms leading to metal intercalation. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Step driven competitive epitaxial and self-limited growth of graphene on copper surface

    Directory of Open Access Journals (Sweden)

    Lili Fan

    2011-09-01

    Full Text Available The existence of surface steps was found to have significant function and influence on the growth of graphene on copper via chemical vapor deposition. The two typical growth modes involved were found to be influenced by the step morphologies on copper surface, which led to our proposed step driven competitive growth mechanism. We also discovered a protective role of graphene in preserving steps on copper surface. Our results showed that wide and high steps promoted epitaxial growth and yielded multilayer graphene domains with regular shape, while dense and low steps favored self-limited growth and led to large-area monolayer graphene films. We have demonstrated that controllable growth of graphene domains of specific shape and large-area continuous graphene films are feasible.

  1. Computer graphic investigation on the epitaxial growth of superconductor films

    International Nuclear Information System (INIS)

    Miyamoto, A.; Iwamoto, S.; Inui, T.; Agusa, K.

    1989-01-01

    A mechanism of the epitaxial growth the oxide superconductor films has been investigated by using the computer graphics for the combination of orthorhombic Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 MgO, and ZrO 2 . The (001) plane Ba 2 YCu 3 O 7-x with substrate crystals such as SrTiO 3 , MgO, and ZrO 2 . The (001) plane of Ba 2 YCu 3 O 7-x has been shown to fit the (100) plane of SrTiO 3 , MgO, and ZrO 2 . A crystallographic fit has also been proved between the (110) plane of Ba 2 YCu 3 O 7-x and the (110) plane of SrTiO 3 . These results are consistent with the experimental data about the epitaxial growth of the Ba 2 YCu 3 O 7-x films. Furthermore, detailed investigation of atomic arrangements has indicated some differences in the ionic interaction at the superconductor-substrate interface among SrTiO 3 , MgO, and ZrO 2 substrates. As for ZrO 2 (100) plane, for examples, ionic arrangements at the oxide layer is favorable only for the interaction with Y 3+ layer of Ba 2 YCu 3 O 7-x , while the Zr-O layer of ZrO 2 can interact with both Ba-O layer and Cu-O layer of Ba 2 YCu 3 O 7-x

  2. Big-data reflection high energy electron diffraction analysis for understanding epitaxial film growth processes.

    Science.gov (United States)

    Vasudevan, Rama K; Tselev, Alexander; Baddorf, Arthur P; Kalinin, Sergei V

    2014-10-28

    Reflection high energy electron diffraction (RHEED) has by now become a standard tool for in situ monitoring of film growth by pulsed laser deposition and molecular beam epitaxy. Yet despite the widespread adoption and wealth of information in RHEED images, most applications are limited to observing intensity oscillations of the specular spot, and much additional information on growth is discarded. With ease of data acquisition and increased computation speeds, statistical methods to rapidly mine the data set are now feasible. Here, we develop such an approach to the analysis of the fundamental growth processes through multivariate statistical analysis of a RHEED image sequence. This approach is illustrated for growth of La(x)Ca(1-x)MnO(3) films grown on etched (001) SrTiO(3) substrates, but is universal. The multivariate methods including principal component analysis and k-means clustering provide insight into the relevant behaviors, the timing and nature of a disordered to ordered growth change, and highlight statistically significant patterns. Fourier analysis yields the harmonic components of the signal and allows separation of the relevant components and baselines, isolating the asymmetric nature of the step density function and the transmission spots from the imperfect layer-by-layer (LBL) growth. These studies show the promise of big data approaches to obtaining more insight into film properties during and after epitaxial film growth. Furthermore, these studies open the pathway to use forward prediction methods to potentially allow significantly more control over growth process and hence final film quality.

  3. Defect characterization in compositionally graded InGaAs layers on GaAs(001) grown by MBE

    International Nuclear Information System (INIS)

    Sasaki, Takuo; Takahasi, Masamitu; Norman, Andrew G.; Romero, Manuel J.; Al-Jassim, Mowafak M.; Kojima, Nobuaki; Ohshita, Yoshio; Yamaguchi, Masafumi

    2013-01-01

    Defect characterization in molecular beam epitaxial (MBE) compositionally-graded In x Ga 1-x As layers on GaAs substrates consisting different thickness of overshooting (OS) layers was carried out using cathodoluminescence (CL) and transmission electron microscopy (TEM). We found that the thickness of the OS layer influences not only stress but also lattice defects generated in a top InGaAs layer. While the top InGaAs layer with a thin OS layer is under compression and has mainly threading dislocations, the top layer with a thick OS layer is under tension and exhibits inhomogeneous strain associating with phase separation. We will discuss the mechanisms of defect generation and their in-plane distribution based on strain relaxation at the top and OS layers. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  5. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  6. Photoelectron diffraction study of Rh nanoparticles growth on Fe3O4/Pd(111) ultrathin film

    International Nuclear Information System (INIS)

    Abreu, G. J. P.; Pancotti, A; Lima, L. H. de; Landers, R.; Siervo, A. de

    2013-01-01

    Metallic nanoparticles (NPs) supported on oxides thin films are commonly used as model catalysts for studies of heterogeneous catalysis. Several 4d and 5d metal NPs (for example, Pd, Pt and Au) grown on alumina, ceria and titania have shown strong metal support interaction (SMSI), for instance the encapsulation of the NPs by the oxide. The SMSI plays an important role in catalysis and is very dependent on the support oxide used. The present work investigates the growth mechanism and atomic structure of Rh NPs supported on epitaxial magnetite Fe 3 O 4 (111) ultrathin films prepared on Pd(111) using the Molecular Beam Epitaxy (MBE) technique. The iron oxide and the Rh NPs were characterized using X-ray photoelectron spectroscopy (XPS), low-energy electron diffraction and photoelectron diffraction (PED). The combined XPS and PED results indicate that Rh NPs are metallic, cover approximately 20 % of the iron oxide surface and show height distribution ranging 3–5 ML (monolayers) with essentially a bulk fcc structure.

  7. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  8. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  9. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  10. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  11. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  12. Self-assembled epitaxial NiSi2 nanowires on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Chen, S.Y.; Chen, L.J.

    2006-01-01

    Self-assembled epitaxial NiSi 2 nanowires have been fabricated on Si(001) by reactive deposition epitaxy (RDE). The RDE method promoted nanowire growth since it provides deposited atoms sufficient kinetic energy for movement on the Si surface during the growth of silicide islands. The twin-related interface between NiSi 2 and Si is directly related to the nanowire formation since it breaks the symmetry of the surface and leads to the asymmetric growth. The temperature of RDE was found to greatly influence the formation of nanowires. By RDE at 750 deg. C, a high density of NiSi 2 nanowires was formed with an average aspect ratio of 30

  13. Contribution of numerical simulation to silicon carbide bulk growth and epitaxy

    International Nuclear Information System (INIS)

    Meziere, Jerome; Pons, Michel; Cioccio, Lea Di; Blanquet, Elisabeth; Ferret, Pierre; Dedulle, Jean-Marc; Baillet, Francis; Pernot, Etienne; Anikin, Michail; Madar, Roland; Billon, Thierry

    2004-01-01

    High temperature epitaxial processes for SiC bulk and thin films by physical vapour transport and chemical vapour deposition are reviewed from an academic point of view using heat and mass transfer modelling and simulation. The objective is to show that this modelling approach could provide information on fabrication and characterization for the improvement of the knowledge of the growth history. Recent results of our integrated research programme on SiC, taking into account the fabrication, process modelling and characterization, will be presented

  14. New process for high optical quality InAs quantum dots grown on patterned GaAs(001) substrates

    NARCIS (Netherlands)

    Alonso-González, Pablo; González, Luisa; González, Yolanda; Fuster, David; Fernández-Martinez, Ivan; Martin-Sánchez, Javier; Abelmann, Leon

    2007-01-01

    This work presents a selective ultraviolet (UV)-ozone oxidation-chemical etching process that has been used, in combination with laser interference lithography (LIL), for the preparation of GaAs patterned substrates. Further molecular beam epitaxy (MBE) growth of InAs results in ordered InAs/GaAs

  15. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  16. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  17. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  18. Growth of InP directly on Si by corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian

    2015-01-01

    In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)

  19. Molecular beam epitaxy growth of InSb1-xBix thin films

    DEFF Research Database (Denmark)

    Yuxin Song; Shumin Wang; Saha Roy, Ivy

    2013-01-01

    Molecular beam epitaxy growth for InSb1-xBix thin films on (100) GaAs substrates is reported. Successful Bi incorporation for 2% is achieved, and up to 70% of the incorporated Bi atoms are at substitutional sites. The effects of growth parameters on Bi incorporation and surface morphology are stu...

  20. Near-surface depletion of antimony during the growth of GaAsSb and GaAs/GaAsSb nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Kauko, H.; Helvoort, A. T. J. van, E-mail: a.helvoort@ntnu.no [Department of Physics, Norwegian University of Science and Technology (NTNU), Trondheim (Norway); Fimland, B. O.; Munshi, A. M. [Department of Electronics and Telecommunications, NTNU, Trondheim (Norway); Grieb, T.; Müller, K.; Rosenauer, A. [Institut für Festkörperphysik, Universität Bremen, Bremen (Germany)

    2014-10-14

    The near-surface reduction of the Sb mole fraction during the growth of GaAsSb nanowires (NWs) and GaAs NWs with GaAsSb inserts has been studied using quantitative high-angle annular dark field scanning transmission electron microscopy (STEM). A model for diffusion of Sb in the hexagonal NWs was developed and employed in combination with the quantitative STEM analysis. GaAsSb NWs grown by Ga-assisted molecular beam epitaxy (MBE) and GaAs/GaAsSb NWs grown by Ga- and Au-assisted MBE were investigated. At the high temperatures employed in the NW growth, As-Sb exchange at and outward diffusion of Sb towards the surface take place, resulting in reduction of the Sb concentration at and near the surface in the GaAsSb NWs and the GaAsSb inserts. In GaAsSb NWs, an increasing near-surface depletion of Sb was observed towards the bottom of the NW due to longer exposure to the As beam flux. In GaAsSb inserts, an increasing change in the Sb concentration profile was observed with increasing post-insert axial GaAs growth time, resulting from a combined effect of radial GaAs overgrowth and diffusion of Sb. The effect of growth temperature on the diffusion of Sb in the GaAsSb inserts was identified. The consequences of these findings for growth optimization and the optoelectronic properties of GaAsSb are discussed.

  1. Epitaxial growth of fcc Ti films on Al(001) surfaces

    International Nuclear Information System (INIS)

    Saleh, A.A.; Shutthanandan, V.; Shivaparan, N.R.; Smith, R.J.; Tran, T.T.; Chambers, S.A.

    1997-01-01

    High-energy ion scattering (HEIS), x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction (XPD) were used to study the growth of thin Ti films on Al(001) surfaces. The Al surface peak area in the backscattered ion spectrum of MeV He + ions, incident along the [00 bar 1] direction, was used to monitor the atomic structure of the Ti films during growth. An initial decrease in the area was observed indicating epitaxial film growth. This decrease continued up to a critical film thickness of about 5.5 ML, after which point the structure of the film changed. Titanium films 3, 5, and 9 ML thick were characterized using XPD in the same chamber. Both the HEIS and XPD results show that the Ti films grow with an fcc structure on Al(001). A tetragonal distortion of 2.4% in the fcc Ti film was measured using ions incident along the [10 bar 1] direction. Although there is a general similarity of fcc Ti growth on both Al(001) and Al(110), the submonolayer growth regime does show differences for the two surfaces. copyright 1997 The American Physical Society

  2. Van der Waals Epitaxy of GaSe/Graphene Heterostructure: Electronic and Interfacial Properties.

    Science.gov (United States)

    Ben Aziza, Zeineb; Henck, Hugo; Pierucci, Debora; Silly, Mathieu G; Lhuillier, Emmanuel; Patriarche, Gilles; Sirotti, Fausto; Eddrief, Mahmoud; Ouerghi, Abdelkarim

    2016-10-07

    Stacking two-dimensional materials in so-called van der Waals (vdW) heterostructures, like the combination of GaSe and graphene, provides the ability to obtain hybrid systems which are suitable to design optoelectronic devices. Here, we report the structural and electronic properties of the direct growth of multilayered GaSe by Molecular beam Epitaxy (MBE) on graphene. Reflection high-energy electron diffraction (RHEED) images exhibited sharp streaky features indicative of high quality GaSe layer produced via a vdW epitaxy. Micro-Raman spectroscopy showed that, after the vdW hetero-interface formation, the Raman signature of pristine graphene is preserved. However, the GaSe film tuned the charge density of graphene layer by shifting the Dirac point by about 80 meV toward lower binding energies, attesting an electron transfer from graphene to GaSe. Angle-resolved photoemission spectroscopy (ARPES) measurements showed that the maximum of the valence band of few layers of GaSe are located at the Γ point at a binding energy of about -0.73 eV relatively to the Fermi level (p-type doping). From the ARPES measurements, a hole effective mass defined along the ΓM direction and equal to about m*/m0 = -1.1 was determined. By coupling the ARPES data with high resolution X-ray photoemission spectroscopy (HR-XPS) measurements, the Schottky interface barrier height was estimated to be 1.2 eV. These findings allow deeper understanding of the interlayer interactions and the electronic structure of GaSe/graphene vdW heterostructure.

  3. Analysis and evaluation for practical application of photovoltaic power generation system. Analysis and evaluation for thin substrate polycrystalline solar cells (compound semiconductors and their fabrication technologies); Taiyoko hatsuden system jitsuyoka no tame no kaiseki hyoka. Usumaku taiyo denchi jitsuyoka no tame no kaiseki hyoka (kagobutsu taiyo denchi zairyo oyobi seisaku gijutsu no kaiseki hyoka)

    Energy Technology Data Exchange (ETDEWEB)

    Oyagi, H; Okada, Y; Yamaguchi, H; Shiota, T; Kuroda, S; Igarashi, O; Tanino, H; Makita, Y; Yamada, A; Kimura, S; Ohara, A; Niki, S; Shibata, H; Fons, P [Electrotechnical Laboratory, Tsukuba (Japan)

    1994-12-01

    Described herein are the results of the FY1994 research program for analysis and evaluation for thin-film compound semiconductor solar cells. The study on epitaxial growth and optical properties of the thin films of CuInSe2 and CuGaSe2 evaluates the thin epitaxial films grown under various conditions, showing morphology of the defects at the interface of heteroepitaxial growth. These results are used to set the growth conditions under which a thin film of high luminescence by exciter recombination is produced. The study also gives information of luminescence transition in the vicinity of the band ends and of energy level between the bands. The study on structural analysis of the epitaxially grown thin films of CuInSe2 investigates dependence of lattice constants of the MBE-grown CIS layer on film thickness by the X-ray diffractometry based on the bond method. The study on epitaxial growth by the Se(CH3)2-halogen transfer method tests epitaxial growth of the single-crystalline Mo on a substrate of single-crystalline sapphire. 5 figs.

  4. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  5. Low-temperature, ultrahigh-vacuum tip-enhanced Raman spectroscopy combined with molecular beam epitaxy for in situ two-dimensional materials' studies

    Science.gov (United States)

    Sheng, Shaoxiang; Li, Wenbin; Gou, Jian; Cheng, Peng; Chen, Lan; Wu, Kehui

    2018-05-01

    Tip-enhanced Raman spectroscopy (TERS), which combines scanning probe microscopy with the Raman spectroscopy, is capable to access the local structure and chemical information simultaneously. However, the application of ambient TERS is limited by the unstable and poorly controllable experimental conditions. Here, we designed a high performance TERS system based on a low-temperature ultrahigh-vacuum scanning tunneling microscope (LT-UHV-STM) and combined with a molecular beam epitaxy (MBE) system. It can be used for growing two-dimensional (2D) materials and for in situ STM and TERS characterization. Using a 2D silicene sheet on the Ag(111) surface as a model system, we achieved an unprecedented 109 Raman single enhancement factor in combination with a TERS spatial resolution down to 0.5 nm. The results show that TERS combined with a MBE system can be a powerful tool to study low dimensional materials and surface science.

  6. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  7. Liquid Phase Epitaxial Growth of Al-doped f-SiC for White Light-Emitting Diodes

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; can der Eijk, Casper

    efficiency, better light quality and longer lifespan, compared to the current yellow phosphor based white LEDs.Liquid phase epitaxy technology can yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium crystalline growth process. In addition....... The experimental results are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  8. Roles of kinetics and energetics in the growth of AlN by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Im, I. H.; Minegishi, T.; Hanada, T.; Lee, S. W.; Cho, M. W.; Yao, T.; Oh, D. C.; Chang, J. H.

    2006-01-01

    The roles of kinetics and energetics in the growth processes of AlN on c-sapphire by plasma assisted molecular beam epitaxy are investigated by varying the growth rate from 1 to 31 A/min and the substrate temperature from 800 to 1000 .deg. C. The energetics is found to govern the growth of AlN in the low-growth rate region even at a low substrate temperature of 800 .deg. C owing to the enhanced residence time of adatoms, thereby increasing the surface migration length. As the growth rate increases, the growth tends to be governed by kinetics because of a reduction in the residence time of adatoms. Consequently, the surface roughness and crystal quality are greatly improved for the low-growth-rate case. In addition, the lattice strain relaxation is completed from the beginning of epitaxy for energetics-limiting growth while lattice strain relaxation is retarded for kinetics-limiting growth because of pre-existing partial strain relaxation. Energetics becomes more favorable as the substrate temperature is raised because of an increase in the surface diffusion length owing to an enhanced diffusion coefficient. Consequently high-crystal-quality AlN layers are grown under the energetics-limiting growth condition with a screw dislocation density of 7.4 x 10 8 cm -2 even for a thin 42-nm thick film.

  9. Substrate temperature dependence of ZnTe epilayers grown on GaAs(0 0 1) by molecular beam epitaxy

    Science.gov (United States)

    Zhao, Jie; Zeng, Yiping; Liu, Chao; Li, Yanbo

    2010-04-01

    ZnTe thin films have been grown on GaAs(0 0 1) substrates at different temperatures with constant Zn and Te beam equivalent pressures (BEPs) by molecular beam epitaxy (MBE). In situ reflection high-energy electron diffraction (RHEED) observation indicates that two-dimensional (2D) growth mode can be established after around one-minute three-dimensional (3D) nucleation by increasing the substrate temperature to 340 °C. We found that Zn desorption from the ZnTe surface is much greater than that of Te at higher temperatures, and estimated the Zn sticking coefficient by the evolution of growth rate. The Zn sticking coefficient decreases from 0.93 to 0.58 as the temperature is elevated from 320 to 400 °C. The ZnTe epilayer grown at 360 °C displays the narrowest full-width at half-maximum (FWHM) of 660 arcsec from (0 0 4) reflection in double-crystal X-ray rocking curve (DCXRC) measurements. The surface morphology of ZnTe epilayers is strongly dependent on the substrate temperature, and the root-mean-square (RMS) roughness diminishes drastically with the increase in temperature.

  10. Formation and properties of epitaxial CdSe, ZnSe quantum dots. Conventional molecular beam epitaxy and related techniques

    Energy Technology Data Exchange (ETDEWEB)

    Mahapatra, Suddhasatta

    2008-01-16

    This thesis systematically investigates three such alternative approaches, along with conventional MBE, with emphasis on the formation-mechanism of QDs, and optimization of their morphological and optical attributes. it is shown here that no distinct 3D islands are formed in MBE growth of CdSe on ZnSe. While CdSe heteroepitaxy occurs in the multilayer-mode at T{sub G}=300 C, a reentrant recovery of the layer-by-layer mode is reported in this thesis, for growth at T{sub G}<{proportional_to}240 C. In the second variant technique, formation of large and distinct islands is demonstrated by deposition of amorphous selenium (a-Se) onto a 2D CdSe epilayer at room temperature and its subsequent desorption at a higher temperature (T{sub D}=230 C). The process steps of the third variant technique, developed in course of this work, are very similar to those of the previous one-the only alteration being the substitution of selenium with tellurium as the cap-forming-material. (orig.)

  11. Surface Reaction Kinetics of Ga(1-x)In(x)P Growth During Pulsed Chemical Beam Epitaxy

    National Research Council Canada - National Science Library

    Dietz, N; Beeler, S. C; Schmidt, J. W; Tran, H. T

    2000-01-01

    ... into the surface reaction kinetics during an organometallic deposition process. These insights will allow us to move the control point closer to the point where the growth occurs, which in a chemical been epitaxy process is a surface reaction layer (SRL...

  12. InAs(Sb) quantum dots grown on GaAs by MBE

    International Nuclear Information System (INIS)

    Sallet, V.; Patriarche, G.; Mauguin, O.; Largeau, L.; Travers, L.

    2006-01-01

    The MBE growth of InAs(Sb)/GaAs quantum dots is investigated. Photoluminescence shows a slight red-shift of the emission wavelength from 1.15 to 1.24 μm (InAs 0.95 Sb 0.05 dots). Attempts to incorporate higher concentrations of antimony lead to a poor optical quality and, occasionally, to a blue-shift. Trans-mission electron microscopy observations are discussed considering the surfactant effect of antimony. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  14. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  15. Strain-Modulated Epitaxy

    National Research Council Canada - National Science Library

    Brown, April

    1999-01-01

    Strain-Modulated Epitaxy (SME) is a novel approach, invented at Georgia Tech, to utilize subsurface stressors to control strain and therefore material properties and growth kinetics in the material above the stressors...

  16. Near infrared group IV optoelectronics and novel pre-cursors for CVD epitaxy

    Science.gov (United States)

    Hazbun, Ramsey Michael

    measurements. The deposition of silicon using tetrasilane as a vapor pre-cursor is described for an ultra-high vacuum chemical vapor deposition tool. The growth rates and morphology of the Si epitaxial layers over a range of temperatures and pressures are presented. In order to understand the suitability of tetrasilane for the growth of SiGe and SiGeSn alloys, the layers were characterized using transmission electron microscopy, x-ray diffraction, spectroscopic ellipsometry, atomic force microscopy, and secondary ion mass spectrometry. To date no n-type doping has been demonstrated in GeSn alloys grown via MBE. A GaP decomposition source was used to grow n-type phosphorus doped GeSn layers on p- Ge substrates. Doping concentrations were calibrated using SIMS measurements. GeSn/Ge heterojunction diodes were grown and fabricated into mesa devices. Diode parameters were extracted from current-voltage measurements. The effects of P and Sn concentrations, metallization, and mesa geometry on device performance are all discussed.

  17. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  18. Quantum wire spectroscopy and epitaxial growth velocities in InGaAs-InP heterostructures

    International Nuclear Information System (INIS)

    Worlock, J.M.; Peeters, F.M.; Cox, H.M.; Morais, P.C.

    1990-06-01

    We study excitons bound to quantum wires of InGaAs embedded in an InP matrix, where the wires vary from 2.93A angstrom to a.1172A angstrom (one to four monolayers) thick and from 25A angstrom to 250A angstrom wide. We combine spectroscopic data from measurements of photoluminescence with variational calculations of the binding energies of excitons to the wires to deduce the wire widths and thickness. The widths are then related to the growth times to deduce lateral growth velocities in the vapor levitation epitaxial technique. Monolayer growth rates, at ∼ 80A angstrom/sec, are significantly faster than growth rates for the multilayer wires. (author)

  19. Epitaxial growth of YBa2Cu3O7-δ thin films on LiNbO3 substrates

    International Nuclear Information System (INIS)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C.

    1989-01-01

    In situ epitaxial growth of YBa 2 Cu 3 O 7-δ thin films on Y-cut LiNbO 3 substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ( c (R=0) of 92 K. High critical current density of J c (77 K)=2x10 5 A/cm 2 is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the c axis is normal to the substrate plane and the a axis is at 45 degree to the [11.0] direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane

  20. Life on the edge: squirrel-cage fringe fields and their effects in the MBE-4 combiner experiment

    International Nuclear Information System (INIS)

    Fawley, W.M.

    1996-02-01

    The MBE-4 combiner experiment employs an electrostatic combined-function focusing/bending element, the so-called ''squirrel-cage'' just before the actual merging region. There has been concern that non-linear fields, primarily in the fringe regions at the beginning and end of the cage, may be strong enough to lead to significant emittance degradation. This note present the results of numerical calculations which determined the anharmonic, non-linear components of the 3D fields in the cage and the resultant, orbit-integrated effects upon the MBE-4 beamlets. We find that while the anharmonic effects are small compared to the dipole deflection, the resultant transverse emittance growth is significant when compared to the expected value of the initial emittance of the individual beamlets

  1. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  2. SiC epitaxial layer growth in a novel multi-wafer VPE reactor

    Energy Technology Data Exchange (ETDEWEB)

    Burk, A.A. Jr.; O`Loughlin, M.J. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States); Mani, S.S. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States)

    1998-06-01

    Preliminary results are presented for SiC epitaxial layer growth employing a unique planetary SiC-VPE reactor. The high-throughput, multi-wafer (7 x 2-inch) reactor, was designed for atmospheric and reduced pressure operation at temperatures up to and exceeding 1600 C. Specular epitaxial layers have been grown in the reactor at growth rates from 3-5 {mu}m/hr. The thickest layer grown to data was 42 {mu}m. The layers exhibit minimum unintentional n-type doping of {proportional_to}1 x 10{sup 15} cm{sup -3}, room temperature mobilities of {proportional_to}1000 cm{sup 2}/Vs, and intentional n-type doping from {proportional_to}5 x 10{sup 15} cm{sup -3} to >1 x 10{sup 19} cm{sup -3}. Intrawafer thickness and doping uniformities of 4% and 7% (standard deviation/mean) have been obtained, respectively, on 35 mm diameter substrates. Recently, 3% thickness uniformity has been demonstrated on a 50 mm substrate. Within a run, wafer-to-wafer thickness deviation is {proportional_to}4-14%. Doping variation is currently larger, ranging as much as a factor of two from the highest to the lowest doped wafer. Continuing efforts to improve the susceptor temperature uniformity and reduce unintentional hydrocarbon generation to improve layer uniformity and reproducibility, are presented. (orig.) 18 refs.

  3. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  4. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  5. Study of molecular-beam epitaxy growth on patterned GaAs (311)A substrates with different mesa height

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.

    2000-01-01

    We report on the evolution of the growth front during molecular-beam epitaxy on GaAs (3 1 1)A substrates stripe patterned along the [ ] direction as a function of the mesa height. During growth (1 0 0) and (2 1 1)A facets are formed and expand at the corners near the two opposite lying ( )A and (1 1

  6. Characterization of MBE-grown InAlN/GaN heterostructure valence band offsets with varying In composition

    Energy Technology Data Exchange (ETDEWEB)

    Jiao, Wenyuan, E-mail: wyjiaonju@gmail.com; Kong, Wei; Li, Jincheng; Kim, Tong-Ho; Brown, April S. [Department of Electrical and Computer Engineering, Duke University, Durham, NC, 27708 (United States); Collar, Kristen [Department of Physics, Duke University, Durham, NC, 27708 (United States); Losurdo, Maria [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy)

    2016-03-15

    Angle-resolved X-ray photoelectron spectroscopy (XPS) is used in this work to experimentally determine the valence band offsets of molecular beam epitaxy (MBE)-grown InAlN/GaN heterostructures with varying indium composition. We find that the internal electric field resulting from polarization must be taken into account when analyzing the XPS data. Valence band offsets of 0.12 eV for In{sub 0.18}Al{sub 0.82}N, 0.15 eV for In{sub 0.17}Al{sub 0.83}N, and 0.23 eV for In{sub 0.098}Al{sub 0.902}N with GaN are obtained. The results show that a compositional-depended bowing parameter is needed in order to estimate the valence band energies of InAlN as a function of composition in relation to those of the binary endpoints, AlN and InN.

  7. Characterization of MBE-grown InAlN/GaN heterostructure valence band offsets with varying In composition

    Directory of Open Access Journals (Sweden)

    Wenyuan Jiao

    2016-03-01

    Full Text Available Angle-resolved X-ray photoelectron spectroscopy (XPS is used in this work to experimentally determine the valence band offsets of molecular beam epitaxy (MBE-grown InAlN/GaN heterostructures with varying indium composition. We find that the internal electric field resulting from polarization must be taken into account when analyzing the XPS data. Valence band offsets of 0.12 eV for In0.18Al0.82N, 0.15 eV for In0.17Al0.83N, and 0.23 eV for In0.098Al0.902N with GaN are obtained. The results show that a compositional-depended bowing parameter is needed in order to estimate the valence band energies of InAlN as a function of composition in relation to those of the binary endpoints, AlN and InN.

  8. Performance of MBE-4: An experimental multiple beam induction linear accelerator for heavy ions

    International Nuclear Information System (INIS)

    Warwick, A.I.; Fessenden, T.J.; Keefe, D.; Kim, C.H.; Meuth, H.

    1988-06-01

    An experimental induction linac, called MBE-4, has been constructed to demonstrate acceleration and current amplification of multiple heavy ion beams. This work is part of a program to study the use of such an accelerator as a driver for heavy ion inertial fusion. MBE-4 is 16m long and accelerates four space-charge-dominated beams of singly-charged cesium ions, in this case from 200 keV to 700 keV, amplifying the current in each beam from 10mA by a factor of nine. Construction of the experiment was completed late in 1987 and we present the results of detailed measurements of the longitudinal beam dynamics. Of particular interest is the contribution of acceleration errors to the growth of current fluctuations and to the longitudinal emittance. The effectiveness of the longitudinal focusing, accomplished by means of the controlled time dependence of the accelerating fields, is also discussed. 4 refs., 5 figs., 1 tab

  9. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  10. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  11. Direct growth of hexagonal boron nitride/graphene heterostructures on cobalt foil substrates by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Zhongguang; Khanaki, Alireza; Tian, Hao; Zheng, Renjing; Suja, Mohammad; Liu, Jianlin, E-mail: jianlin@ece.ucr.edu [Quantum Structures Laboratory, Department of Electrical and Computer Engineering, University of California, Riverside, California 92521 (United States); Zheng, Jian-Guo [Irvine Materials Research Institute, University of California, Irvine, California 92697-2800 (United States)

    2016-07-25

    Graphene/hexagonal boron nitride (G/h-BN) heterostructures have attracted a great deal of attention because of their exceptional properties and wide variety of potential applications in nanoelectronics. However, direct growth of large-area, high-quality, and stacked structures in a controllable and scalable way remains challenging. In this work, we demonstrate the synthesis of h-BN/graphene (h-BN/G) heterostructures on cobalt (Co) foil by sequential deposition of graphene and h-BN layers using plasma-assisted molecular beam epitaxy. It is found that the coverage of h-BN layers can be readily controlled on the epitaxial graphene by growth time. Large-area, uniform-quality, and multi-layer h-BN films on thin graphite layers were achieved. Based on an h-BN (5–6 nm)/G (26–27 nm) heterostructure, capacitor devices with Co(foil)/G/h-BN/Co(contact) configuration were fabricated to evaluate the dielectric properties of h-BN. The measured breakdown electric field showed a high value of ∼2.5–3.2 MV/cm. Both I-V and C-V characteristics indicate that the epitaxial h-BN film has good insulating characteristics.

  12. Growth, structural, and electrical properties of germanium-on-silicon heterostructure by molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Aheli Ghosh

    2017-09-01

    Full Text Available The growth, morphological, and electrical properties of thin-film Ge grown by molecular beam epitaxy on Si using a two-step growth process were investigated. High-resolution x-ray diffraction analysis demonstrated ∼0.10% tensile-strained Ge epilayer, owing to the thermal expansion coefficient mismatch between Ge and Si, and negligible epilayer lattice tilt. Micro-Raman spectroscopic analysis corroborated the strain-state of the Ge thin-film. Cross-sectional transmission electron microscopy revealed the formation of 90  ° Lomer dislocation network at Ge/Si heterointerface, suggesting the rapid and complete relaxation of Ge epilayer during growth. Atomic force micrographs exhibited smooth surface morphology with surface roughness < 2 nm. Temperature dependent Hall mobility measurements and the modelling thereof indicated that ionized impurity scattering limited carrier mobility in Ge layer. Capacitance- and conductance-voltage measurements were performed to determine the effect of epilayer dislocation density on interfacial defect states (Dit and their energy distribution. Finally, extracted Dit values were benchmarked against published Dit data for Ge MOS devices, as a function of threading dislocation density within the Ge layer. The results obtained were comparable with Ge MOS devices integrated on Si via alternative buffer schemes. This comprehensive study of directly-grown epitaxial Ge-on-Si provides a pathway for the development of Ge-based electronic devices on Si.

  13. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  14. Single-Crystal Y2O3 Epitaxially on GaAs(001 and (111 Using Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Y. H. Lin

    2015-10-01

    Full Text Available Single-crystal atomic-layer-deposited (ALD Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films 2 nm thick were epitaxially grown on molecular beam epitaxy (MBE GaAs(001-4 \\(\\times\\ 6 and GaAs(111A-2 \\(\\times\\ 2 reconstructed surfaces. The in-plane epitaxy between the ALD-oxide films and GaAs was observed using \\textit{in-situ} reflection high-energy electron diffraction in our uniquely designed MBE/ALD multi-chamber system. More detailed studies on the crystallography of the hetero-structures were carried out using high-resolution synchrotron radiation X-ray diffraction. When deposited on GaAs(001, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are of a cubic phase and have (110 as the film normal, with the orientation relationship being determined: Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(110\\[\\(001\\][\\(\\overline{1}10\\]//GaAs(\\(001\\[\\(110\\][\\(1\\overline{1}0\\]. On GaAs(\\(111\\A, the Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\ films are also of a cubic phase with (\\(111\\ as the film normal, having the orientation relationship of Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\(\\(111\\[\\(2\\overline{1}\\overline{1}\\] [\\(01\\overline{1}\\]//GaAs (\\(111\\ [\\(\\overline{2}11\\][\\(0\\overline{1}1\\]. The relevant orientation for the present/future integrated circuit platform is (\\(001\\. The ALD-Y\\(_{\\mathrm{2}}\\O\\(_{\\mathrm{3}}\\/GaAs(\\(001\\-4 \\(\\times\\ 6 has shown excellent electrical properties. These include small frequency dispersion in the capacitance-voltage CV curves at accumulation of ~7% and ~14% for the respective p- and n-type samples with the measured frequencies of 1 MHz to 100 Hz. The interfacial trap density (Dit is low of ~10\\(^{12}\\ cm\\(^{−2}\\eV\\(^{−1}\\ as extracted from measured quasi-static CVs. The frequency dispersion at accumulation and the D\\(_{it}\\ are the lowest ever achieved among all the ALD-oxides on GaAs(\\(001\\.

  15. Stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    M. Agrawal

    2017-01-01

    Full Text Available The stress evolution of GaN/AlN heterostructure grown on 6H-SiC substrate by plasma assisted molecular beam epitaxy (PA-MBE has been studied. AlN nucleation layer and GaN layer were grown as a function of III/V ratio. GaN/AlN structure is found to form buried cracks when AlN is grown in the intermediate growth regime(III/V∼1and GaN is grown under N-rich growth regime (III/V<1. The III/V ratio determines the growth mode of the layers that influences the lattice mismatch at the GaN/AlN interface. The lattice mismatch induced interfacial stress at the GaN/AlN interface relaxes by the formation of buried cracks in the structure. Additionally, the stress also relaxes by misorienting the AlN resulting in two misorientations with different tilts. Crack-free layers were obtained when AlN and GaN were grown in the N-rich growth regime (III/V<1 and metal rich growth regime (III/V≥1, respectively. AlGaN/GaN high electron mobility transistor (HEMT heterostructure was demonstrated on 2-inch SiC that showed good two dimensional electron gas (2DEG properties with a sheet resistance of 480 Ω/sq, mobility of 1280 cm2/V.s and sheet carrier density of 1×1013 cm−2.

  16. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001) surface: nucleation, morphology, and CMOS compatibility.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V

    2011-09-05

    Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001) surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C) and high (≳600°C) temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001) surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001) quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  17. Ge quantum dot arrays grown by ultrahigh vacuum molecular-beam epitaxy on the Si(001 surface: nucleation, morphology, and CMOS compatibility

    Directory of Open Access Journals (Sweden)

    Yuryev Vladimir

    2011-01-01

    Full Text Available Abstract Issues of morphology, nucleation, and growth of Ge cluster arrays deposited by ultrahigh vacuum molecular beam epitaxy on the Si(001 surface are considered. Difference in nucleation of quantum dots during Ge deposition at low (≲600°C and high (≳600°C temperatures is studied by high resolution scanning tunneling microscopy. The atomic models of growth of both species of Ge huts--pyramids and wedges-- are proposed. The growth cycle of Ge QD arrays at low temperatures is explored. A problem of lowering of the array formation temperature is discussed with the focus on CMOS compatibility of the entire process; a special attention is paid upon approaches to reduction of treatment temperature during the Si(001 surface pre-growth cleaning, which is at once a key and the highest-temperature phase of the Ge/Si(001 quantum dot dense array formation process. The temperature of the Si clean surface preparation, the final high-temperature step of which is, as a rule, carried out directly in the MBE chamber just before the structure deposition, determines the compatibility of formation process of Ge-QD-array based devices with the CMOS manufacturing cycle. Silicon surface hydrogenation at the final stage of its wet chemical etching during the preliminary cleaning is proposed as a possible way of efficient reduction of the Si wafer pre-growth annealing temperature.

  18. III-Nitrides growth and AlGaN/GaN heterostructures on ferroelectric materials

    International Nuclear Information System (INIS)

    Lee, Kyoung-Keun; Namkoong, Gon; Madison, Shannon M.; Ralph, Stephen E.; Doolittle, W. Alan; Losurdo, Maria; Bruno, Giovanni; Cho, Hyung Koun

    2007-01-01

    The growth of III-nitrides on the ferroelectric materials lithium niobate (LN) and lithium tantalate (LT) via molecular beam epitaxy (MBE) using rf plasma source has been investigated. We have found that gallium nitride (GaN) epitaxial layers have a crystalline relationship with lithium niobate (tantalate) as follows: (0 0 0 1) GaN || (0 0 0 1) LN (LT) with [10-10] GaN || [11-20] LN (LT). The surface stability of LN and LT substrates has been monitored by in situ spectroscopic ellipsometry in the vacuum chamber. Three different temperature zones have been discerned; surface degas and loss of OH group (100-350 deg. C); surface segregation/accumulation of Li and O-species (400-700 deg. C); surface evaporation of O-species and Li desorption (over 750 deg. C). However, LT shows only surface degassing in the range of 100-800 deg. C. Therefore, congruent LN substrates were chemically unstable at the growth temperature of 550-650 deg. C, and therefore developed an additional phase of Li-deficient lithium niobate (LiNb 3 O 8 ) along with lithium niobate (LiNbO 3 ), confirmed by X-ray diffraction. On the other hand, LT showed better chemical stability at these temperatures, with no additional phase development. The structural quality of GaN epitaxial layers has shown slight improvement on LT substrates over LN substrates, according to X-ray diffraction. Herein, we demonstrate AlGaN/GaN heterostructure devices on ferroelectric materials that will allow future development of multifunctional electrical and optical applications

  19. III-Nitrides growth and AlGaN/GaN heterostructures on ferroelectric materials

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kyoung-Keun [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States); Namkoong, Gon [Old Dominion University, Department of Electrical and Computer Engineering, Norfolk, VA 23529 (United States); Madison, Shannon M. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States); Ralph, Stephen E. [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States); Doolittle, W. Alan [Georgia Institute of Technology, School of Electrical and Computer Engineering, 777 Atlantic Dr., Atlanta, GA 30332 (United States)]. E-mail: alan.doolittle@ece.gatech.edu; Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Department of Chemistry, University of Bari, via Orabona, 4 70126 Bari (Italy); Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Department of Chemistry, University of Bari, via Orabona, 4 70126 Bari (Italy); Cho, Hyung Koun [Department of Materials Science and Engineering, Sung Kyun Kwan University, Suwon 440-746 (Korea, Republic of)

    2007-06-15

    The growth of III-nitrides on the ferroelectric materials lithium niobate (LN) and lithium tantalate (LT) via molecular beam epitaxy (MBE) using rf plasma source has been investigated. We have found that gallium nitride (GaN) epitaxial layers have a crystalline relationship with lithium niobate (tantalate) as follows: (0 0 0 1) GaN || (0 0 0 1) LN (LT) with [10-10] GaN || [11-20] LN (LT). The surface stability of LN and LT substrates has been monitored by in situ spectroscopic ellipsometry in the vacuum chamber. Three different temperature zones have been discerned; surface degas and loss of OH group (100-350 deg. C); surface segregation/accumulation of Li and O-species (400-700 deg. C); surface evaporation of O-species and Li desorption (over 750 deg. C). However, LT shows only surface degassing in the range of 100-800 deg. C. Therefore, congruent LN substrates were chemically unstable at the growth temperature of 550-650 deg. C, and therefore developed an additional phase of Li-deficient lithium niobate (LiNb{sub 3}O{sub 8}) along with lithium niobate (LiNbO{sub 3}), confirmed by X-ray diffraction. On the other hand, LT showed better chemical stability at these temperatures, with no additional phase development. The structural quality of GaN epitaxial layers has shown slight improvement on LT substrates over LN substrates, according to X-ray diffraction. Herein, we demonstrate AlGaN/GaN heterostructure devices on ferroelectric materials that will allow future development of multifunctional electrical and optical applications.

  20. Growth of Ca2MnO4 Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    International Nuclear Information System (INIS)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W.; Grygiel, C.; Rohrer, G. S.; Salvador, P. A.; Velazquez, M.; Kloe, R. de

    2014-01-01

    The local epitaxial growth of pulsed laser deposited Ca 2 MnO 4 films on polycrystalline spark plasma sintered Sr 2 TiO 4 substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca 2 MnO 4 grain had a single OR with the Sr 2 TiO 4 grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001] film ||[100][001] sub . The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides

  1. Photoluminescence characteristics of InAs quantum dots grown by STM/MBE site-control technique

    Energy Technology Data Exchange (ETDEWEB)

    Nishikawa, S.; Kohmoto, S.; Nakamura, H.; Ishikawa, T.; Asakawa, K.; Wada, O. [Femtosecond Technology Research Association, Tsukuba, Ibaraki (Japan). FESTA Lab.

    2001-03-08

    This paper describes micro-photoluminescence (PL) analysis of site-controlled QDs (SCQDs) grown using a novel in-situ MBE growth technique in which sites of self-assembled InAs QDs are controlled by forming nanometer deposits using a scanning tunneling microscope (STM) probe. We found from the temperature dependence of PL that the carrier collection at QDs at low temperature is limited by carrier diffusion in the wetting layer. The analysis of PL data considering this effect has indicated that individual QDs grown have high crystalline quality in spite of the addition of an artificial STM process during growth. (orig.)

  2. Layer-by-Layer Epitaxial Growth of Defect-Engineered Strontium Cobaltites

    Energy Technology Data Exchange (ETDEWEB)

    Andersen, Tassie K. [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Cook, Seyoung [Materials Science; Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Wan, Gang [Materials Science; Hong, Hawoong [Advanced Photon Source, Argonne National Laboratory, Argonne, Illinois 60439, United States; Marks, Laurence D. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208, United States; Fong, Dillon D. [Materials Science

    2018-01-31

    Control over structure and composition of (ABO(3)) perovskite oxides offers exciting opportunities since these materials possess unique, tunable properties. Perovskite oxides with cobalt B-site cations are particularly promising, as the range of the cations stable oxidation states leads to many possible structural frameworks. Here, we report growth of strontium cobalt oxide thin films by molecular beam epitaxy, and conditions necessary to stabilize different defect concentration phases. In situ X-ray scattering is used to monitor structural evolution during growth, while in situ X-ray absorption near-edge spectroscopy is used to probe oxidation state and measure changes to oxygen vacancy concentration as a function of film thickness. Experimental results are compared to kinetically limited thermodynamic predictions, in particular, solute trapping, with semiquantitative agreement. Agreement between observations of dependence of cobaltite phase on oxidation activity and deposition rate, and predictions indicates that a combined experimental/theoretical approach is key to understanding phase behavior in the strontium cobalt oxide system.

  3. Real-time growth study of plasma assisted atomic layer epitaxy of InN films by synchrotron x-ray methods

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Anderson, Virginia R. [American Society for Engineering Education, 1818 N Street NW, Washington, DC 20036; Johnson, Scooter D. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Downey, Brian P. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; Meyer, David J. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375; DeMasi, Alexander [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Robinson, Zachary R. [Department of Physics, SUNY College at Brockport, 350 New Campus Dr, Brockport, New York 14420; Ludwig, Karl F. [Physics Department, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215; Eddy, Charles R. [U.S. Naval Research Laboratory, 4555 Overlook Avenue SW, Washington, DC 20375

    2017-03-13

    The temporal evolution of high quality indium nitride (InN) growth by plasma-assisted atomic layer epitaxy (ALEp) on a-plane sapphire at 200 and 248 °C was probed by synchrotron x-ray methods. The growth was carried out in a thin film growth facility installed at beamline X21 of the National Synchrotron Light Source at Brookhaven National Laboratory and at beamline G3 of the Cornell High Energy Synchrotron Source, Cornell University. Measurements of grazing incidence small angle x-ray scattering (GISAXS) during the initial cycles of growth revealed a broadening and scattering near the diffuse specular rod and the development of scattering intensities due to half unit cell thick nucleation islands in the Yoneda wing with correlation length scale of 7.1 and 8.2 nm, at growth temperatures (Tg) of 200 and 248 °C, respectively. At about 1.1 nm (two unit cells) of growth thickness nucleation islands coarsen, grow, and the intensity of correlated scattering peak increased at the correlation length scale of 8.0 and 8.7 nm for Tg = 200 and 248 °C, respectively. The correlated peaks at both growth temperatures can be fitted with a single peak Lorentzian function, which support single mode growth. Post-growth in situ x-ray reflectivity measurements indicate a growth rate of ~0.36 Å/cycle consistent with the growth rate previously reported for self-limited InN growth in a commercial ALEp reactor. Consistent with the in situ GISAXS study, ex situ atomic force microscopy power spectral density measurements also indicate single mode growth. Electrical characterization of the resulting film revealed an electron mobility of 50 cm2/V s for a 5.6 nm thick InN film on a-plane sapphire, which is higher than the previously reported mobility of much thicker InN films grown at higher temperature by molecular beam epitaxy directly on sapphire. These early results indicated that in situ synchrotron x-ray study of the epitaxial growth kinetics of InN films is a very powerful method to

  4. Growth and characterization of ultrathin epitaxial MnO film on Ag(001)

    Science.gov (United States)

    Kundu, Asish K.; Menon, Krishnakumar S. R.

    2016-07-01

    We present here a comprehensive growth procedure to obtain a well-ordered MnO(001) ultrathin film on Ag(001) substrate. Depending upon the oxygen partial pressure during the growth, different phases of manganese oxide have been detected by Low Energy Electron Diffraction (LEED) and X-ray Photoelectron Spectroscopic (XPS) studies. A modified growth scheme has been adopted to get well-ordered and stoichiometric MnO(001) ultrathin film. The detailed growth mechanism of epitaxial MnO film on Ag(001) has been studied step by step, using LEED and XPS techniques. Observation of sharp (1 × 1) LEED pattern with a low inelastic background, corresponds to a long-range atomic order with low defect densities indicating the high structural quality of the film. The Mn 2p and Mn 3s core-level spectra confirm the oxidation state as well as the stoichiometry of the grown MnO films. Apart from the growth optimization, the evolution of strain relaxation of the MnO(001) film with film thickness has been explored.

  5. Molecular beam epitaxy of iodine-doped CdTe and (CdMg)Te

    Energy Technology Data Exchange (ETDEWEB)

    Fischer, F.; Waag, A.; Litz, Th.; Scholl, S.; Schmitt, M.; Landwehr, G. (Physikalisches Inst. der Univ. Wuerzburg (Germany)); Bilger, G. (Zentrum fuer Sonnenenergie und Wasserstofforschung, Stuttgart (Germany))

    1994-08-01

    The n-type doping of CdTe and (CdMg)Te by the use of the solid dopant source material ZnI[sub 2] is reported. Doping levels as high as 7x10[sup 18] cm[sup -3] have been obtained in CdTe with carrier mobilities around 500 cm[sup 2]/V[center dot]s at room temperature. For a dopant incorporation higher than 1x10[sup 19] cm[sup -3] the free carrier concentration decreases, indicating the onset of a compensation mechanism, which is observed in the case of chlorine and bromine doping, too. Preliminary experiments show that with increasing Mg concentration the free carrier concentration decreases. Nevertheless, CdMgTe with a magnesium concentration x=0.37 (band gap 2.2 eV at room temperature) can be doped up to 2x10[sup 17] cm[sup -3]. The existence of deep donor levels in this CdTe based ternary is not supposed to be the only reason for the reduction of the free carrier concentration. For high Mg support during molecular beam epitaxial (MBE) growth of wide gap (CdMg)Te layers, the ZnI[sub 2] incorporation is reduced, leading to low doping levels, too

  6. Positron annihilation studies of defects in molecular beam epitaxy grown III-V layers

    International Nuclear Information System (INIS)

    Umlor, M.T.; Keeble, D.J.; Cooke, P.W.

    1994-01-01

    A summary of recent positron annihilation experiments on molecular beam epitaxy (MBE) grown III-V layers is Presented. Variable energy positron beam measurements on Al 0.32 Ga 0.68 As undoped and Si doped have been completed. Positron trapping at a open volume defect in Al 0.32 Ga 0.68 :Si for temperatures from 300 to 25 K in the dark was observed. The positron trap was lost after 1.3 eV illumination at 25K. These results indicate an open volume defect is associated with the local structure of the deep donor state of the DX center. Stability of MBE GaAs to thermal annealing war, investigated over the temperature range of 230 to 700 degrees C, Proximity wafer furnace anneals in flowing argon were used, Samples grown above 450 degrees C were shown to be stable but for sample below this temperature an anneal induced vacancy related defect was produced for anneals between 400 and 500 degrees C. The nature of the defect was shown to be different for material grown at 350 and 230 degrees C. Activation energies of 2.5 eV to 2.3 eV were obtained from isochronal anneal experiments for samples grown at 350 and 230 degrees C, respectively

  7. Vapor phase epitaxial growth of FeS sub 2 pyrite and evaluation of the carrier collection in liquid-junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Schlichthoerl, G.; Fiechter, S.; Tributsch, H. (Hahn-Meitner-Inst., Abt. Solare Energetik und Materialforschung, Berlin (Germany))

    1992-01-01

    Photoactive epitaxial layers of FeS{sub 2} were grown using bromine as a transport agent and a simple closed ampoule technique. The substrates used were (100)-oriented slices of natural pyrite 1 mm thick. A vapor-liquid-solid (VLS) growth mechanism was elucidated by means of optical microscopy. Macrosteps, terrace surfaces and protuberances are often accompanied with the presence of liquid FeBr{sub 3} droplets. In the absence of a liquid phase growth hillocks are found. Localized photovoltaic response for the evaluation of carrier collection using a scanning laser spot system has been used to effectively locate and characterize non-uniformities present in the epitaxial thin films. (orig.).

  8. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  9. Real-time observation of epitaxial crystal growth in gaseous environment using x-ray diffraction and x-ray reflectometry

    International Nuclear Information System (INIS)

    Kawamura, Tomoaki; Bhunia, Satyaban; Watanabe, Yoshio; Fujikawa, Seiji

    2008-01-01

    We made the x-ray diffractometer combined with the MOCVD growth system for the real-time observation of epitaxial growth in gaseous environment, and investigated the growth mechanism of InP crystals. Changes of the (-5/2 O) Bragg diffraction during the growth revealed that the growth starts immediately after the In source has been supplied and gradually stopped, owing to the migrating In atoms on the surface. Additionally, one can easily determine the growth modes, including 3-dimensional mode, layer-by-layer mode, and step-flow mode, by observing the change of x-ray reflectivity with various growth conditions. (author)

  10. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    Science.gov (United States)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  11. Growth of epitaxial Pt thin films on (0 0 1) SrTiO{sub 3} by rf magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Kahsay, A. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Polo, M.C., E-mail: mcpolo@ub.edu [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Ferrater, C.; Ventura, J. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain); Rebled, J.M. [Departament d’Electrònica, Universitat de Barcelona Institut de Nanociència i Nanotecnologia IN 2UB, 08028 Barcelona (Spain); Varela, M. [Departament de Física Aplicada i Òptica, Universitat de Barcelona, 08028 Barcelona (Spain)

    2014-07-01

    The growth of platinum thin film by rf magnetron sputtering on SrTiO{sub 3}(0 0 1) substrates for oxide based devices was investigated. Platinum films grown at temperatures higher than 750 °C were epitaxial ([1 0 0]Pt(0 0 1)//[1 0 0]STO(0 0 1)), whereas at lower temperatures Pt(1 1 1) films were obtained. The surface morphology of the Pt films showed a strong dependence on the deposition temperature as was revealed by atomic force microscopy (AFM). At elevated temperatures there is a three-dimensional (3D) growth of rectangular atomically flat islands with deep boundaries between them. On the other hand, at low deposition temperatures, a two-dimensional (2D) layered growth was observed. The transition from 2D to 3D growth modes was observed that occurs for temperatures around 450 °C. The obtained epitaxial thin films also formed an atomically sharp interface with the SrTiO{sub 3}(0 0 1) substrate as confirmed by HRTEM.

  12. Existence and nonexistence results for a singular boundary value problem arising in the theory of epitaxial growth

    Czech Academy of Sciences Publication Activity Database

    Escudero, C.; Hakl, Robert; Peral, I.; Torres, P.J.

    2014-01-01

    Roč. 37, č. 6 (2014), s. 793-807 ISSN 0170-4214 Institutional support: RVO:67985840 Keywords : singular boundary value problem * epitaxial growth * radial solution Subject RIV: BA - General Mathematics Impact factor: 0.918, year: 2014 http://onlinelibrary.wiley.com/doi/10.1002/mma.2836/full

  13. Study on initial stage of hetero-epitaxial growth by glancing angle scattering of fast ions from surfaces

    International Nuclear Information System (INIS)

    Fujii, Yoshikazu; Toba, Kazuaki; Narumi, Kazumasa; Kimura, Kenji; Mannami, Michihiko

    1993-01-01

    Initial stages of epitaxial growth of lead chalcogenides on the (100) surface of SnTe under UHV conditions are studied from the angular distribution of scattered ions at glancing angle incidence of 0.7 MeV He ions on the growing surfaces. Real time measurement of the angular distribution is performed during the growth. Anomalous broadening of the angular distribution is observed at the initial stage of the growth. The broadening is attributed to the surface wrinkles induced by a square network of misfit edge dislocations. (author)

  14. Improvements of MCT MBE Growth on GaAs

    Science.gov (United States)

    Ziegler, J.; Wenisch, J.; Breiter, R.; Eich, D.; Figgemeier, H.; Fries, P.; Lutz, H.; Wollrab, R.

    2014-08-01

    In recent years, continuous progress has been published in the development of HgCdTe (MCT) infrared (IR) focal plane arrays (FPAs) fabricated by molecular beam epitaxy on GaAs substrates. In this publication, further characterization of the state-of-the art 1280 × 1024 pixel, 15- μm pitch detector fabricated from this material in both the mid-wavelength (MWIR) and long-wavelength (LWIR) IR region will be presented. For MWIR FPAs, the percentage of defective pixel remains below 0.5% up to an operating temperature ( T OP) of around 100 K. For the LWIR FPA, an operability of 99.25% was achieved for a T OP of 76 K. Additionally, the beneficial effect of the inclusion of MCT layers with a graded composition region was investigated and demonstrated on current-voltage ( IV) characteristics on test diodes in a MWIR FPA.

  15. X-Ray Diffraction (XRD) Characterization Methods for Sigma=3 Twin Defects in Cubic Semiconductor (100) Wafers

    Science.gov (United States)

    Park, Yeonjoon (Inventor); Kim, Hyun Jung (Inventor); Skuza, Jonathan R. (Inventor); Lee, Kunik (Inventor); King, Glen C. (Inventor); Choi, Sang Hyouk (Inventor)

    2017-01-01

    An X-ray defraction (XRD) characterization method for sigma=3 twin defects in cubic semiconductor (100) wafers includes a concentration measurement method and a wafer mapping method for any cubic tetrahedral semiconductor wafers including GaAs (100) wafers and Si (100) wafers. The methods use the cubic semiconductor's (004) pole figure in order to detect sigma=3/{111} twin defects. The XRD methods are applicable to any (100) wafers of tetrahedral cubic semiconductors in the diamond structure (Si, Ge, C) and cubic zinc-blend structure (InP, InGaAs, CdTe, ZnSe, and so on) with various growth methods such as Liquid Encapsulated Czochralski (LEC) growth, Molecular Beam Epitaxy (MBE), Organometallic Vapor Phase Epitaxy (OMVPE), Czochralski growth and Metal Organic Chemical Vapor Deposition (MOCVD) growth.

  16. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  17. GaN/NbN epitaxial semiconductor/superconductor heterostructures

    Science.gov (United States)

    Yan, Rusen; Khalsa, Guru; Vishwanath, Suresh; Han, Yimo; Wright, John; Rouvimov, Sergei; Katzer, D. Scott; Nepal, Neeraj; Downey, Brian P.; Muller, David A.; Xing, Huili G.; Meyer, David J.; Jena, Debdeep

    2018-03-01

    Epitaxy is a process by which a thin layer of one crystal is deposited in an ordered fashion onto a substrate crystal. The direct epitaxial growth of semiconductor heterostructures on top of crystalline superconductors has proved challenging. Here, however, we report the successful use of molecular beam epitaxy to grow and integrate niobium nitride (NbN)-based superconductors with the wide-bandgap family of semiconductors—silicon carbide, gallium nitride (GaN) and aluminium gallium nitride (AlGaN). We apply molecular beam epitaxy to grow an AlGaN/GaN quantum-well heterostructure directly on top of an ultrathin crystalline NbN superconductor. The resulting high-mobility, two-dimensional electron gas in the semiconductor exhibits quantum oscillations, and thus enables a semiconductor transistor—an electronic gain element—to be grown and fabricated directly on a crystalline superconductor. Using the epitaxial superconductor as the source load of the transistor, we observe in the transistor output characteristics a negative differential resistance—a feature often used in amplifiers and oscillators. Our demonstration of the direct epitaxial growth of high-quality semiconductor heterostructures and devices on crystalline nitride superconductors opens up the possibility of combining the macroscopic quantum effects of superconductors with the electronic, photonic and piezoelectric properties of the group III/nitride semiconductor family.

  18. CdTe layer structures for X-ray and gamma-ray detection directly grown on the Medipix readout-chip by MBE

    Science.gov (United States)

    Vogt, A.; Schütt, S.; Frei, K.; Fiederle, M.

    2017-11-01

    This work investigates the potential of CdTe semiconducting layers used for radiation detection directly deposited on the Medipix readout-chip by MBE. Due to the high Z-number of CdTe and the low electron-hole pair creation energy a thin layer suffices for satisfying photon absorption. The deposition takes place in a modified MBE system enabling growth rates up to 10 μm/h while the UHV conditions allow the required high purity for detector applications. CdTe sensor layers deposited on silicon substrates show resistivities up to 5.8 × 108 Ω cm and a preferred (1 1 1) orientation. However, the resistivity increases with higher growth temperature and the orientation gets more random. Additionally, the deposition of a back contact layer sequence in one process simplifies the complex production of an efficient contact on CdTe with aligned work functions. UPS measurements verify a decrease of the work function of 0.62 eV induced by Te doping of the CdTe.

  19. Diffusion-driven growth of nanowires by low-temperature molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Rueda-Fonseca, P.; Orrù, M. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Bellet-Amalric, E.; Robin, E. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, INAC, F-38000 Grenoble (France); Den Hertog, M.; Genuist, Y.; André, R.; Tatarenko, S.; Cibert, J., E-mail: joel.cibert@neel.cnrs.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Institut NEEL, F-38000 Grenoble (France)

    2016-04-28

    With ZnTe as an example, we use two different methods to unravel the characteristics of the growth of nanowires (NWs) by gold-catalyzed molecular beam epitaxy at low temperature. In the first approach, CdTe insertions have been used as markers, and the nanowires have been characterized by scanning transmission electron microscopy, including geometrical phase analysis and energy dispersive electron spectrometry; the second approach uses scanning electron microscopy and the statistics of the relationship between the length of the tapered nanowires and their base diameter. Axial and radial growth are quantified using a diffusion-limited model adapted to the growth conditions; analytical expressions describe well the relationship between the NW length and the total molecular flux (taking into account the orientation of the effusion cells), and the catalyst-nanowire contact area. A long incubation time is observed. This analysis allows us to assess the evolution of the diffusion lengths on the substrate and along the nanowire sidewalls, as a function of temperature and deviation from stoichiometric flux.

  20. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  1. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  2. The molecular beam epitaxy growth and characterization of zinc cadmium selenide/zinc cadmium magnesium selenide-indium phosphide quantum cascade structures for operation in the 3 - 5 um range

    Science.gov (United States)

    Charles, William O.

    The quantum cascade (QC) laser has captured the interest of researchers for almost three decades. In the early stages, researchers were very interested in proving the QC concept1 proposed by Kazarinov and Suris in 1971. This new concept gave researchers hope that very bulky energy inefficient infra-red (IR) lasers would be replaced with ones that are very compact, tunable and portable. Since the proposal of the QC laser concept and its first demonstration by researchers at Bell Laboratories2 in 1994, this technology has progressed to the point where it is now finding commercial applications in a variety of areas such as military counter measures, free space telecommunications, infra-red imaging and chemical spectroscopy.3-5 The success of this technology can be attributed to the coming of age of the techniques of molecular beam epitaxy (MBE) semiconductor growth and bandgap engineering. 6,7 Using MBE technology, the temperature of the source material can be stabilized by making use of a combination of proportional integral derivative (PID) controllers and thermocouple feedbacks. As a result, the material flux from the effusion cells can achieve stability better than (+/-) 1%. This flux stability together with a well-developed computer controlled shuttering mechanism make it possible to grow multi-quantum well (MQW) structures with excellent layer thickness precision (mono-layer scale) and interface quality. This stringent control of material flux is also a tool that is used by MBE growers to vary the material compositions for the growth of lattice matched and strain compensated QC structures. Today, MBE stands out as one of the premier methods for growing high performing QC lasers. The first successful demonstration of a QC laser2 was done using the InGaAs/InAlAs-InP material system. This demonstration was then repeated a few years later using GaAs/AlGaAs-InP.8 These III-V material systems were extensively studied to establish their material parameters. Given that

  3. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  4. Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport

    Science.gov (United States)

    Su, Ching-Hua; Sha, Yi-Gao

    1995-01-01

    The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.

  5. Photo-irradiation effects on GaAs atomic layer epitaxial growth. GaAs no genshiso epitaxial seicho ni okeru hikari reiki koka

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y.; Sasaki, M.; Ishikawa, H. (Toshiba Corp., Kawasaki (Japan). Research and Development Center)

    1990-08-10

    Single atomic layer epitaxy (ALE) aims at controlling a growing film at a precision of single molecular layer. In this article, it is reported that the growth temperature range of ALE was expanded by the vertical irradiation of KrF exima laser (248 nm) onto the substrate for the ALE growth of GaAs using the metalorganic chemical vapor deposition (MOCVD) method. Thanks for the results of the above experiment, it was demonstrated that the irradiation effect was not thermal, but photochemical. In addition, this article studies the possibility of adsorption layer irradiation and surface irradiation as the photo-irradiation mechanism, and points out that coexistence of both irradiation mechanisms can be considered and, in case of exima laser, strong possibility of direct irradiation of the adsorption layer because of its high power density. Hereinafter, by using both optical growth ALE and thermal growth ALE jointly, the degree of freedom of combination of hetero ALE increases and its application to various material systems becomes possible. 16 refs., 6 figs.

  6. Dynamic grazing incidence fast atom diffraction during molecular beam epitaxial growth of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Atkinson, P., E-mail: atkinson@insp.jussieu.fr; Eddrief, M. [Sorbonne Universités, UPMC Univ. Paris 06, UMR 7588, INSP, F-75005 Paris (France); CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); Etgens, V. H. [CNRS, UMR 7588, Institut des NanoSciences de Paris, 4 place Jussieu, F-75005 Paris (France); VeDeCom-Université Versailles Saint-Quentin en Yvelines, Versailles (France); Khemliche, H., E-mail: hocine.khemliche@u-psud.fr; Debiossac, M.; Mulier, M.; Lalmi, B.; Roncin, P. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Momeni, A. [ISMO UMR8214 CNRS-Université Paris-Sud, Orsay F-91400 (France); Univ. Cergy Pontoise, F-95031 Cergy (France)

    2014-07-14

    A Grazing Incidence Fast Atom Diffraction (GIFAD) system has been mounted on a commercial molecular beam epitaxy chamber and used to monitor GaAs growth in real-time. In contrast to the conventionally used Reflection High Energy Electron Diffraction, all the GIFAD diffraction orders oscillate in phase, with the change in intensity related to diffuse scattering at step edges. We show that the scattered intensity integrated over the Laue circle is a robust method to monitor the periodic change in surface roughness during layer-by-layer growth, with oscillation phase and amplitude independent of incidence angle and crystal orientation. When there is a change in surface reconstruction at the start of growth, GIFAD intensity oscillations show that there is a corresponding delay in the onset of layer-by-layer growth. In addition, changes in the relative intensity of different diffraction orders have been observed during growth showing that GIFAD has the potential to provide insight into the preferential adatom attachment sites on the surface reconstruction during growth.

  7. A kinetic Monte Carlo simulation method of van der Waals epitaxy for atomistic nucleation-growth processes of transition metal dichalcogenides.

    Science.gov (United States)

    Nie, Yifan; Liang, Chaoping; Cha, Pil-Ryung; Colombo, Luigi; Wallace, Robert M; Cho, Kyeongjae

    2017-06-07

    Controlled growth of crystalline solids is critical for device applications, and atomistic modeling methods have been developed for bulk crystalline solids. Kinetic Monte Carlo (KMC) simulation method provides detailed atomic scale processes during a solid growth over realistic time scales, but its application to the growth modeling of van der Waals (vdW) heterostructures has not yet been developed. Specifically, the growth of single-layered transition metal dichalcogenides (TMDs) is currently facing tremendous challenges, and a detailed understanding based on KMC simulations would provide critical guidance to enable controlled growth of vdW heterostructures. In this work, a KMC simulation method is developed for the growth modeling on the vdW epitaxy of TMDs. The KMC method has introduced full material parameters for TMDs in bottom-up synthesis: metal and chalcogen adsorption/desorption/diffusion on substrate and grown TMD surface, TMD stacking sequence, chalcogen/metal ratio, flake edge diffusion and vacancy diffusion. The KMC processes result in multiple kinetic behaviors associated with various growth behaviors observed in experiments. Different phenomena observed during vdW epitaxy process are analysed in terms of complex competitions among multiple kinetic processes. The KMC method is used in the investigation and prediction of growth mechanisms, which provide qualitative suggestions to guide experimental study.

  8. Growth kinetics and properties of ZnO/ZnMgO heterostructures grown by radical-source molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ivanov, S.V. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Ioffe Physico-Technical Institute, Polytekhnicheskaya Street 26, 194021 St. Petersburg (Russian Federation); El-Shaer, A.; Bakin, A.; Waag, A. [Institute of Semiconductor Technology, Technical University Braunschweig, Hans-Sommer-Str. 66, 38106 Braunschweig (Germany); Shubina, T.V.; Listoshin, S.B. [Ioffe Physico-Technical Institute, Polytekhnicheskaya Street 26, 194021 St. Petersburg (Russian Federation)

    2007-07-01

    A phenomenological approach to quantitative description of Zn(Mg)O growth by radical-source molecular beam epitaxy, based on the experimental studies of RHEED intensity oscillations, has been developed. It allows a precise control of growth rate, composition and stoichiometry at any growth temperature, Along with optimization of a growth initiation procedure on a c-sapphire, it is necessary condition for fabrication of high quality ZnO epilayers and ZnO/ZnMgO heterostructures in a wide Mg composition range. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. EuO and Gd-doped EuO thin films. Epitaxial growth and properties

    International Nuclear Information System (INIS)

    Sutarto, Ronny

    2009-01-01

    quality of many of the doped EuO samples used in the past bulk studies. The focus of this thesis is on the preparation and the properties of high-quality single-crystalline EuO and Gd-doped EuO thin films. The so-called Eu-distillation-assisted molecular beam epitaxy (MBE) has been employed to achieve full control of the stoichiometry. The films have been epitaxially grown on yttria-stabilized cubic zirconia (YSZ) (001) substrates. By a systematic variation of the oxygen deposition rates, we have been able to observe sustained oscillations in the intensity of the reflection high-electron energy diffraction (RHEED) pattern during growth. We thus have demonstrated that layer-by-layer growth has been achieved for the first time. We also have confirmed that YSZ indeed supplies oxygen during the initial stages of growth, yet the EuO stoichiometry can still be well maintained. In the case of Gd-doped EuO films, the presence of Gd even helps to stabilize the layer-by-layer growth mode. It is important to achieve this growth mode, since it enables the preparation of films with very smooth and at surfaces. This in turn facilitates the capping of the films with a thin Al overlayer in order to protect the films against degradation under ambient conditions. More important, the smoothness of the lm will enable the preparation of high quality device structures. By using ex-situ soft x-ray absorption spectroscopy (XAS) at the Eu and Gd M 4,5 edges, we have confirmed that the films are completely free from Eu 3+ contaminants, and we were able to determine reliably the actual Gd concentration. This actual Gd concentration could in fact significantly deviate from the nominal Gd/Eu evaporation ratio. From magnetization and susceptibility measurements, we found the Curie temperature to increase smoothly as a function of doping from 69 K up to a maximum of 125 K, all with a saturation moment of 7 μB. A threshold behavior was not observed for Gd concentrations as low as 0.2 %. Analysis of the

  10. EuO and Gd-doped EuO thin films. Epitaxial growth and properties

    Energy Technology Data Exchange (ETDEWEB)

    Sutarto, Ronny

    2009-07-06

    this respect the quality of many of the doped EuO samples used in the past bulk studies. The focus of this thesis is on the preparation and the properties of high-quality single-crystalline EuO and Gd-doped EuO thin films. The so-called Eu-distillation-assisted molecular beam epitaxy (MBE) has been employed to achieve full control of the stoichiometry. The films have been epitaxially grown on yttria-stabilized cubic zirconia (YSZ) (001) substrates. By a systematic variation of the oxygen deposition rates, we have been able to observe sustained oscillations in the intensity of the reflection high-electron energy diffraction (RHEED) pattern during growth. We thus have demonstrated that layer-by-layer growth has been achieved for the first time. We also have confirmed that YSZ indeed supplies oxygen during the initial stages of growth, yet the EuO stoichiometry can still be well maintained. In the case of Gd-doped EuO films, the presence of Gd even helps to stabilize the layer-by-layer growth mode. It is important to achieve this growth mode, since it enables the preparation of films with very smooth and at surfaces. This in turn facilitates the capping of the films with a thin Al overlayer in order to protect the films against degradation under ambient conditions. More important, the smoothness of the lm will enable the preparation of high quality device structures. By using ex-situ soft x-ray absorption spectroscopy (XAS) at the Eu and Gd M{sub 4,5} edges, we have confirmed that the films are completely free from Eu{sup 3+} contaminants, and we were able to determine reliably the actual Gd concentration. This actual Gd concentration could in fact significantly deviate from the nominal Gd/Eu evaporation ratio. From magnetization and susceptibility measurements, we found the Curie temperature to increase smoothly as a function of doping from 69 K up to a maximum of 125 K, all with a saturation moment of 7 {mu}B. A threshold behavior was not observed for Gd concentrations

  11. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  12. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  13. On the origin and elimination of macroscopic defects in MBE films

    Science.gov (United States)

    Wood, C. E. C.; Rathbun, L.; Ohno, H.; DeSimone, D.

    1981-02-01

    Spitting of group III metal droplets from Knudsen type effusion cells has been found culpable for a genre of problematical macroscopic surface topographical defects observed in the growth of semiconductor films by molecular beam epitaxy. Successful precautions are described which virtually eliminate the problem.

  14. Environmental safety issues for semiconductors (research on scarce materials recycling)

    International Nuclear Information System (INIS)

    Izumi, Shigekazu

    2004-01-01

    In the 21st century, in the fabrication of various industrial parts, particularly, current and future electronics devices in the semiconductor industry, environmental safety issues should be carefully considered. We coined a new term, environmental safety issues for semiconductors, considering our semiconductor research and technology which include environmental and ecological factors. The main object of this analysis is to address the present situation of environmental safety problems in the semiconductor industry; some of which are: (1) the generation and use of hazardous toxic gases in the crystal growth procedure such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), (2) the generation of industrial toxic wastes in the semiconductor process and (3) scarce materials recycling from wastes in the MBE and MOCVD growth procedure

  15. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  16. Structure and homoepitaxial growth of GaAs(6 3 1)

    International Nuclear Information System (INIS)

    Mendez-Garcia, V.H.; Ramirez-Arenas, F.J.; Lastras-Martinez, A.; Cruz-Hernandez, E.; Pulzara-Mora, A.; Rojas-Ramirez, J.S.; Lopez-Lopez, M.

    2006-01-01

    We have studied the surface atomic structure of GaAs(6 3 1), and the GaAs growth by molecular beam epitaxy (MBE) on this plane. After the oxide desorption process at 585 deg. Creflection high-energy electron diffraction (RHEED) showed along the [-1 2 0] direction a 2x surface reconstruction for GaAs(6 3 1)A, and a 1x pattern was observed for GaAs(6 3 1)B. By annealing the substrates for 60 min, we observed that on the A surface appeared small hilly-like features, while on GaAs(6 3 1)B surface pits were formed. For GaAs(6 3 1)A, 500 nm-thick GaAs layers were grown at 585 deg. C. The atomic force microscopy (AFM) images at the end of growth showed the self-formation of nanoscale structures with a pyramidal shape enlarged along the [5-9-3] direction. Transversal views of the bulk-truncated GaAs(6 3 1) surface model showed arrays of atomic grooves along this direction, which could influence the formation of the pyramidal structures

  17. Epitaxial growth of quantum rods with high aspect ratio and compositional contrast

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Fiore, A.

    2008-01-01

    The epitaxial growth of quantum rods (QRs) on GaAs was investigated. It was found that GaAs thickness in the GaAs/InAs superlattice used for QR formation plays a key role in improving the QR structural properties. Increasing the GaAs thickness results in both an increased In compositional contrast between the QRs and surrounding layer, and an increased QR length. QRs with an aspect ratio of up to 10 were obtained, representing quasiquantum wires in a GaAs matrix. Due to modified confinement and strain potential, such nanostructure is promising for controlling gain polarization

  18. Thermoelectric power of Bi and Bi{sub 1{minus}x}Sb{sub x} alloy thin films and superlattices grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Cho, S; DiVenere, A; Wong, G K; Ketterson, J B; Meyer, J R; Hoffman, C A

    1997-07-01

    The authors have measured the thermoelectric power (TEP) of MBE-grown epitaxial Bi and Bi{sub 1{minus}x} alloy thin films and superlattices as a function of temperature in the range 20--300 K. They have observed that the TEP of a Bi thin film of 1 {micro}m thickness is in good agreement with the bulk single crystal value and that the TEPs for superlattices with 400 {angstrom} and 800 {angstrom} Bi well thicknesses are enhanced over the bulk values. For x = 0.072 and 0.088 in Bi{sub 1{minus}x}Sb{sub x} thin films showing semiconducting behavior, TEP enhancement was observed by a factor of two. However as Bi or Bi{sub 1{minus}x}Sb{sub x} well thickness decreases in superlattice geometry, the TEP decreases, which may be due to unintentional p-type doping.

  19. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy; Ionenstrahlsynthese und Charakterisierung grossflaechiger 3C-SiC-Pseudosubstrate fuer die Homo- und Heteroepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Haeberlen, Maik

    2006-12-15

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C{sub 60}-MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)

  20. Ion beam synthesis and characterization of large area 3C-SiC pseudo substrates for homo- and heteroepitaxy

    International Nuclear Information System (INIS)

    Haeberlen, Maik

    2006-12-01

    In this work, large area epitaxial 3C-SiC films on Si(100) and Si(111) were formed by ion beam synthesis and subsequently characterized for their structural and crystalline properties. These SiC/Si structures are meant to be used as SiC pseudosubstrates for the homo- and heteroepitaxial growth of other compound semiconductors. The suitability of these pseudosubstrates for this purpose was tested using various epitaxial systems and thin film growth methods. For this the homoepitaxial growth of 3C-SiC employing C 60 -MBE and the heteroepitaxial growth of hexagonal GaN films grown by MOCVD and IBAMBA was studied in detail. The comparison of the structural and crystalline properties with data from literature enabled a qualified judgement of the potential of the 3C-SiC pseudosubstrates as an alternative substrate for the epitaxial growth of such films. These new 3C-SiC pseudosubstrates also enabled studies of other little known epitaxial systems: For the first time hexagonal ZnO films on (111) oriented pseudosubstrates were grown using PLD. The method if IBAMBE enabled the growth of cubic GaN layers on (100)-oriented pseudosubstrates. (orig.)

  1. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  2. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  3. Enhancement of the 2DEG density in AlGaAs/InGaAs/GaAs P-HEMTs structures grown by MBE on (311)A and (111)A GaAs substrates

    International Nuclear Information System (INIS)

    Rekaya, S.; Sfaxi, L.; Bouzaiene, L.; Maaref, H.; Bru-Chevallier, C.

    2008-01-01

    The pseudomorphic high electron mobility transistor (P-HEMT) structure materials Al 0.33 Ga 0.7 As/In 0.1 Ga 0.9 As/GaAs have been grown by molecular beam epitaxy (MBE) on (311)A and (111)A GaAs substrates. The epitaxy of strain heterostructure on high index GaAs substrate has led to new growth phenomena, material properties and device applications. The photoluminescence (PL) spectra of the structures have been measured at low temperature. The dominant emission in the PL spectra is due to the recombination from the first electron (e1) subband to the first heavy-hole (hh1) subband (E 11 : e1-hh1). This feature (E 11 ) is a relatively broad peak and has a typical asymmetric line shape. The transformation of the PL spectra in the close vicinity of the Fermi edge (E F ) under different excitation densities gives strong evidence for the Fermi Edge Singularity (FES) existence. The density of the quasi-two-dimensional electron gas (2DEG) determined by PL study (n s PL ), is in sufficient agreement with the values found from Hall measurements n s Hall at 77 K. The results prove an increase of the electron density in sample grown on GaAs (111)A and (311)A rather than in equivalent sample grown on (001) GaAs substrate. This effect is in good agreement with our theoretical prediction, which is based on a self-consistent solution of the coupled Schroedinger and Poisson equations

  4. Epitaxial growth of bcc-FexCo100-x thin films on MgO(1 1 0) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nishiyama, Tsutomu; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2010-01-01

    Fe x Co 100-x (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe x Co 100-x film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe x Co 100-x crystals with very small errors less than ±0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe 50 Co 50 /MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  5. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  6. Controlling the growth of epitaxial graphene on metalized diamond (111) surface

    International Nuclear Information System (INIS)

    Cooil, S. P.; Wells, J. W.; Hu, D.; Evans, D. A.; Niu, Y. R.; Zakharov, A. A.; Bianchi, M.

    2015-01-01

    The 2-dimensional transformation of the diamond (111) surface to graphene has been demonstrated using ultrathin Fe films that catalytically reduce the reaction temperature needed for the conversion of sp 3 to sp 2 carbon. An epitaxial system is formed, which involves the re-crystallization of carbon at the Fe/vacuum interface and that enables the controlled growth of monolayer and multilayer graphene films. In order to study the initial stages of single and multilayer graphene growth, real time monitoring of the system was preformed within a photoemission and low energy electron microscope. It was found that the initial graphene growth occurred at temperatures as low as 500 °C, whilst increasing the temperature to 560 °C was required to produce multi-layer graphene of high structural quality. Angle resolved photoelectron spectroscopy was used to study the electronic properties of the grown material, where a graphene-like energy momentum dispersion was observed. The Dirac point for the first layer is located at 2.5 eV below the Fermi level, indicating an n-type doping of the graphene due to substrate interactions, while that of the second graphene layer lies close to the Fermi level

  7. Phase-Locked Semiconductor Quantum Well Laser Arrays.

    Science.gov (United States)

    1987-03-01

    heated monocrystalline substrate. 149 APPENDIX B. A TECHNOLOGICAL APPENDIX 150 The general topic of molecular beam epitaxy (MBE) of compound semi...APPENDIX B. A TECHNOLOGICAL APPENDIX 151 - MONOCRYSTALLINE GaAs SUBSTRATE MOLECULAR / BEAMS...for 30 minutes at 300 C. During this time, the growth chamber cryo- panel is cooled with liquid nitrogen and the sources in the effusion cells are

  8. Formation of Ge dot or film in Ge/Si heterostructure by using sub-monolayer carbon deposition on top and in-situ post annealing

    Energy Technology Data Exchange (ETDEWEB)

    Itoh, Yuhki, E-mail: itoh.yuhki@ecei.tohoku.ac.jp; Hatakeyama, Shinji; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    Effects of carbon (C) atoms on solid-phase epitaxial growth of Ge on Si(100) have been studied. C and Ge layers were deposited on Si(100) substrates at low temperature (150–300 °C) by using solid-source molecular beam epitaxy (MBE) system and subsequently annealed at 650 °C in the MBE chamber. The surface morphology after annealing changed depending on deposited amounts of C and deposition temperature of Ge. Ge dots were formed for small amounts of C while smooth Ge films were formed by large amounts of C varying with the Ge deposition temperature. The surface morphology after annealing was also affected by the as-deposited Ge crystallinity. The change in surface morphology depending on the amounts of deposited C was considered to be affected by the formation of Ge–C bonds which relieved the misfit strain between Ge and Si. The crystallinity of Ge deteriorated with increasing C coverage due to the incorporation of insoluble C atoms in the shape of both dots and films. - Highlights: • Effects of carbon on solid-phase epitaxy of C/Ge/Si(100) were studied. • Surface morphology changed depending on C amounts and Ge deposition temperature. • Solid-phase growth of Ge changed from large dots to smooth films with C coverage. • Transition of surface morphology was affected by the formation of Ge–C bonds.

  9. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  10. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  11. Structural and electronic properties of epitaxial graphene on SiC(0 0 0 1): a review of growth, characterization, transfer doping and hydrogen intercalation

    International Nuclear Information System (INIS)

    Riedl, C; Coletti, C; Starke, U

    2010-01-01

    Graphene, a monoatomic layer of graphite, hosts a two-dimensional electron gas system with large electron mobilities which makes it a prospective candidate for future carbon nanodevices. Grown epitaxially on silicon carbide (SiC) wafers, large area graphene samples appear feasible and integration in existing device technology can be envisioned. This paper reviews the controlled growth of epitaxial graphene layers on SiC(0 0 0 1) and the manipulation of their electronic structure. We show that epitaxial graphene on SiC grows on top of a carbon interface layer that-although it has a graphite-like atomic structure-does not display the linear π-bands typical for graphene due to a strong covalent bonding to the substrate. Only the second carbon layer on top of this interface acts like monolayer graphene. With a further carbon layer, a graphene bilayer system develops. During the growth of epitaxial graphene on SiC(0 0 0 1) the number of graphene layers can be precisely controlled by monitoring the π-band structure. Experimental fingerprints for in situ growth control could be established. However, due to the influence of the interface layer, epitaxial graphene on SiC(0 0 0 1) is intrinsically n-doped and the layers have a long-range corrugation in their density of states. As a result, the Dirac point energy where the π-bands cross is shifted away from the Fermi energy, so that the ambipolar properties of graphene cannot be exploited. We demonstrate methods to compensate and eliminate this structural and electronic influence of the interface. We show that the band structure of epitaxial graphene on SiC(0 0 0 1) can be precisely tailored by functionalizing the graphene surface with tetrafluoro-tetracyanoquinodimethane (F4-TCNQ) molecules. Charge neutrality can be achieved for mono- and bilayer graphene. On epitaxial bilayer graphene, where a band gap opens due to the asymmetric electric field across the layers imposed by the interface, the magnitude of this band gap

  12. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  13. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  14. Growth and characterization of InAs quantum dots on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Hansen, L.; Ankudinov, A.; Bensing, F.; Wagner, J.; Wagner, V.; Geurts, J. [Wuerzburg Univ. (Germany). Lehrstuhl fuer Experimentelle Physik 3; Ade, G.; Hinze, P. [Physikalisch-Technische Bundesanstalt, Braunschweig (Germany); Waag, A. [Ulm Univ. (Germany). Abt. Halbleiterphysik

    2001-03-08

    We present a comprehensive investigation of molecular beam epitaxial (MBE) grown InAs quantum dots (QD) on silicon (001) and (111) by reflection high energy electron diffraction (RHEED) and Raman spectroscopy in UHV environment and ex-situ by atomic force microscopy (AFM) and transmission electron microscopy (TEM). Two different ways were developed to prepare up to 10{sup 11} cm{sup -2} InAs QDs on Si(001). One is the conventional mode by exceeding a critical thickness of deposition at which 2D growth changes towards a 3D growth mode. A second way is a dewetting transition, induced by cooling an approximately 1 ML thin 2D InAs layer from growth temperature below a critical temperature at which RHEED indicates the formation of nanoislands. Samples grown in both manners show significant differences in morphology and shape though RHEED, TEM and Raman studies correspondingly indicate strain relaxation. On Si(111) InAs grows in the common temperature range for InAs growth ({proportional_to}400 C) in flat clusters separated by deep trenches. A previous passivation of the Si(111) surface with arsenic at {proportional_to}700 C on the other hand leads to the formation of large InAs nanocrystals. (orig.)

  15. Molecular beam epitaxy a short history

    CERN Document Server

    Orton, J W

    2015-01-01

    This volume describes the development of molecular beam epitaxy from its origins in the 1960s through to the present day. It begins with a short historical account of other methods of crystal growth, both bulk and epitaxial, to set the subject in context, emphasising the wide range of semiconductor materials employed. This is followed by an introduction to molecular beams and their use in the Stern-Gerlach experiment and the development of the microwave MASER.

  16. Growth and characterisation of group-III nitride-based nanowires for devices

    Energy Technology Data Exchange (ETDEWEB)

    Meijers, R J

    2007-08-30

    One of the main goals of this thesis was to get more insight into the mechanisms driving the growth of nitride nanowires by plasma-assisted molecular beam epitaxy (PA-MBE). The influence of the group-III and group-V flux as well as the substrate temperature T{sub sub} has been studied leading to the conclusion that the III-V ratio determines the growth mode. Ga desorption limits the temperature range to grow GaN nanowires and dissociation of InN is the limiting factor for InN nanowire growth. A reduction of the surface diffusivity on polar surfaces under N-rich conditions explains the anisotropic growth. Growth kinetics of the nanowires show that there are two important contributions to the growth. The first is growth by direct impingement and its contribution is independent of the nanowire diameter. The second contribution comes from atoms, which absorb on the substrate or wire sidewalls and diffuse along the sidewalls to the top of the wire, which acts as an effective sink for the adatoms due to a reduced surface mobility on the polar top of the wires. This diffusion channel, which is enhanced at higher T{sub sub}, becomes more significant for smaller wire diameters, because its contribution scales like 1/d. Experiments with an interruption of the growth and sharp interfaces in TEM images of heterostructures show that the suggestion in literature of a droplet-mediated PA-MBE nitride growth has to be discarded. Despite a thin amorphous silicon nitride wetting layer on the substrate surface, both GaN and InN nanowires grow in the wurtzite structure and epitaxially in a one-to-one relation to the Si(111) substrate surface. There is no evidence for cubic phases. TEM images and optical studies display a high crystalline and optical quality of GaN and InN nanowires. The substrate induces some strain in the bottom part of the nanowires, especially in InN due to the lower T{sub sub} than for GaN, which is released without the formation of dislocations. Only some stacking

  17. Epitaxial growth of higher transition-temperature VO2 films on AlN/Si

    Directory of Open Access Journals (Sweden)

    Tetiana Slusar

    2016-02-01

    Full Text Available We report the epitaxial growth and the mechanism of a higher temperature insulator-to-metal-transition (IMT of vanadium dioxide (VO2 thin films synthesized on aluminum nitride (AlN/Si (111 substrates by a pulsed-laser-deposition method; the IMT temperature is TIMT ≈ 350 K. X-ray diffractometer and high resolution transmission electron microscope data show that the epitaxial relationship of VO2 and AlN is VO2 (010 ‖ AlN (0001 with VO2 [101] ‖   AlN   [ 2 1 ̄ 1 ̄ 0 ] zone axes, which results in a substrate-induced tensile strain along the in-plane a and c axes of the insulating monoclinic VO2. This strain stabilizes the insulating phase of VO2 and raises TIMT for 10 K higher than TIMT single crystal ≈ 340 K in a bulk VO2 single crystal. Near TIMT, a resistance change of about four orders is observed in a thick film of ∼130 nm. The VO2/AlN/Si heterostructures are promising for the development of integrated IMT-Si technology, including thermal switchers, transistors, and other applications.

  18. Monitoring the beam flux in molecular beam epitaxy using laser multiphoton ionization

    International Nuclear Information System (INIS)

    Chien, R.; Sogard, M.R.

    1990-01-01

    In this paper, we will describe a method using laser nonresonant multiphoton ionization to measure beam flux in molecular beam epitaxy (MBE) systems. The results were obtained in a test chamber where a focused excimer laser beam was used to photoionize a small fraction of the atomic and molecular beams. The constituents of the beams were identified by a time-of-flight mass spectrometer. Ion signal strength was found to be directly correlated to the temperature of the atomic beam oven. Good stability and sensitivity on gallium, aluminum, and silicon atomic beams was demonstrated. Arsenic was also detected. We demonstrated very sensitive detection of contaminant atomic and molecular constituents of our system. We have also detected the presence of short-term fluctuations in the gallium flux from an effusion source. These fluctuations, previously suspected, can be in excess of ±10%

  19. Passivation of MBE grown InGaSb/InAs superlattice photodiodes

    Science.gov (United States)

    Hill, Cory J.; Keo, Sam S.; Mumolo, Jason M.; Gunapala, Sarath D.

    2005-01-01

    We have performed wet chemical passivation tests on InGaSb/InAs superlattice photodiode structures grown molecular beam epitaxy. The details of the devices growth and characterization as well as the results of chemical passivation involving RuCl3 and H2SO4 with SiO2 dielectric depositions are presented.

  20. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  1. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    Science.gov (United States)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  2. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  3. Point defect balance in epitaxial GaSb

    International Nuclear Information System (INIS)

    Segercrantz, N.; Slotte, J.; Makkonen, I.; Kujala, J.; Tuomisto, F.; Song, Y.; Wang, S.

    2014-01-01

    Positron annihilation spectroscopy in both conventional and coincidence Doppler broadening mode is used for studying the effect of growth conditions on the point defect balance in GaSb:Bi epitaxial layers grown by molecular beam epitaxy. Positron annihilation characteristics in GaSb are also calculated using density functional theory and compared to experimental results. We conclude that while the main positron trapping defect in bulk samples is the Ga antisite, the Ga vacancy is the most prominent trap in the samples grown by molecular beam epitaxy. The results suggest that the p–type conductivity is caused by different defects in GaSb grown with different methods.

  4. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  5. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W., E-mail: wilfrid.prellier@ensicaen.fr [Laboratoire CRISMAT, CNRS UMR 6508, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Grygiel, C. [Laboratoire CIMAP, CEA, CNRS UMR 6252, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Rohrer, G. S.; Salvador, P. A. [Department of Materials Science and Engineering, Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, Pennsylvania 15213 (United States); Velazquez, M. [CNRS, Université de Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Kloe, R. de [AMETEK B.V, EDAX Application Laboratory, Tilburg (Netherlands)

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.

  6. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  7. n-VO{sub 2}/p-GaN based nitride–oxide heterostructure with various thickness of VO{sub 2} layer grown by MBE

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Minhuan [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Bian, Jiming, E-mail: jmbian@dlut.edu.cn [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Sun, Hongjun; Liu, Weifeng [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China); Zhang, Yuzhi [Key Laboratory of Inorganic Coating Materials, Chinese Academy of Sciences, Shanghai 200050, China (China); Luo, Yingmin [Key Laboratory of Materials Modification by Laser, Ion and Electron Beams (Ministry of Education), School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116024 (China)

    2016-12-15

    Graphical abstract: The significant influences of VO{sub 2} layer thickness on the structural, electrical and contact properties of the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure were investigated systemically. - Highlights: • High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). • A distinct reversible SMT phase transition was observed for the n-VO{sub 2}/p-GaN based nitride-oxide heterostructure. • The clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer. • The XPS analyses confirmed the valence state of V in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. • The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure devices will benefit significantly from these achievements. - Abstract: High quality VO{sub 2} films with precisely controlled thickness were grown on p-GaN/sapphire substrates by oxide molecular beam epitaxy (O-MBE). Results indicated that a distinct reversible semiconductor-to-metal (SMT) phase transition was observed for all the samples in the temperature dependent electrical resistance measurement, and the influence of VO{sub 2} layer thickness on the SMT properties of the as-grown n-VO{sub 2}/p-GaN based nitride-oxide heterostructure was investigated. Meanwhile, the clear rectifying transport characteristics originated from the n-VO{sub 2}/p-GaN interface were demonstrated before and after SMT of the VO{sub 2} over layer, which were attributed to the p-n junction behavior and Schottky contact character, respectively. Moreover, the X-ray photoelectron spectroscopy (XPS) analyses confirmed the valence state of vanadium (V) in VO{sub 2} films was principally composed of V{sup 4+} with trace amount of V{sup 5+}. The design and modulation of the n-VO{sub 2}/p-GaN based heterostructure

  8. Epitaxial growth of fcc-CoxNi100-x thin films on MgO(110) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Sato, Yoichi; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    Co x Ni 100-x (x=100, 80, 20, 0 at. %) epitaxial thin films were prepared on MgO(110) single-crystal substrates heated at 300 deg. C by ultrahigh vacuum molecular beam epitaxy. The growth mechanism is discussed based on lattice strain and crystallographic defects. CoNi(110) single-crystal films with a fcc structure are obtained for all compositions. Co x Ni 100-x film growth follows the Volmer-Weber mode. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the Co x Ni 100-x films are in agreement within ±0.5% with the values of the respective bulk Co x Ni 100-x crystals, suggesting that the strain in the film is very small. High-resolution cross-sectional transmission microscopy shows that an atomically sharp boundary is formed between a Co(110) fcc film and a MgO(110) substrate, where periodical misfit dislocations are preferentially introduced in the film at the Co/MgO interface. The presence of such periodical misfit dislocations relieves the strain caused by the lattice mismatch between the film and the substrate.

  9. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  10. Growth of conductive HfO{sub 2-x} thin films by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hildebrandt, Erwin; Kurian, Jose; Alff, Lambert [Institut fuer Materialwissenschaft, TU Darmstadt (Germany); Kleebe, Hans-Joachim [Institut fuer Angewandte Geowissenschaften, TU Darmstadt (Germany)

    2009-07-01

    Thin films of oxygen deficient hafnium oxide were grown on single crystal c-cut and r-cut sapphire substrates by reactive molecular beam epitaxy. The oxidation conditions during growth were varied within a wide range using RF-activated oxygen. Hafnium oxide thin films were characterized using X-ray diffraction, resistivity measurements ({rho}-T) and transmission electron microscopy (TEM). The results show a dramatic increase in conductivity of the deposited oxygen deficient hafnium oxide thin films with decreasing oxidation conditions during growth. The electrical properties of deficient hafnium oxide thin films varied from insulating over semiconducting to conducting. X-ray diffraction data as well as TEM data rule out the possibility of conductivity due to metallic hafnium.

  11. Droplet Epitaxy Image Contrast in Mirror Electron Microscopy

    Science.gov (United States)

    Kennedy, S. M.; Zheng, C. X.; Jesson, D. E.

    2017-01-01

    Image simulation methods are applied to interpret mirror electron microscopy (MEM) images obtained from a movie of GaAs droplet epitaxy. Cylindrical symmetry of structures grown by droplet epitaxy is assumed in the simulations which reproduce the main features of the experimental MEM image contrast, demonstrating that droplet epitaxy can be studied in real-time. It is therefore confirmed that an inner ring forms at the droplet contact line and an outer ring (or skirt) occurs outside the droplet periphery. We believe that MEM combined with image simulations will be increasingly used to study the formation and growth of quantum structures.

  12. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  13. Growth of CoSi2 on Si(001) by reactive deposition epitaxy

    International Nuclear Information System (INIS)

    Lim, C.W.; Shin, C.-S.; Gall, D.; Zuo, J.M.; Petrov, I.; Greene, J.E.

    2005-01-01

    CaF 2 -structure CoSi 2 layers were formed on Si(001) by reactive deposition epitaxy (RDE) and compared with CoSi 2 layers obtained by conventional solid phase growth (SPG). In both sets of experiments, Co was deposited by ultrahigh-vacuum magnetron sputtering and CoSi 2 formed at 600 deg. C. However, in the case of RDE, CoSi 2 formation occurred during Co deposition while for SPG, Co was deposited at 25 deg. C and silicidation took place during subsequent annealing. X-ray diffraction pole figures and transmission electron microscopy results demonstrate that RDE CoSi 2 layers are epitaxial with a cube-on-cube relationship (001) CoSi 2 parallel (001) Si and [100] CoSi 2 parallel[100] Si . In contrast, SPG films are polycrystalline with an average grain size of ≅1000 A and a mixed 111/002/022/112 orientation. We attribute the striking difference to rapid Co diffusion into the Si(001) substrate during RDE for which the high Co/Si reactivity gives rise to a flux-limited reaction resulting in the direct formation of the disilicide phase. In contrast, sequential nucleation and transformation among increasingly Si-rich phases--from orthorhombic Co 2 Si to cubic CoSi to CoSi 2 --during SPG results in polycrystalline layers with a complex texture

  14. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    Science.gov (United States)

    2016-09-15

    AFRL-AFOSR-VA-TR-2016-0319 Chirality -Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and...TELEPHONE NUMBER (Include area code) DISTRIBUTION A: Distribution approved for public release. 15-06-2016 final Jun 2014 - Jun 2016 Chirality ...for Public Release; Distribution is Unlimited. In this report, we present our efforts in establishing a novel and effective approach for chirality

  15. Effects of growth rate on structural property and adatom migration behaviors for growth of GaInNAs/GaAs (001) by molecular beam epitaxy

    Science.gov (United States)

    Li, Jingling; Gao, Peng; Zhang, Shuguang; Wen, Lei; Gao, Fangliang; Li, Guoqiang

    2018-03-01

    We have investigated the structural properties and the growth mode of GaInNAs films prepared at different growth rates (Rg) by molecular beam epitaxy. The crystalline structure is studied by high resolution X-ray diffraction, and the evolution of GaInNAs film surface morphologies is studied by atomic force microscopy. It is found that both the crystallinity and the surface roughness are improved by increasing Rg, and the change in the growth mode is attributed to the adatom migration behaviors particularly for In atoms, which is verified by elemental analysis. In addition, we have presented some theoretical calculation results related to the N adsorption energy to show the unique N migration behavior, which is instructive to interpret the growth mechanism of GaInNAs films.

  16. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  17. Defect mediated van der Waals epitaxy of hexagonal boron nitride on graphene

    Science.gov (United States)

    Heilmann, M.; Bashouti, M.; Riechert, H.; Lopes, J. M. J.

    2018-04-01

    Van der Waals heterostructures comprising of hexagonal boron nitride and graphene are promising building blocks for novel two-dimensional devices such as atomically thin transistors or capacitors. However, demonstrators of those devices have been so far mostly fabricated by mechanical assembly, a non-scalable and time-consuming method, where transfer processes can contaminate the surfaces. Here, we investigate a direct growth process for the fabrication of insulating hexagonal boron nitride on high quality epitaxial graphene using plasma assisted molecular beam epitaxy. Samples were grown at varying temperatures and times and studied using atomic force microscopy, revealing a growth process limited by desorption at high temperatures. Nucleation was mostly commencing from morphological defects in epitaxial graphene, such as step edges or wrinkles. Raman spectroscopy combined with x-ray photoelectron measurements confirm the formation of hexagonal boron nitride and prove the resilience of graphene against the nitrogen plasma used during the growth process. The electrical properties and defects in the heterostructures were studied with high lateral resolution by tunneling current and Kelvin probe force measurements. This correlated approach revealed a nucleation apart from morphological defects in epitaxial graphene, which is mediated by point defects. The presented results help understanding the nucleation and growth behavior during van der Waals epitaxy of 2D materials, and point out a route for a scalable production of van der Waals heterostructures.

  18. The formation of hexagonal-shaped InGaN-nanodisk on GaN-nanowire observed in plasma source molecular beam epitaxy

    KAUST Repository

    Ng, Tien Khee; Gasim, Anwar; Cha, Dong Kyu; Janjua, Bilal; Yang, Yang; Jahangir, Shafat; Zhao, Chao; Bhattacharya, Pallab; Ooi, Boon S.

    2014-01-01

    sensitive growth kinetics during plasma-assisted MBE of InGaN at low temperature, i.e. when the substrate temperature was reduced from 800 °C (GaN growth) to <600 °C (InGaN growth), during which sparsely populated metal-droplet formation prevails and further

  19. In induced reconstructions of Si(1 1 1) as superlattice matched epitaxial templates for InN growth

    International Nuclear Information System (INIS)

    Kuyyalil, Jithesh; Tangi, Malleswararao; Shivaprasad, S.M.

    2013-01-01

    Graphical abstract: Display Omitted Highlights: ► A novel growth method to form InN at low growth temperatures. ► Use of Si reconstruction as a growth template for group III nitrides. ► Band gap variation of InN – Moss–Burstein shift – non-parabolic conduction band for InN. ► Super lattice matching epitaxy of metal induced reconstructions with III–V unit cell. -- Abstract: Indium induced surface reconstructions of Si(1 1 1)-7 × 7 are used as templates to grow high quality InN. We grow InN on Si(1 1 1)-7 × 7, Si(1 1 1)-4 × 1-In and Si(1 1 1)-1 × 1-In reconstructed surfaces and study the quality of the films formed using complementary characterization tools. InN grown on Si(1 1 1)-1 × 1-In reconstruction shows superior film quality with lowest band-edge emission having a narrow full width at half maximum, intense and narrow 0 0 0 2 X-ray diffraction, low surface roughness and carrier concentration an order lower than other samples. We attribute the high quality of the film formed at 300 °C to the integral matching of InN and super lattice dimensions, we also study the reasons for the band gap variation of InN in the literature. Present study demonstrates the proposed Superlattice Matched Epitaxy can be a general approach to grow good quality InN at much lower growth temperature on compatible In induced reconstructions of the Si surface.

  20. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Chopra, A.; Bijkerk, Frederik; Rijnders, Augustinus J.H.M.

    2014-01-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In

  1. Epitaxial growth of matched metallic ErP0.6As0.4 layers on GaAs

    International Nuclear Information System (INIS)

    Guivarc'h, A.; Le Corre, A.; Gaulet, J.; Guenais, B.; Minier, M.; Ropars, G.; Badoz, P.A.; Duboz, J.Y.

    1990-01-01

    Successful growth of (001)ErP 0.6 As 0.4 single crystal film on (001) GaAs has been demonstrated. The epitaxial metallic layers reproducibly showed lattice mismatch below 5 10 -4 . This is, to the authors' knowledge, the first report of a stable, epitaxial and lattice-matched metal/compound semiconductor heterostructure. The ErP 0.6 As 0.4 /n-GaAs diodes yielded excellent I-V characteristics with an ideality factor of 1.1 and barrier height of 0.88 eV. For a 240 Angstrom- thick film, metallic behavior was observed with resistivities of 25 and 86 μΩcm at 1.5 K and room temperature, respectively. As the other Er compounds ErP, ErAs, ErSb and ErSi 2 , ErP 0.6 As 0.4 presents an abrupt drop in resistivity in the vicinity of the liquid helium temperature, due to a paramagnetic to antiferromagnetic phase transition

  2. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  3. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  4. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  5. In-situ cyclic pulse annealing of InN on AlN/Si during IR-lamp-heated MBE growth

    Science.gov (United States)

    Suzuki, Akira; Bungi, Yu; Araki, Tsutomu; Nanishi, Yasushi; Mori, Yasuaki; Yamamoto, Hiroaki; Harima, Hiroshi

    2009-05-01

    To improve crystal quality of InN, an in-situ cyclic rapid pulse annealing during growth was carried out using infrared-lamp-heated molecular beam epitaxy. A cycle of 4 min growth of InN at 400 °C and 3 s pulse annealing at a higher temperature was repeated 15 times on AlN on Si substrate. Annealing temperatures were 550, 590, 620, and 660 °C. The back of Si was directly heated by lamp irradiation through a quartz rod. A total InN film thickness was about 200 nm. With increasing annealing temperature up to 620 °C, crystal grain size by scanning electron microscope showed a tendency to increase, while widths of X-ray diffraction rocking curve of (0 0 0 2) reflection and E 2 (high) mode peak of Raman scattering spectra decreased. A peak of In (1 0 1) appeared in X-ray diffraction by annealing higher than 590 °C, and In droplets were found on the surface by annealing at 660 °C.

  6. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  7. Comparison of the top-down and bottom-up approach to fabricate nanowire-based Silicon/Germanium heterostructures

    International Nuclear Information System (INIS)

    Wolfsteller, A.; Geyer, N.; Nguyen-Duc, T.-K.; Das Kanungo, P.; Zakharov, N.D.; Reiche, M.; Erfurth, W.; Blumtritt, H.; Werner, P.; Goesele, U.

    2010-01-01

    Silicon nanowires (NWs) and vertical nanowire-based Si/Ge heterostructures are expected to be building blocks for future devices, e.g. field-effect transistors or thermoelectric elements. In principle two approaches can be applied to synthesise these NWs: the 'bottom-up' and the 'top-down' approach. The most common method for the former is the vapour-liquid-solid (VLS) mechanism which can also be applied to grow NWs by molecular beam epitaxy (MBE). Although MBE allows a precise growth control under highly reproducible conditions, the general nature of the growth process via a eutectic droplet prevents the synthesis of heterostructures with sharp interfaces and high Ge concentrations. We compare the VLS NW growth with two different top-down methods: The first is a combination of colloidal lithography and metal-assisted wet chemical etching, which is an inexpensive and fast method and results in large arrays of homogenous Si NWs with adjustable diameters down to 50 nm. The second top-down method combines the growth of Si/Ge superlattices by MBE with electron beam lithography and reactive ion etching. Again, large and homogeneous arrays of NWs were created, this time with a diameter of 40 nm and the Si/Ge superlattice inside.

  8. EDITORIAL: Epitaxial graphene Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire

    2012-04-01

    nanostructured without patterning the graphene itself. This method produces graphene nanostructures with atomically smooth edges that ultimately determine the transport properties of these structures. The coherent collection of papers in this special issue of Journal of Physics D: Applied Physics provides a snapshot of the current state of the art, presented by leading experts, highlighting various aspects of the science and technology of epitaxial graphene. This collection systematically addresses the production of epitaxial graphene on the two polar faces of silicon carbide, as well as the structural and electronic properties of the graphene films. Special attention is paid to the rapidly emerging field of chemically modified graphene, which promises to introduce a bandgap into the electronic structure of graphene, which is critical for many electronic applications. Also presented are methods to incorporate properties of the silicon carbide itself, as well as advanced methods to produce high-quality graphene and graphene nanostructures using structured growth methods.

  9. Molecular beam epitaxy of Cd3As2 on a III-V substrate

    Directory of Open Access Journals (Sweden)

    Timo Schumann

    2016-12-01

    Full Text Available Epitaxial, strain-engineered Dirac semimetal heterostructures promise tuning of the unique properties of these materials. In this study, we investigate the growth of thin films of the recently discovered Dirac semimetal Cd3As2 by molecular beam epitaxy. We show that epitaxial Cd3As2 layers can be grown at low temperatures (110 °C–220 °C, in situ, on (111 GaSb buffer layers deposited on (111 GaAs substrates. The orientation relationship is described by ( 112 Cd 3 As 2 || (111 GaSb and [ 1 1 ¯ 0 ] Cd 3 As 2 || [ 1 ¯ 01 ] GaSb . The films are shown to grow in the low-temperature, vacancy ordered, tetragonal Dirac semimetal phase. They exhibit high room temperature mobilities of up to 19300 cm2/Vs, despite a three-dimensional surface morphology indicative of island growth and the presence of twin variants. The results indicate that epitaxial growth on more closely lattice matched buffer layers, such as InGaSb or InAlSb, which allow for imposing different degrees of epitaxial coherency strains, should be possible.

  10. Growth and characterization of an InSb infrared photoconductor on Si via an AlSb/GaSb buffer

    Science.gov (United States)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-05-01

    A 99.6% relaxed InSb layer is grown on a 6° offcut (1 0 0) Si substrate via an AlSb/GaSb buffer using molecular beam epitaxy (MBE). A 200 nm GaSb buffer is first grown on Si and the lattice mismatch between them is accommodated by an interfacial misfit (IMF) array consisting of uniformly distributed 90° misfit dislocations. Si delta doping is introduced during the growth of GaSb to reduce the density of threading dislocation. Subsequently, a 50 nm AlSb buffer is grown followed by a 0.8 μm InSb layer. The InSb layer exhibits a 300 K electron mobility of 22,300 cm2/Vs. An InSb photoconductor on Si is demonstrated with a photoconductive gain from 77 K to 200 K under a 700 °C maintained blackbody.

  11. Minority Business Enterprise/Women's Business Enterprise (MBE/WBE) overview

    Science.gov (United States)

    The data base allows Minority Business Enterprise/Women's Business Enterprise (MBE/WBE) Coordinators to input fair share goals negotiated by EPA and the recipient. This system also provides to all users the ability to see recipient fair share goals.

  12. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao; Zeng, Hua Chun

    2010-01-01

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  13. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  14. Epitaxial growth and optical investigations of ZnTeO alloys

    International Nuclear Information System (INIS)

    Nabetani, Y.; Okuno, T.; Aoki, K.; Kato, T.; Matsumoto, T.; Hirai, T.

    2006-01-01

    We have grown zincblende-structured ZnTeO alloy semiconductors on GaAs substrates by molecular beam epitaxy using RF-excited O. O concentrations measured by secondary ion mass spectroscopy were found to increase with the increase of O 2 flow rate supplied during the growth, while the change of lattice constant measured by X-ray diffraction does not follow Vegard's law. It is considered that the O atoms are incorporated not only into group-VI sites but also as interstitials. Formation of other compounds such as ZnTeO 3 , Zn 2 Te 3 O 8 , and TeO 2 was not detected. Optical reflectance spectroscopy revealed the increase of the band-gap energy with O concentration that can be interpreted as the repulsive interaction between the energy states originated in the localized states of O and the conduction-band edge of host ZnTe. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (Abstract Copyright [2006], Wiley Periodicals, Inc.)

  15. Solution-Based Epitaxial Growth of Magnetically Responsive Cu@Ni Nanowires

    KAUST Repository

    Zhang, Shengmao

    2010-02-23

    An experiment was conducted to show the solution-based epitaxial growth of magnetically responsive Cu@Ni nanowires. The Ni-sheathed Cu nanowires were synthesized with a one-pot approach. 30 mL of high concentration NaOH, Cu(NO3)2. 3H2O, Cu(NO3)2. 3H2O and 0.07-0.30 mL of Ni(NO3)2. 6H 2O aqueous solutions were added into a plastic reactor with a capacity of 50.0 mL. A varying amount of ethylenediamine (EDA) and hydrazine were also added sequentially, followed by thorough mixing of all reagents. The dimension, morphology, and chemical composition of the products were examined with scanning electron microscopy with energy dispersive X-ray spectroscopy. The XPS analysis on the as formed Cu nanowires confirms that there is indeed no nickel inclusion in the nanowires prior to the formation of nickel overcoat, which rules out the possibility of Cu-Ni alloy formation.

  16. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  17. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  18. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  19. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  20. Understanding the growth mechanism of graphene on Ge/Si(001) surfaces.

    Science.gov (United States)

    Dabrowski, J; Lippert, G; Avila, J; Baringhaus, J; Colambo, I; Dedkov, Yu S; Herziger, F; Lupina, G; Maultzsch, J; Schaffus, T; Schroeder, T; Kot, M; Tegenkamp, C; Vignaud, D; Asensio, M-C

    2016-08-17

    The practical difficulties to use graphene in microelectronics and optoelectronics is that the available methods to grow graphene are not easily integrated in the mainstream technologies. A growth method that could overcome at least some of these problems is chemical vapour deposition (CVD) of graphene directly on semiconducting (Si or Ge) substrates. Here we report on the comparison of the CVD and molecular beam epitaxy (MBE) growth of graphene on the technologically relevant Ge(001)/Si(001) substrate from ethene (C2H4) precursor and describe the physical properties of the films as well as we discuss the surface reaction and diffusion processes that may be responsible for the observed behavior. Using nano angle resolved photoemission (nanoARPES) complemented by transport studies and Raman spectroscopy as well as density functional theory (DFT) calculations, we report the direct observation of massless Dirac particles in monolayer graphene, providing a comprehensive mapping of their low-hole doped Dirac electron bands. The micrometric graphene flakes are oriented along two predominant directions rotated by 30° with respect to each other. The growth mode is attributed to the mechanism when small graphene "molecules" nucleate on the Ge(001) surface and it is found that hydrogen plays a significant role in this process.

  1. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  2. On the kinetic barriers of graphene homo-epitaxy

    International Nuclear Information System (INIS)

    Zhang, Wei; Yu, Xinke; Xie, Ya-Hong; Cahyadi, Erica; Ratsch, Christian

    2014-01-01

    The diffusion processes and kinetic barriers of individual carbon adatoms and clusters on graphene surfaces are investigated to provide fundamental understanding of the physics governing epitaxial growth of multilayer graphene. It is found that individual carbon adatoms form bonds with the underlying graphene whereas the interaction between graphene and carbon clusters, consisting of 6 atoms or more, is very weak being van der Waals in nature. Therefore, small carbon clusters are quite mobile on the graphene surfaces and the diffusion barrier is negligibly small (∼6 meV). This suggests the feasibility of high-quality graphene epitaxial growth at very low growth temperatures with small carbon clusters (e.g., hexagons) as carbon source. We propose that the growth mode is totally different from 3-dimensional bulk materials with the surface mobility of carbon hexagons being the highest over graphene surfaces that gradually decreases with further increase in cluster size

  3. Liquid epitaxy of Gasub(1-x)Alsub(x)Sb varizone structures

    International Nuclear Information System (INIS)

    Dedegkaev, T.T.; Kryukov, I.I.; Lidejkis, T.P.; Tsarenkov, B.V.; Yakovlev, Yu.P.

    1980-01-01

    To produce Gasub (1-x)Alsub(x)Sb varizon structures with preset limits for the change of the width of Eg forbidden zone and preset Eg gradient value and sign, epitaxy growth from the liquid phase of solid solutions of GaSb-AlSb system was investigated. The epitaxy was realized in two ways: by cooling the saturated solution of Ga-Al-Sb melt and using the isometric contineous mixing of two solution-melts with different Al content. As a result it was ascertained that: 1 the epitaxy by cooling a solution-melt permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures only with small Eg gradients (<=30eV/cm); 2 the epitaxy by contineously mixing the solution-melts permitted to produce Gasub(1-x)Alsub(x)Sb varizon structures with increasing and decreasing Eg in the direction of layer growth in the whole interval of compositions. Eg gradient can be as great as thousands of eV/cm

  4. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  5. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  6. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  7. Epitaxial growth of mixed conducting layered Ruddlesden–Popper Lan+1NinO3n+1 (n = 1, 2 and 3) phases by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.

    2013-01-01

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time

  8. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  9. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  10. Growth and characterization of β-Ga2O3 thin films by molecular beam epitaxy for deep-UV photodetectors

    Science.gov (United States)

    Ghose, Susmita; Rahman, Shafiqur; Hong, Liang; Rojas-Ramirez, Juan Salvador; Jin, Hanbyul; Park, Kibog; Klie, Robert; Droopad, Ravi

    2017-09-01

    The growth of high quality epitaxial beta-gallium oxide (β-Ga2O3) using a compound source by molecular beam epitaxy has been demonstrated on c-plane sapphire (Al2O3) substrates. The compound source provides oxidized gallium molecules in addition to oxygen when heated from an iridium crucible in a high temperature effusion cell enabling a lower heat of formation for the growth of Ga2O3, resulting in a more efficient growth process. This source also enabled the growth of crystalline β-Ga2O3 without the need for additional oxygen. The influence of the substrate temperatures on the crystal structure and quality, chemical bonding, surface morphology, and optical properties has been systematically evaluated by x-ray diffraction, scanning transmission electron microscopy, x-ray photoelectron spectroscopy, atomic force microscopy, spectroscopic ellipsometry, and UV-vis spectroscopy. Under optimized growth conditions, all films exhibited pure (" separators="|2 ¯01 ) oriented β-Ga2O3 thin films with six-fold rotational symmetry when grown on a sapphire substrate. The thin films demonstrated significant absorption in the deep-ultraviolet (UV) region with an optical bandgap around 5.0 eV and a refractive index of 1.9. A deep-UV photodetector fabricated on the high quality β-Ga2O3 thin film exhibits high resistance and small dark current (4.25 nA) with expected photoresponse for 254 nm UV light irradiation suggesting that the material grown using the compound source is a potential candidate for deep-ultraviolet photodetectors.

  11. Quantum Nanostructures by Droplet Epitaxy

    OpenAIRE

    Somsak Panyakeow

    2009-01-01

    Droplet epitaxy is an alternative growth technique for several quantum nanostructures. Indium droplets are distributed randomly on GaAs substrates at low temperatures (120-350'C). Under background pressure of group V elements, Arsenic and Phosphorous, InAs and InP nanostructures are created. Quantum rings with isotropic shape are obtained at low temperature range. When the growth thickness is increased, quantum rings are transformed to quantum dot rings. At high temperature range, anisotropic...

  12. Epitaxial growth and characterization of CoO/Fe(001) thin film layered structures

    International Nuclear Information System (INIS)

    Brambilla, A.; Sessi, P.; Cantoni, M.; Duo, L.; Finazzi, M.; Ciccacci, F.

    2008-01-01

    By means of X-ray photoemission spectroscopy and low energy electron diffraction, we show that it is possible to grow good quality thin epitaxial CoO films on Fe(001) substrates, through deposition in oxygen atmosphere. In particular, the composition and the structure of CoO(001)/Fe(001) bilayer systems and Fe(001)/CoO(001)/Fe(001) trilayer systems have been investigated by monitoring the evolution of the chemical interactions at the interfaces as a function of CoO thickness and growth temperature. We observe the presence of Fe oxides at the CoO/Fe interface and of a thin layer of metallic cobalt at the upper Fe/CoO interface of trilayer systems

  13. Epitaxial growth of tungsten layers on MgO(001)

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, Pengyuan; Ozsdolay, Brian D.; Gall, Daniel, E-mail: galld@rpi.edu [Department of Materials Science and Engineering, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States)

    2015-11-15

    Smooth single crystal W(001) layers were grown on MgO(001) substrates by magnetron sputtering at 900 °C. X-ray diffraction ω–2θ scans, ω-rocking curves, pole figures, and reciprocal space maps indicate a 45°-rotated epitaxial relationship: (001){sub W}‖(001){sub MgO} and [010]{sub W}‖[110]{sub MgO}, and a relaxed lattice constant of 3.167 ± 0.001 nm. A residual in-plane biaxial compressive strain is primarily attributed to differential thermal contraction after growth and decreases from −0.012 ± 0.001 to −0.001 ± 0.001 with increasing layer thickness d = 4.8–390 nm, suggesting relaxation during cooling by misfit dislocation growth through threading dislocation glide. The in-plane x-ray coherence length increases from 3.4 to 33.6 nm for d = 4.8–390 nm, while the out-of-plane x-ray coherence length is identical to the layer thickness for d ≤ 20 nm, but is smaller than d for d ≥ 49.7 nm, indicating local strain variations along the film growth direction. X-ray reflectivity analyses indicate that the root-mean-square surface roughness increases from 0.50 ± 0.05 to 0.95 ± 0.05 nm for d = 4.8–19.9 nm, suggesting a roughness exponent of 0.38, but remains relatively constant for d > 20 nm with a roughness of 1.00 ± 0.05 nm at d = 47.9 nm.

  14. Prevalence of mind and body exercises (MBE in relation to demographics, self-rated health, and purchases of prescribed psychotropic drugs and analgesics.

    Directory of Open Access Journals (Sweden)

    Lina Rådmark

    Full Text Available This study aims to identify any differences regarding gender, age, socioeconomic status (SES, self-rated health, perceived stress and the purchase of prescribed drugs among people who practice mind and body exercises (MBE extensively compared to people who do not.The study includes 3,913 men and 4,803 women aged 20-72 who participated in the Swedish Longitudinal Occupational Survey of Health (SLOSH. The respondents were divided into three groups depending on frequency of MBE practice (never/seldom/often. Measures regarding MBE practice, health behaviors, self-rated health, and illnesses were drawn from the SLOSH questionnaire, while more objective measures of socioeconomic status and education were derived from registry data. In addition, data on purchases of prescription drugs for all respondents were included in the study. These data were obtained from the Swedish Prescribed Drug Register, which contains information about prescription drugs dispensed at Swedish pharmacies. Separate analyses were performed for mental MBE (mindfulness, meditation, relaxation techniques and physical MBE (yoga, Tai Chi, Qi Gong, respectively.A high intensity MBE practice is cross-sectionally related to poor self-assessed health (sleeping problems, pain, depressive symptoms, mental disorders, high levels of stress, and high levels of purchases of psychotropic drugs and analgesics. These cross-sectional relationships are generally stronger for mental MBE than for bodily-directed MBE. More women than men are practicing MBE on a regular basis, and physically active people participate to a greater extent in MBE compared with the physically inactive.Overall, the study shows that frequent participation in mind and body exercises is associated with high levels of purchases of psychotropic drugs and analgesics as well as with poor self-assessed health and high levels of stress. However, since this is a cross-sectional study, it is impossible to establish cause and effect

  15. Understanding Electrically Active Interface Formation on Wide Bandgap Semiconductors through Molecular Beam Epitaxy Using Fe3O 4 for Spintronics as a Base Case

    Science.gov (United States)

    Hamedani Golshan, Negar

    understanding of how to reduce APB density is of essential importance for applications of Fe3O4 films, and understanding the complex chemical and structural influences on the initial stages of film deposition is the key to eliminating APB density. This work used molecular beam epitaxy (MBE) to further understand the nucleation and growth mechanism needed to ensure single crystal film formation in a controlled orientation directly on a semiconductor (SiC) and then also on an insulating layer (MgO) that can not only align crystal structure but also provide an effective spin-aligned tunnel junction material. The starting substrate surface proved critical to effective integration, and the role of atomic hydrogen seems to be key in controlling the starting surface. We have investigated 1) the hydrogen furnace cleaning at 1600 °C of 6H-SiC (0001) substrates surfaces to produce a smooth, uniformly stepped surface and a √3x√3 R30° surface reconstruction with less than 10 at% residual oxygen contamination, 2) the atomic hydrogen cleaning of 6H-SiC (0001) substrates to produce a (1x1) surface structure with less than 7 at% residual oxygen contamination at relatively low temperature of 700 °C, 3) the atomic hydrogen cleaning of Ge (100) to produce smooth surface (RMS mechanisms for MgO and Fe3 O4. The highest quality single crystalline, epitaxial Fe 3O4 (111) films were deposited by MBE on the √3x√3 R30 surface reconstruction with less than 10 at% residual oxygen contamination 6H-SiC. The Fe3O4 film exhibits high structural order with sharp interfaces and an easy axis in-plane magnetization with a coercivity of 200 Oe. The MgO deposited by MBE on SiC prepared by the hydrogen furnace was found to have two-dimensional features that transitioned from 2D to 3D when the thickness exceeded 2nm; the structure changed from hexagonal

  16. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  17. Growth and properties of epitaxial iron oxide layers

    NARCIS (Netherlands)

    Voogt, F.C; Fujii, T; Hibma, T; Zhang, G.L.; Smulders, P.J M

    1996-01-01

    Epitaxial layers of iron oxides have been grown on a MgO(001) substrate by evaporating natural Fe or Fe-57 from Knudsen cells in the presence of a NO2 flow directed to the substrate. The resulting layers have been investigated in situ with LEED, RHEED, AES and XPS and ex situ with GEMS and ion beam

  18. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  19. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  20. Effect of sulfur passivation of InSb (0 0 1) substrates on molecular-beam homoepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Solov’ev, V.A., E-mail: vasol@beam.ioffe.ru; Sedova, I.V.; Lvova, T.V.; Lebedev, M.V.; Dement’ev, P.A.; Sitnikova, A.A.; Semenov, A.N.; Ivanov, S.V.

    2015-11-30

    Highlights: • Treatment of InSb (0 0 1) substrate with 1 M aqueous Na{sub 2}S solution results in nearly complete removal of native oxides. • A sulfide protective layer formed by the S-treatment is desorbed at much lower temperatures than the native oxide layer. • The bulk InSb epilayers grown on the S-treated substrates have very smooth surface (RMS ∼0.1 nm). • Good structural quality of the homointerfaces formed at the S-treated substrates is confirmed by TEM. - Abstract: The aqueous sodium sulfide solution has been used for pre-epitaxial preparation of epi-ready InSb (0 0 1) substrates for molecular beam epitaxy (MBE) of InSb layers. X-ray photoemission spectroscopy study shows that the S-treated surface of InSb (0 0 1) substrate generally does not contain a native oxide layer and is covered with a sulfide protecting overlayer. Atomic-force microscopy and transmission electron microscopy have been applied to compare surface topography and structural properties of InSb layers grown by MBE on S-treated and untreated epi-ready InSb (0 0 1) substrates. The MBE growth of InSb layers with very smooth surface possessing the root-mean-square roughness as low as 0.1 nm and good structural quality has been demonstrated on the S-treated substrates.

  1. Highly Crystalline C8-BTBT Thin-Film Transistors by Lateral Homo-Epitaxial Growth on Printed Templates.

    Science.gov (United States)

    Janneck, Robby; Pilet, Nicolas; Bommanaboyena, Satya Prakash; Watts, Benjamin; Heremans, Paul; Genoe, Jan; Rolin, Cedric

    2017-11-01

    Highly crystalline thin films of organic semiconductors offer great potential for fundamental material studies as well as for realizing high-performance, low-cost flexible electronics. The fabrication of these films directly on inert substrates is typically done by meniscus-guided coating techniques. The resulting layers show morphological defects that hinder charge transport and induce large device-to-device variability. Here, a double-step method for organic semiconductor layers combining a solution-processed templating layer and a lateral homo-epitaxial growth by a thermal evaporation step is reported. The epitaxial regrowth repairs most of the morphological defects inherent to meniscus-guided coatings. The resulting film is highly crystalline and features a mobility increased by a factor of three and a relative spread in device characteristics improved by almost half an order of magnitude. This method is easily adaptable to other coating techniques and offers a route toward the fabrication of high-performance, large-area electronics based on highly crystalline thin films of organic semiconductors. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  3. Epitaxial growth of textured YBa2Cu3O7-δ films on silver

    International Nuclear Information System (INIS)

    Liu Dan-Min; Liu Wei-Peng; Suo Hong-Li; Zhou Mei-Ling

    2005-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films were deposited on (100), (110) and (111) oriented silver single crystals and {100} left angle 100 right angle, {110} left angle 211 right angle, {110} left angle 100 right angle +{110} left angle 011 right angle {110} left angle 011 right angle and {012} left angle 100 right angle textured Ag substrates using pulsed laser deposition. The relationship between the epitaxial growth YBCO film and silver substrate has been determined. It is shown that among polycrystalline Ag substrates, {110} left angle 011 right angle textured tape is suitable for the deposition of YBCO thin films having strong texture. (orig.)

  4. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  5. Growth of semiconductor alloy InGaPBi on InP by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, K; Wang, P; Pan, W W; Wu, X Y; Yue, L; Gong, Q; Wang, S M

    2015-01-01

    We report the first successful growth of InGaPBi single crystals on InP substrate with Bi concentration far beyond the doping level by gas source molecular beam epitaxy. The InGaPBi thin films reveal excellent surface and structural qualities, making it a promising new III–V compound family member for heterostructures. The strain can be tuned between tensile and compressive by adjusting Ga and Bi compositions. The maximum achieved Bi concentration is 2.2 ± 0.4% confirmed by Rutherford backscattering spectroscopy. Room temperature photoluminescence shows strong and broad light emission at energy levels much smaller than the InP bandgap. (paper)

  6. Plasma-assisted atomic layer epitaxial growth of aluminum nitride studied with real time grazing angle small angle x-ray scattering

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Virginia R.; Nepal, Neeraj; Johnson, Scooter D.; Robinson, Zachary R.; Nath, Anindya; Kozen, Alexander C.; Qadri, Syed B.; DeMasi, Alexander; Hite, Jennifer K.; Ludwig, Karl F.; Eddy, Charles R.

    2017-05-01

    Wide bandgap semiconducting nitrides have found wide-spread application as light emitting and laser diodes and are under investigation for further application in optoelectronics, photovoltaics, and efficient power switching technologies. Alloys of the binary semiconductors allow adjustments of the band gap, an important semiconductor material characteristic, which is 6.2 eV for aluminum nitride (AlN), 3.4 eV for gallium nitride, and 0.7 eV for (InN). Currently, the highest quality III-nitride films are deposited by metalorganic chemical vapor deposition and molecular beam epitaxy. Temperatures of 900 °C and higher are required to deposit high quality AlN. Research into depositing III-nitrides with atomic layer epitaxy (ALEp) is ongoing because it is a fabrication friendly technique allowing lower growth temperatures. Because it is a relatively new technique, there is insufficient understanding of the ALEp growth mechanism which will be essential to development of the process. Here, grazing incidence small angle x-ray scattering is employed to observe the evolving behavior of the surface morphology during growth of AlN by ALEp at temperatures from 360 to 480 °C. Increased temperatures of AlN resulted in lower impurities and relatively fewer features with short range correlations.

  7. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  8. Electrical characterizations of MIS structures based on variable-gap n(p)-HgCdTe grown by MBE on Si(0 1 3) substrates

    Science.gov (United States)

    Voitsekhovskii, A. V.; Nesmelov, S. N.; Dzyadukh, S. M.; Varavin, V. S.; Dvoretskii, S. A.; Mikhailov, N. N.; Yakushev, M. V.; Sidorov, G. Yu.

    2017-12-01

    Metal-insulator-semiconductor (MIS) structures based on n(p)-Hg1-xCdxTe (x = 0.22-0.40) with near-surface variable-gap layers were grown by the molecular-beam epitaxy (MBE) technique on the Si (0 1 3) substrates. Electrical properties of MIS structures were investigated experimentally at various temperatures (9-77 K) and directions of voltage sweep. The ;narrow swing; technique was used to determine the spectra of fast surface states with the exception of hysteresis effects. It is established that the density of fast surface states at the MCT/Al2O3 interface at a minimum does not exceed 3 × 1010 eV-1 × cm-2. For MIS structures based on n-MCT/Si(0 1 3), the differential resistance of the space-charge region in strong inversion mode in the temperature range 50-90 K is limited by the Shockley-Read-Hall generation in the space-charge region.

  9. Analysis of mechanism of carbon removal from GaAs(1 0 0) surface by atomic hydrogen

    International Nuclear Information System (INIS)

    Tomkiewicz, P.; Winkler, A.; Krzywiecki, M.; Chasse, Th.; Szuber, J.

    2008-01-01

    Etching of carbon contaminations from the GaAs(1 0 0) surface by irradiating with atomic hydrogen, which is one of the key reactions to promote high-quality thin films growth by molecular beam epitaxy (MBE), has been investigated by mass spectrometry (MS), Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). It is shown that during the cleaning process at room temperature a total reduction of the Auger carbon signal, accompanied by desorption of methane as major reaction product, can be observed. The reaction pathways as well as the processes responsible for the observed carbon removal are discussed in detail to give a support for etching and growth quality enhancement not only in thin films epitaxy but in all atomic hydrogen promoted gas-phase III-V semiconductor processes

  10. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  11. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  12. Medicina basada en la evidencia (MBE: Ventajas

    Directory of Open Access Journals (Sweden)

    L.M. Junquera

    2003-10-01

    Full Text Available La Medicina basada en la Evidencia (MBE se define como un proceso cuyo objetivo es la selección de los mejores argumentos científicos para la resolución de los problemas que la práctica médica cotidiana plantea. Filosóficamente es un nuevo paradigma (verificacionista, que se ha extendido a todas las profesiones sanitarias (Cirugía Basada en la Evidencia, Odontología Basada en la Evidencia, etc., soportado por los avances tecnológicos. Aunque sus ventajas son evidentes, también se han reconocido limitaciones, especialmente en lo concerniente a la práctica quirúrgica. En el presente trabajo se revisan los fundamentos y principales ventajas de la MBE.Evidence Based Medicine (EBM is defined as a process whose objective is the selection of the best scientific arguments for the resolution of problems in the medical practice. Phylosophically, it is a new paradigm that has been extended to all the sanitary professions (Evidence Based Surgery, Evidence Based Dentistry, etc., sustained by the technological advances. Although their advantages are evident, also there have been recognized limitations, specially concerning the surgical practice. At the present work the bases and the main advantages of the EBM are checked.

  13. Very high channel conductivity in low-defect AlN/GaN high electron mobility transistor structures

    International Nuclear Information System (INIS)

    Dabiran, A. M.; Wowchak, A. M.; Osinsky, A.; Xie, J.; Hertog, B.; Cui, B.; Chow, P. P.; Look, D. C.

    2008-01-01

    Low defect AlN/GaN high electron mobility transistor (HEMT) structures, with very high values of electron mobility (>1800 cm 2 /V s) and sheet charge density (>3x10 13 cm -2 ), were grown by rf plasma-assisted molecular beam epitaxy (MBE) on sapphire and SiC, resulting in sheet resistivity values down to ∼100 Ω/□ at room temperature. Fabricated 1.2 μm gate devices showed excellent current-voltage characteristics, including a zero gate saturation current density of ∼1.3 A/mm and a peak transconductance of ∼260 mS/mm. Here, an all MBE growth of optimized AlN/GaN HEMT structures plus the results of thin-film characterizations and device measurements are presented

  14. Molecular Beam Epitaxy Growth of High Crystalline Quality LiNbO3

    Science.gov (United States)

    Tellekamp, M. Brooks; Shank, Joshua C.; Goorsky, Mark S.; Doolittle, W. Alan

    2016-12-01

    Lithium niobate is a multi-functional material with wide reaching applications in acoustics, optics, and electronics. Commercial applications for lithium niobate require high crystalline quality currently limited to bulk and ion sliced material. Thin film lithium niobate is an attractive option for a variety of integrated devices, but the research effort has been stagnant due to poor material quality. Both lattice matched and mismatched lithium niobate are grown by molecular beam epitaxy and studied to understand the role of substrate and temperature on nucleation conditions and material quality. Growth on sapphire produces partially coalesced columnar grains with atomically flat plateaus and no twin planes. A symmetric rocking curve shows a narrow linewidth with a full width at half-maximum (FWHM) of 8.6 arcsec (0.0024°), which is comparable to the 5.8 arcsec rocking curve FWHM of the substrate, while the film asymmetric rocking curve is 510 arcsec FWHM. These values indicate that the individual grains are relatively free of long-range disorder detectable by x-ray diffraction with minimal measurable tilt and twist and represents the highest structural quality epitaxial material grown on lattice mismatched sapphire without twin planes. Lithium niobate is also grown on lithium tantalate producing high quality coalesced material without twin planes and with a symmetric rocking curve of 193 arcsec, which is nearly equal to the substrate rocking curve of 194 arcsec. The surface morphology of lithium niobate on lithium tantalate is shown to be atomically flat by atomic force microscopy.

  15. Epitaxial growth of bcc-Fe{sub x}Co{sub 100-x} thin films on MgO(1 1 0) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Nishiyama, Tsutomu; Shikada, Kouhei [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2010-07-15

    Fe{sub x}Co{sub 100-x} (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe{sub x}Co{sub 100-x} film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe{sub x}Co{sub 100-x} crystals with very small errors less than +-0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe{sub 50}Co{sub 50}/MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  16. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  17. Large-area, laterally-grown epitaxial semiconductor layers

    Science.gov (United States)

    Han, Jung; Song, Jie; Chen, Danti

    2017-07-18

    Structures and methods for confined lateral-guided growth of a large-area semiconductor layer on an insulating layer are described. The semiconductor layer may be formed by heteroepitaxial growth from a selective growth area in a vertically-confined, lateral-growth guiding structure. Lateral-growth guiding structures may be formed in arrays over a region of a substrate, so as to cover a majority of the substrate region with laterally-grown epitaxial semiconductor tiles. Quality regions of low-defect, stress-free GaN may be grown on silicon.

  18. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  19. Electrodeposition of epitaxial CdSe on (111) gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Cachet, H.; Cortes, R.; Froment, M. [Universite Pierre et Marie Curie, Paris (France). Phys. des Liquides et Electrochimie; Etcheberry, A. [Institut Lavoisier (IREM) UMR CNRS C0173, Universite de Versailles- St Quentin en Yvelynes, 45 Avenue des Etats Unis, 78035, Versailles (France)

    2000-02-21

    Epitaxial growth of CdSe has been achieved on GaAs(111) by electrodeposition from an aqueous electrolyte. The structure of the film corresponds to the cubic modification of CdSe. The quality of epitaxy has been investigated by reflection high energy electron diffraction, transmission electron microscopy and X-ray diffraction techniques. By XPS measurements the chemistry of the CdSe/GaAs interface and the composition of CdSe are determined. (orig.)

  20. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.