WorldWideScience

Sample records for epitaxial gan films

  1. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  2. A new approach to epitaxially grow high-quality GaN films on Si substrates: the combination of MBE and PLD.

    Science.gov (United States)

    Wang, Wenliang; Wang, Haiyan; Yang, Weijia; Zhu, Yunnong; Li, Guoqiang

    2016-04-22

    High-quality GaN epitaxial films have been grown on Si substrates with Al buffer layer by the combination of molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) technologies. MBE is used to grow Al buffer layer at first, and then PLD is deployed to grow GaN epitaxial films on the Al buffer layer. The surface morphology, crystalline quality, and interfacial property of as-grown GaN epitaxial films on Si substrates are studied systematically. The as-grown ~300 nm-thick GaN epitaxial films grown at 850 °C with ~30 nm-thick Al buffer layer on Si substrates show high crystalline quality with the full-width at half-maximum (FWHM) for GaN(0002) and GaN(102) X-ray rocking curves of 0.45° and 0.61°, respectively; very flat GaN surface with the root-mean-square surface roughness of 2.5 nm; as well as the sharp and abrupt GaN/AlGaN/Al/Si hetero-interfaces. Furthermore, the corresponding growth mechanism of GaN epitaxial films grown on Si substrates with Al buffer layer by the combination of MBE and PLD is hence studied in depth. This work provides a novel and simple approach for the epitaxial growth of high-quality GaN epitaxial films on Si substrates.

  3. Epitaxial GaN films by hyperthermal ion-beam nitridation of Ga droplets

    Energy Technology Data Exchange (ETDEWEB)

    Gerlach, J. W.; Ivanov, T.; Neumann, L.; Hoeche, Th.; Hirsch, D.; Rauschenbach, B. [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), D-04318 Leipzig (Germany)

    2012-06-01

    Epitaxial GaN film formation on bare 6H-SiC(0001) substrates via the process of transformation of Ga droplets into a thin GaN film by applying hyperthermal nitrogen ions is investigated. Pre-deposited Ga atoms in well defined amounts form large droplets on the substrate surface which are subsequently nitridated at a substrate temperature of 630 Degree-Sign C by a low-energy nitrogen ion beam from a constricted glow-discharge ion source. The Ga deposition and ion-beam nitridation process steps are monitored in situ by reflection high-energy electron diffraction. Ex situ characterization by x-ray diffraction and reflectivity techniques, Rutherford backscattering spectrometry, and electron microscopy shows that the thickness of the resulting GaN films depends on the various amounts of pre-deposited gallium. The films are epitaxial to the substrate, exhibit a mosaic like, smooth surface topography and consist of coalesced large domains of low defect density. Possible transport mechanisms of reactive nitrogen species during hyperthermal nitridation are discussed and the formation of GaN films by an ion-beam assisted process is explained.

  4. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  5. Ion Beam Assisted Deposition of Thin Epitaxial GaN Films.

    Science.gov (United States)

    Rauschenbach, Bernd; Lotnyk, Andriy; Neumann, Lena; Poppitz, David; Gerlach, Jürgen W

    2017-06-23

    The assistance of thin film deposition with low-energy ion bombardment influences their final properties significantly. Especially, the application of so-called hyperthermal ions (energy GaN thin films on (0001)-oriented 6H-SiC substrates at 700 °C. The films are studied in situ by reflection high energy electron diffraction, ex situ by X-ray diffraction, scanning tunnelling microscopy, and high-resolution transmission electron microscopy. It is demonstrated that the film growth mode can be controlled by varying the ion to atom ratio, where 2D films are characterized by a smooth topography, a high crystalline quality, low biaxial stress, and low defect density. Typical structural defects in the GaN thin films were identified as basal plane stacking faults, low-angle grain boundaries forming between w-GaN and z-GaN and twin boundaries. The misfit strain between the GaN thin films and substrates is relieved by the generation of edge dislocations in the first and second monolayers of GaN thin films and of misfit interfacial dislocations. It can be demonstrated that the low-energy nitrogen ion assisted molecular beam epitaxy is a technique to produce thin GaN films of high crystalline quality.

  6. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  7. Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films

    Science.gov (United States)

    Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe

    2018-05-01

    GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.

  8. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  9. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  10. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  11. Fabrication of p-type porous GaN on silicon and epitaxial GaN

    OpenAIRE

    Bilousov, Oleksandr V.; Geaney, Hugh; Carvajal, Joan J.; Zubialevich, Vitaly Z.; Parbrook, Peter J.; Giguere, A.; Drouin, D.; Diaz, Francesc; Aguilo, Magdalena; O'Dwyer, Colm

    2013-01-01

    Porous GaN layers are grown on silicon from gold or platinum catalyst seed layers, and self-catalyzed on epitaxial GaN films on sapphire. Using a Mg-based precursor, we demonstrate p-type doping of the porous GaN. Electrical measurements for p-type GaN on Si show Ohmic and Schottky behavior from gold and platinum seeded GaN, respectively. Ohmicity is attributed to the formation of a Ga2Au intermetallic. Porous p-type GaN was also achieved on epitaxial n-GaN on sapphire, and transport measurem...

  12. Integration and electrical properties of epitaxial LiNbO{sub 3} ferroelectric film on n-type GaN semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Hao Lanzhong, E-mail: hao_lanzhong@live.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Zhu Jun, E-mail: junzhu@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Liu Yunjie [Faculty of Science, China University of Petroleum, Tsingtao, Shandong 266555 (China); Wang Shuili; Zeng Huizhong; Liao Xiuwei; Liu Yingying; Lei Huawei; Zhang Ying; Zhang Wanli; Li Yanrong [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China)

    2012-01-31

    LiNbO{sub 3} (LNO) films were epitaxially grown on n-type GaN templates using pulsed laser deposition technique. The microstructures and electrical properties of the LNO/GaN heterostructure were characterized by x-ray diffraction, transmission electron microscope, and capacitance-voltage (C-V) measurements. The LNO films had two variants of grains rotated 60 Degree-Sign in-plane to each other. The epitaxial relationship of the respective variants could be built as [10-10]LNO//[1-210]GaN and [1-100]LNO//[11-20]GaN via 30 Degree-Sign in-plane rotation of the LNO film relative to the GaN layer. Interface analysis of the heterostructure demonstrated that two different epitaxial growth mechanisms vertical heteroepitaxy and lateral homoepitaxy, should happen at the interface of LNO/GaN. Counterclockwise C-V windows induced by the ferroelectric polarizations of LNO film could be observed clearly. The size of the window increased with increasing the sweep bias and a large window of 5.8 V was achieved at {+-} 15 V. By solving Poisson and drift-diffusion equations, the physical mechanisms of the C-V characteristics were demonstrated.

  13. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  14. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  15. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  16. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  17. Atomic layer epitaxy of ZnO for applications in molecular beam epitaxy growth of GaN and InGaN

    International Nuclear Information System (INIS)

    Godlewski, M.; Szczerbakow, A.; Ivanov, V. Yu.; Barski, A.; Goldys, E.M.

    2000-01-01

    We report the successful atomic layer epitaxy growth of thin ZnO films and their use for GaN and InGaN epitaxy. The properties of ZnO epilayers, obtained by four different procedures, are analysed, as well as of GaN and InGaN films grown on ZnO-coated Si and GaAs by MBE. (author)

  18. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  19. Epitaxial condition and polarity in GaN grown on a HfN-buffered Si(111) wafer

    Science.gov (United States)

    Xu, X.; Armitage, R.; Shinkai, Satoko; Sasaki, Katsutaka; Kisielowski, C.; Weber, E. R.

    2005-05-01

    Single-crystal GaN thin films have been deposited epitaxially on a HfN-buffered Si(111) substrates by molecular-beam epitaxy. The microstructural and compositional characteristics of the films were studied in detail by transmission electron microscopy (TEMs). Cross-sectional TEM investigations have revealed the crystallographic orientation relationship in different GaN /HfN/Si layers. GaN film polarity is studied by conventional TEM and convergent beam electron diffraction simulations, and the results show that the GaN film has a Ga polarity with relatively high density of inversion domains. Based on our observations, growth mechanisms related to the structural properties are discussed.

  20. Initial stages of the ion-beam assisted epitaxial GaN film growth on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Neumann, L.; Gerlach, J.W.; Rauschenbach, B.

    2012-01-01

    Ultra-thin gallium nitride (GaN) films were deposited using the ion-beam assisted molecular-beam epitaxy technique. The influence of the nitrogen ion to gallium atom flux ratio (I/A ratio) during the early stages of GaN nucleation and thin film growth directly, without a buffer layer on super-polished 6H-SiC(0001) substrates was studied. The deposition process was performed at a constant substrate temperature of 700 °C by evaporation of Ga and irradiation with hyperthermal nitrogen ions from a constricted glow-discharge ion source. The hyperthermal nitrogen ion flux was kept constant and the kinetic energy of the ions did not exceed 25 eV. The selection of different I/A ratios in the range from 0.8 to 3.2 was done by varying the Ga deposition rate between 5 × 10 13 and 2 × 10 14 at. cm −2 s −1 . The crystalline surface structure during the GaN growth was monitored in situ by reflection high-energy electron diffraction. The surface topography of the films as well as the morphology of separated GaN islands on the substrate surface was examined after film growth using a scanning tunneling microscope without interruption of ultra-high vacuum. The results show, that the I/A ratio has a major impact on the properties of the resulting ultra-thin GaN films. The growth mode, the surface roughness, the degree of GaN coverage of the substrate and the polytype mixture depend notably on the I/A ratio. - Highlights: ► Ultra-thin epitaxial GaN films prepared by hyperthermal ion-beam assisted deposition. ► Surface structure and topography studied during and after initial growth stages. ► Growth mode dependent on nitrogen ion to gallium atom flux ratio. ► Change from three-dimensional to two-dimensional growth for Ga-rich growth conditions.

  1. Growth and structural investigations of epitaxial hexagonal YMnO3 thin films deposited on wurtzite GaN(001) substrates

    International Nuclear Information System (INIS)

    Balasubramanian, K.R.; Chang, Kai-Chieh; Mohammad, Feroz A.; Porter, Lisa M.; Salvador, Paul A.; DiMaio, Jeffrey; Davis, Robert F.

    2006-01-01

    Epitaxial hexagonal YMnO 3 (h-YMnO 3 ) films having sharp (00l) X-ray diffraction peaks were grown above 700 deg. C in 5 mTorr O 2 via pulsed laser deposition both on as-received wurtzite GaN/AlN/6H-SiC(001) (w-GaN) substrates as well as on w-GaN surfaces that were etched in 50% HF solution. High-resolution transmission electron microscopy revealed an interfacial layer between film and the unetched substrate; this layer was absent in those samples wherein an etched substrate was used. However, the substrate treatment did not affect the epitaxial arrangement between the h-YMnO 3 film and w-GaN substrate. The epitaxial relationships of the h-YMnO 3 films with the w-GaN(001) substrate was determined via X-ray diffraction to be (001) YMnO 3 -parallel (001) GaN : [11-bar0] YMnO 3 -parallel [110] GaN ; in other words, the basal planes of the film and the substrate are aligned parallel to one another, as are the most densely packed directions in planes of the film and the substrate. Interestingly, this arrangement has a larger lattice mismatch than if the principal axes of the unit cells were aligned

  2. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  3. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  4. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  5. Understanding the Growth Mechanism of GaN Epitaxial Layers on Mechanically Exfoliated Graphite.

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe; Jiang, Haiwei

    2018-04-27

    The growth mechanism of GaN epitaxial layers on mechanically exfoliated graphite is explained in detail based on classic nucleation theory. The number of defects on the graphite surface can be increased via O-plasma treatment, leading to increased nucleation density on the graphite surface. The addition of elemental Al can effectively improve the nucleation rate, which can promote the formation of dense nucleation layers and the lateral growth of GaN epitaxial layers. The surface morphologies of the nucleation layers, annealed layers and epitaxial layers were characterized by field-emission scanning electron microscopy, where the evolution of the surface morphology coincided with a 3D-to-2D growth mechanism. High-resolution transmission electron microscopy was used to characterize the microstructure of GaN. Fast Fourier transform diffraction patterns showed that cubic phase (zinc-blend structure) GaN grains were obtained using conventional GaN nucleation layers, while the hexagonal phase (wurtzite structure) GaN films were formed using AlGaN nucleation layers. Our work opens new avenues for using highly oriented pyrolytic graphite as a substrate to fabricate transferable optoelectronic devices.

  6. Surface chemistry and electronic structure of nonpolar and polar GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, T.C. Shibin; Aggarwal, Neha; Gupta, Govind, E-mail: govind@nplindia.org

    2015-08-01

    Highlights: • Surface chemistry and electronic structure of polar and nonpolar GaN is reported. • Influence of polarization on electron affinity of p & np GaN films is investigated. • Correlation between surface morphology and polarity has been deduced. - Abstract: Photoemission and microscopic analysis of nonpolar (a-GaN/r-Sapphire) and polar (c-GaN/c-Sapphire) epitaxial gallium nitride (GaN) films grown via RF-Molecular Beam Epitaxy is reported. The effect of polarization on surface properties like surface states, electronic structure, chemical bonding and morphology has been investigated and correlated. It was observed that polarization lead to shifts in core level (CL) as well as valence band (VB) spectra. Angle dependent X-ray Photoelectron Spectroscopic analysis revealed higher surface oxide in polar GaN film compared to nonpolar GaN film. On varying the take off angle (TOA) from 0° to 60°, the Ga−O/Ga−N ratio varied from 0.11–0.23 for nonpolar and 0.17–0.36 for polar GaN film. The nonpolar film exhibited N-face polarity while Ga-face polarity was perceived in polar GaN film due to the inherent polarization effect. Polarization charge compensated surface states were observed on the polar GaN film and resulted in downward band bending. Ultraviolet photoelectron spectroscopic measurements revealed electron affinity and ionization energy of 3.4 ± 0.1 eV and 6.8 ± 0.1 eV for nonpolar GaN film and 3.8 ± 0.1 eV and 7.2 ± 0.1 eV for polar GaN film respectively. Field Emission Scanning Electron Microscopy measurements divulged smooth morphology with pits on polar GaN film. The nonpolar film on the other hand showed pyramidal structures having facets all over the surface.

  7. Lateral epitaxial overgrowth of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Yongjin; Hu, Fangren; Hane, Kazuhiro

    2011-01-01

    We report here the lateral epitaxial overgrowth (LEO) of GaN on a patterned GaN-on-silicon substrate by molecular beam epitaxy (MBE) growth with radio frequency nitrogen plasma as a gas source. Two kinds of GaN nanostructures are defined by electron beam lithography and realized on a GaN substrate by fast atom beam etching. The epitaxial growth of GaN by MBE is performed on the prepared GaN template, and the selective growth of GaN takes place with the assistance of GaN nanostructures. The LEO of GaN produces novel GaN epitaxial structures which are dependent on the shape and the size of the processed GaN nanostructures. Periodic GaN hexagonal pyramids are generated inside the air holes, and GaN epitaxial strips with triangular section are formed in the grating region. This work provides a promising way for producing novel GaN-based devices by the LEO of GaN using the MBE technique

  8. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  9. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  10. Optical and structural characterisation of epitaxial nanoporous GaN grown by CVD.

    Science.gov (United States)

    Mena, Josué; Carvajal, Joan J; Martínez, Oscar; Jiménez, Juan; Zubialevich, Vitaly Z; Parbrook, Peter J; Diaz, Francesc; Aguiló, Magdalena

    2017-09-15

    In this paper we study the optical properties of nanoporous gallium nitride (GaN) epitaxial layers grown by chemical vapour deposition on non-porous GaN substrates, using photoluminescence, cathodoluminescence, and resonant Raman scattering, and correlate them with the structural characteristic of these films. We pay special attention to the analysis of the residual strain of the layers and the influence of the porosity in the light extraction. The nanoporous GaN epitaxial layers are under tensile strain, although the strain is progressively reduced as the deposition time and the thickness of the porous layer increases, becoming nearly strain free for a thickness of 1.7 μm. The analysis of the experimental data point to the existence of vacancy complexes as the main source of the tensile strain.

  11. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  12. Void Shapes Controlled by Using Interruption-Free Epitaxial Lateral Overgrowth of GaN Films on Patterned SiO2 AlN/Sapphire Template

    Directory of Open Access Journals (Sweden)

    Yu-An Chen

    2014-01-01

    Full Text Available GaN epitaxial layers with embedded air voids grown on patterned SiO2 AlN/sapphire templates were proposed. Using interruption-free epitaxial lateral overgrowth technology, we realized uninterrupted growth and controlled the shape of embedded air voids. These layers showed improved crystal quality using X-ray diffraction and measurement of etching pits density. Compared with conventional undoped-GaN film, the full width at half-maximum of the GaN (0 0 2 and (1 0 2 peaks decreased from 485 arcsec to 376 arcsec and from 600 arcsec to 322 arcsec, respectively. Transmission electron microscopy results showed that the coalesced GaN growth led to bending threading dislocation. We also proposed a growth model based on results of scanning electron microscopy.

  13. Investigation of AlN films grown by molecular beam epitaxy on vicinal Si(111) as templates for GaN quantum dots

    International Nuclear Information System (INIS)

    Benaissa, M.; Vennegues, P.; Tottereau, O.; Nguyen, L.; Semond, F.

    2006-01-01

    The use of AlN epitaxial films deposited on vicinal Si(111) as templates for the growth of GaN quantum dots is investigated by transmission electron microscopy and atomic force microscopy. It is found that the substrate vicinality induces both a slight tilt of the AlN (0001) direction with respect to the [111] direction and a step bunching mechanism. As a consequence, a dislocation dragging behavior is observed giving rise to dislocation-free areas well suited for the nucleation of GaN quantum dots

  14. Impact of GaN transition layers in the growth of GaN epitaxial layer on silicon

    International Nuclear Information System (INIS)

    Zhao Danmei; Zhao Degang; Jiang Desheng; Liu Zongshun; Zhu Jianjun; Chen Ping; Liu Wei; Li Xiang; Shi Ming

    2015-01-01

    A method for growing GaN epitaxial layer on Si (111) substrate is investigated. Due to the large lattice mismatch between GaN and AlN, GaN grown directly above an AlN buffer layer on the Si substrate turns out to be of poor quality. In this study, a GaN transition layer is grown additionally on the AlN buffer before the GaN epitaxial growth. By changing the growth conditions of the GaN transition layer, we can control the growth and merging of islands and control the transfer time from 3D to 2D growth mode. With this method, the crystalline quality of the GaN epitaxial layer can be improved and the crack density is reduced. Here, we have investigated the impact of a transition layer on the crystalline quality and stress evolution of a GaN epitaxial layer with methods of X-ray diffraction, optical microscopy and in situ reflectivity trace. With the increasing thickness of transition layer, the crack decreases and the crystalline quality is improved. But when the transition layer exceeds a critical thickness, the crystalline quality of the epilayer becomes lower and the crack density increases. (paper)

  15. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  16. Epitaxial growth and characterization of approximately 300-nm-thick AlInN films nearly lattice-matched to c-plane GaN grown on sapphire

    Science.gov (United States)

    Miyoshi, Makoto; Yamanaka, Mizuki; Egawa, Takashi; Takeuchi, Tetsuya

    2018-05-01

    AlInN epitaxial films with film thicknesses up to approximately 300 nm were grown nearly lattice-matched to a c-plane GaN-on-sapphire template by metalorganic chemical vapor deposition. The AlInN films showed relative good crystal qualities and flat surfaces, despite the existence of surface pits connected to dislocations in the underlying GaN film. The refractive index derived in this study agreed well with a previously reported result obtained over the whole visible wavelength region. The extinction coefficient spectrum exhibited a clear absorption edge, and the bandgap energy for AlInN nearly lattice-matched to GaN was determined to be approximately 4.0 eV.

  17. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  18. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  19. Spectroscopic and magnetic properties of Mn doped GaN epitaxial films grown by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Vidyasagar, R.; Lin, Y.-T.; Tu, L.-W.

    2012-01-01

    Graphical abstract: We report here that micro-Raman scattering spectrum for Mn doped GaN thin film has displayed a new peak manifested at 578 cm −1 , by which it is attributed to interior LVM originated by the incorporation of Mn ions in place of Ga sites. Mn doped GaN thin film also showed the typical negative magnetoresistance up to ∼50 K, revealing that the film showed magnetic ordering of spins below 50 K. Display Omitted Highlights: ► GaN and Mn doped GaN single phase wurtzite structures grown by PAMBE. ► The phase purity of the epilayers investigated by HRXRD, HRSEM and EDX. ► The red shift in near band edge emission has been observed using micro-PL. ► A new peak related LVM at 578 cm −1 in micro-Raman scattering measurements confirmed Mn doped into GaN. ► Negative-magnetoresistance investigations have showed that the film has T c −1 , which is attributed to the vacancy-related local vibrational mode of Mn occupying the Ga site. Temperature dependent negative magnetoresistance measurements provide a direct evidence of magnetic ordering below 50 K for the Mn doped GaN thin film.

  20. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    International Nuclear Information System (INIS)

    Burnham, Shawn D.; Doolittle, W. Alan; Namkoong, Gon; Look, David C.; Clafin, Bruce

    2008-01-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7x10 20 cm -3 , leading to a hole concentration as high as 4.5x10 18 cm -3 at room temperature, with a mobility of 1.1 cm 2 V -1 s -1 and a resistivity of 1.3 Ω cm. At 580 K, the corresponding values were 2.6x10 19 cm -3 , 1.2 cm 2 V -1 s -1 , and 0.21 Ω cm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters

  1. Reproducible increased Mg incorporation and large hole concentration in GaN using metal modulated epitaxy

    Science.gov (United States)

    Burnham, Shawn D.; Namkoong, Gon; Look, David C.; Clafin, Bruce; Doolittle, W. Alan

    2008-07-01

    The metal modulated epitaxy (MME) growth technique is reported as a reliable approach to obtain reproducible large hole concentrations in Mg-doped GaN grown by plasma-assisted molecular-beam epitaxy on c-plane sapphire substrates. An extremely Ga-rich flux was used, and modulated with the Mg source according to the MME growth technique. The shutter modulation approach of the MME technique allows optimal Mg surface coverage to build between MME cycles and Mg to incorporate at efficient levels in GaN films. The maximum sustained concentration of Mg obtained in GaN films using the MME technique was above 7×1020cm-3, leading to a hole concentration as high as 4.5×1018cm-3 at room temperature, with a mobility of 1.1cm2V-1s-1 and a resistivity of 1.3Ωcm. At 580K, the corresponding values were 2.6×1019cm-3, 1.2cm2V-1s-1, and 0.21Ωcm, respectively. Even under strong white light, the sample remained p-type with little change in the electrical parameters.

  2. The influence of Fe doping on the surface topography of GaN epitaxial material

    International Nuclear Information System (INIS)

    Cui Lei; Yin Haibo; Jiang Lijuan; Wang Quan; Feng Chun; Xiao Hongling; Wang Cuimei; Wang Xiaoliang; Gong Jiamin; Zhang Bo; Li Baiquan; Wang Zhanguo

    2015-01-01

    Fe doping is an effective method to obtain high resistivity GaN epitaxial material. But in some cases, Fe doping could result in serious deterioration of the GaN material surface topography, which will affect the electrical properties of two dimensional electron gas (2DEG) in HEMT device. In this paper, the influence of Fe doping on the surface topography of GaN epitaxial material is studied. The results of experiments indicate that the surface topography of Fe-doped GaN epitaxial material can be effectively improved and the resistivity could be increased after increasing the growth rate of GaN materials. The GaN material with good surface topography can be manufactured when the Fe doping concentration is 9 × 10 19 cm −3 . High resistivity GaN epitaxial material which is 1 × 10 9 Ω·cm is achieved. (paper)

  3. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  4. Effect of growth temperature on the epitaxial growth of ZnO on GaN by ALD

    Science.gov (United States)

    Särkijärvi, Suvi; Sintonen, Sakari; Tuomisto, Filip; Bosund, Markus; Suihkonen, Sami; Lipsanen, Harri

    2014-07-01

    We report on the epitaxial growth of ZnO on GaN template by atomic layer deposition (ALD). Diethylzinc (DEZn) and water vapour (H2O) were used as precursors. The structure and the quality of the grown ZnO layers were studied with scanning electron microscope (SEM), X-ray diffraction (XRD), photoluminescence (PL) measurements and positron annihilation spectroscopy. The ZnO films were confirmed epitaxial, and the film quality was found to improve with increasing deposition temperature in the vicinity of the threshold temperature of two dimensional growth. We conclude that high quality ZnO thin films can be grown by ALD. Interestingly only separate Zn-vacancies were observed in the films, although ZnO thin films typically contain fairly high density of surface pits and vacancy clusters.

  5. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ahn, Yong Nam; Lee, Sung Hoon; Lim, Sung Keun; Woo, Kwang Je; Kim, Hyunbin

    2015-01-01

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH 3 flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films

  6. The role of inversion domain boundaries in fabricating crack-free GaN films on sapphire substrates by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Yong Nam, E-mail: ynahn81@gmail.com; Lee, Sung Hoon, E-mail: sunghoon.lee@corning.com; Lim, Sung Keun, E-mail: sk96.lim@samsung.com; Woo, Kwang Je, E-mail: kwangje.woo@corning.com; Kim, Hyunbin, E-mail: hyunbin.kim@corning.com

    2015-03-15

    Highlights: • Atomistic simulations of inversion domain boundary (IDB) in GaN were performed. • The existence of IDBs in GaN films leads to the reduction of the film stiffness. • A sudden reduction of IDB density induces a strong tensile stress within the films. • The density of IDB in GaN film can be controlled by adjusting GaCl/NH{sub 3} flow ratio. • A microstructure of GaN buffer layer for minimization of stress was proposed. - Abstract: Inversion domain boundaries (IDBs) are frequently found in GaN films grown on sapphire substrates. However, the lack of atomic-level understandings about the effects of the IDBs on the properties of GaN films has hindered to utilize the IDBs for the stress release that minimizes the crack-formation in GaN films. This study performed atomistic computational analyses to fundamentally understand the roles of the IDBs in the development of the stresses in the GaN films. A sudden reduction of the IDB density induces a strong intrinsic stress in the GaN films, possibly leading to the mud-cracking of the films. A gradual decrease in the IDB density was achieved by slowly reducing the GaCl flux during the growth process of GaN buffer layer on sapphire substrates, and allowed us to experimentally demonstrate the successful fabrication of 4-in. crack-free GaN films. This approach may contribute to the fabrication of larger crack-free GaN films.

  7. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  8. High-electron-mobility GaN grown on free-standing GaN templates by ammonia-based molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@umail.ucsb.edu; Kaun, Stephen W.; Burke, Peter G.; Wu, Feng; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States); Wu, Yuh-Renn [Institute of Photonics and Optoelectronics, and Department of Electrical Engineering, National Taiwan University, Taipei City 10617, Taiwan (China)

    2014-05-21

    The dependence of electron mobility on growth conditions and threading dislocation density (TDD) was studied for n{sup −}-GaN layers grown by ammonia-based molecular beam epitaxy. Electron mobility was found to strongly depend on TDD, growth temperature, and Si-doping concentration. Temperature-dependent Hall data were fit to established transport and charge-balance equations. Dislocation scattering was analyzed over a wide range of TDDs (∼2 × 10{sup 6} cm{sup −2} to ∼2 × 10{sup 10} cm{sup −2}) on GaN films grown under similar conditions. A correlation between TDD and fitted acceptor states was observed, corresponding to an acceptor state for almost every c lattice translation along each threading dislocation. Optimized GaN growth on free-standing GaN templates with a low TDD (∼2 × 10{sup 6} cm{sup −2}) resulted in electron mobilities of 1265 cm{sup 2}/Vs at 296 K and 3327 cm{sup 2}/Vs at 113 K.

  9. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  10. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are key to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices. In fact,...

  11. Integrated Production of Ultra-Low Defect GaN Films and Devices for High-Power Amplifiers, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — High quality GaN epitaxial films are one of the keys to current efforts for development of both high-power/high-speed electronic devices and optoelectronic devices....

  12. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  13. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Liang; Lo, Ikai; Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi; Tsai, Jenn-Kai; Schuber, Ralf; Schaadt, Daniel

    2012-01-01

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69″ to 59.43″ for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 × 5 μm 2 with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: ► The samples were grown by plasma-assisted molecular beam epitaxy. ► The GaN epilayer was grown on sapphire substrate. ► The samples were characterized by X-ray diffraction and atomic force microscopy. ► The sample quality was improved by gradient buffer layer.

  14. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  15. Improvement of GaN epilayer by gradient layer method with molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Liang [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Lo, Ikai, E-mail: ikailo@mail.phys.nsysu.edu.tw [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Gau, Ming-Hong; Hsieh, Chia-Ho; Sham, Meng-Wei; Pang, Wen-Yuan; Hsu, Yu-Chi [Department of Physics, Institute of Material Science and Engineering, Center for Nanoscience and Nanotechnology, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan, ROC (China); Tsai, Jenn-Kai [Department of Electronics Engineering, National Formosa University, Hu-Wei, Yun-Lin County 63208, Taiwan, ROC (China); Schuber, Ralf; Schaadt, Daniel [Institute of Applied Physics/DFG-Center for Functional Nanostructures (CFN), Karlsruhe Institute of Technology (KIT), Karlsruhe (Germany)

    2012-07-31

    We demonstrated a molecular beam epitaxy method to resolve the dilemma between structural and morphological quality in growth of the GaN epilayer. A gradient buffer layer was grown in such a way that the N/Ga ratio was gradually changed from nitrogen-rich to gallium-rich. The GaN epitaxial layer was then grown on the gradient buffer layer. In the X-ray diffraction analysis of GaN(002) rocking curves, we found that the full width at half-maximum was improved from 531.69 Double-Prime to 59.43 Double-Prime for the sample with a gradient buffer layer as compared to a purely gallium-rich grown sample. Atomic force microscopy analysis showed that the root-mean-square roughness of the surface was improved from 18.28 nm to 1.62 nm over an area of 5 Multiplication-Sign 5 {mu}m{sup 2} with respect to a purely nitrogen-rich grown sample. Raman scattering showed the presence of a slightly tilted plane in the gradient layer. Furthermore we showed that the gradient layer can also slash the strain force caused by either Ga-rich GaN epitaxial layer or AlN buffer layer. - Highlights: Black-Right-Pointing-Pointer The samples were grown by plasma-assisted molecular beam epitaxy. Black-Right-Pointing-Pointer The GaN epilayer was grown on sapphire substrate. Black-Right-Pointing-Pointer The samples were characterized by X-ray diffraction and atomic force microscopy. Black-Right-Pointing-Pointer The sample quality was improved by gradient buffer layer.

  16. P-type doping of semipolar GaN(11 anti 22) by plasma-assisted molecular-beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A.; Lahourcade, L. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Pernot, J. [Institut Neel, CNRS et Universite Joseph Fourier, Grenoble (France); Valdueza-Felip, S. [Equipe Mixte CEA-CNRS, Nanophysique et Semiconducteurs, CEA-Grenoble, INAC/SP2M, Grenoble (France); Dept. Electronica, Escuela Politecnica, Universidad de Alcala, Alcala de Henares, Madrid (Spain); Ruterana, P. [CIMAP, UMR6252, CNRS-ENSICAEN-CEA-UCBN, Caen (France); Laufer, A.; Eickhoff, M. [I. Physikalisches Institut, Justus-Liebig-Universitaet Giessen (Germany); Monroy, E.

    2010-07-15

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(11-22) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(11-22). As a result, the growth widow is reduced for Mg doped layers, and we observe a certain deterioration of the surface morphology. In spite of this difficulties, homogenous Mg incorporation is achieved and layers display p -type conductivity for Mg atomic concentration higher than 7 x 10{sup 18} cm{sup -3}. Microscopy studies show no evidence of the pyramidal defects or polarity inversion domains found in Mg-doped GaN(0001). (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping; Wang, Xinqiang; Wang, Tao; Tan, Chih Shan; Sheng, Bowen; Sun, Xiaoxiao; Li, Mo; Rong, Xin; Zheng, Xiantong; Chen, Zhaoying; Yang, Xuelin; Xu, Fujun; Qin, Zhixin; Zhang, Jian; Zhang, Xixiang; Shen, Bo

    2017-01-01

    -resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N

  18. High-Temperature Growth of GaN and Al x Ga1- x N via Ammonia-Based Metalorganic Molecular-Beam Epitaxy

    Science.gov (United States)

    Billingsley, Daniel; Henderson, Walter; Doolittle, W. Alan

    2010-05-01

    The effect of high-temperature growth on the crystalline quality and surface morphology of GaN and Al x Ga1- x N grown by ammonia-based metalorganic molecular-beam epitaxy (NH3-MOMBE) has been investigated as a means of producing atomically smooth films suitable for device structures. The effects of V/III ratio on the growth rate and surface morphology are described herein. The crystalline quality of both GaN and AlGaN was found to mimic that of the GaN templates, with (002) x-ray diffraction (XRD) full-widths at half- maximum (FWHMs) of ~350 arcsec. Nitrogen-rich growth conditions have been found to provide optimal surface morphologies with a root-mean-square (RMS) roughness of ~0.8 nm, yet excessive N-rich environments have been found to reduce the growth rate and result in the formation of faceted surface pitting. AlGaN exhibits a decreased growth rate, as compared with GaN, due to increased N recombination as a result of the increased pyrolysis of NH3 in the presence of Al. AlGaN films grown directly on GaN templates exhibited Pendellösung x-ray fringes, indicating an abrupt interface and a planar AlGaN film. AlGaN films grown for this study resulted in an optimal RMS roughness of ~0.85 nm with visible atomic steps.

  19. Epitaxial Growth of MgxCa1-xO on GaN by Atomic Layer Deposition.

    Science.gov (United States)

    Lou, Xiabing; Zhou, Hong; Kim, Sang Bok; Alghamdi, Sami; Gong, Xian; Feng, Jun; Wang, Xinwei; Ye, Peide D; Gordon, Roy G

    2016-12-14

    We demonstrate for the first time that a single-crystalline epitaxial Mg x Ca 1-x O film can be deposited on gallium nitride (GaN) by atomic layer deposition (ALD). By adjusting the ratio between the amounts of Mg and Ca in the film, a lattice matched Mg x Ca 1-x O/GaN(0001) interface can be achieved with low interfacial defect density. High-resolution X-ray diffraction (XRD) shows that the lattice parameter of this ternary oxide nearly obeys Vegard's law. An atomically sharp interface from cross-sectional transmission electron microscopy (TEM) confirmed the high quality of the epitaxy. High-temperature capacitance-voltage characterization showed that the film with composition Mg 0.25 Ca 0.75 O has the lowest interfacial defect density. With this optimal oxide composition, a Mg 0.25 Ca 0.75 O/AlGaN/GaN metal-oxide-semiconductor high-electron-mobility (MOS-HEMT) device was fabricated. An ultrahigh on/off ratio of 10 12 and a near ideal SS of 62 mV/dec were achieved with this device.

  20. Structure Shift of GaN Among Nanowall Network, Nanocolumn, and Compact Film Grown on Si (111) by MBE.

    Science.gov (United States)

    Zhong, Aihua; Fan, Ping; Zhong, Yuanting; Zhang, Dongping; Li, Fu; Luo, Jingting; Xie, Yizhu; Hane, Kazuhiro

    2018-02-13

    Structure shift of GaN nanowall network, nanocolumn, and compact film were successfully obtained on Si (111) by plasma-assisted molecular beam epitaxy (MBE). As is expected, growth of the GaN nanocolumns was observed in N-rich condition on bare Si, and the growth shifted to compact film when the Ga flux was improved. Interestingly, if an aluminum (Al) pre-deposition for 40 s was carried out prior to the GaN growth, GaN grows in the form of the nanowall network. Results show that the pre-deposited Al exits in the form of droplets with typical diameter and height of ~ 80 and ~ 6.7 nm, respectively. A growth model for the nanowall network is proposed and the growth mechanism is discussed. GaN grows in the area without Al droplets while the growth above Al droplets is hindered, resulting in the formation of continuous GaN nanowall network that removes the obstacles of nano-device fabrication.

  1. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    Science.gov (United States)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-10-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2eV, which corresponds to a 3.2eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior.

  2. Band offsets and growth mode of molecular beam epitaxy grown MgO (111) on GaN (0002) by x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Craft, H. S.; Collazo, R.; Losego, M. D.; Mita, S.; Sitar, Z.; Maria, J.-P.

    2007-01-01

    MgO is a proposed dielectric for use as a tunneling barrier in devices integrating GaN and ferroelectric oxides. In this study, we present data regarding the growth mode and band offsets of MgO grown epitaxially on GaN (0002) surfaces using molecular beam epitaxy. Using in situ x-ray photoelectron spectroscopy (XPS) and molecular beam epitaxy, we determine, from sequential growth experiments, that the growth of MgO proceeds via the Volmer-Weber (three-dimensional) mode, and full coalescence of the film does not occur until approximately 12 nm of MgO has been deposited. The observation of a three-dimensional growth mode is in agreement with previously published data. For the valence band offset, we find a value of 1.2±0.2 eV, which corresponds to a 3.2 eV conduction band offset. XPS measurements suggest a chemically abrupt interface and no effect on band lineup due to the slow coalescence behavior

  3. Real time ellipsometry for monitoring plasma-assisted epitaxial growth of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Losurdo, Maria [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy)]. E-mail: maria.losurdo@ba.imip.cnr.it; Giangregorio, Maria M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Capezzuto, Pio [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Brown, April S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, Tong-Ho [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, Soojeong [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States)

    2006-10-31

    GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using remote plasma-assisted methods including metalorganic chemical vapour deposition (RP-MOCVD) and molecular beam epitaxy (MBE). Real time spectroscopic ellipsometry is used for monitoring all the steps of substrate pre-treatments and the heteroepitaxial growth of GaN on SiC. Our characterization emphasis is on understanding the nucleation mechanism and the GaN growth mode, which depend on the SiC surface preparation.

  4. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  5. Optoelectronic Properties and Structural Characterization of GaN Thick Films on Different Substrates through Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Wei-Kai Wang

    2017-01-01

    Full Text Available Approximately 4-μm-thick GaN epitaxial films were directly grown onto a GaN/sapphire template, sapphire, Si(111, and Si(100 substrates by high-temperature pulsed laser deposition (PLD. The influence of the substrate type on the crystalline quality, surface morphology, microstructure, and stress states was investigated by X-ray diffraction (XRD, photoluminescence (PL, atomic force microscopy (AFM, transmission electron microscopy (TEM, and Raman spectroscopy. Raman scattering spectral analysis showed a compressive film stress of −0.468 GPa for the GaN/sapphire template, whereas the GaN films on sapphire, Si(111, and Si(100 exhibited a tensile stress of 0.21, 0.177, and 0.081 GPa, respectively. Comparative analysis indicated the growth of very close to stress-free GaN on the Si(100 substrate due to the highly directional energetic precursor migration on the substrate’s surface and the release of stress in the nucleation of GaN films during growth by the high-temperature (1000 °C operation of PLD. Moreover, TEM images revealed that no significant GaN meltback (Ga–Si etching process was found in the GaN/Si sample surface. These results indicate that PLD has great potential for developing stress-free GaN templates on different substrates and using them for further application in optoelectronic devices.

  6. N-polar GaN epitaxy and high electron mobility transistors

    International Nuclear Information System (INIS)

    Wong, Man Hoi; Keller, Stacia; Dasgupta, Nidhi Sansaptak; Denninghoff, Daniel J; Kolluri, Seshadri; Brown, David F; Lu, Jing; Fichtenbaum, Nicholas A; Ahmadi, Elaheh; DenBaars, Steven P; Speck, James S; Mishra, Umesh K; Singisetti, Uttam; Chini, Alessandro; Rajan, Siddharth

    2013-01-01

    This paper reviews the progress of N-polar (0001-bar) GaN high frequency electronics that aims at addressing the device scaling challenges faced by GaN high electron mobility transistors (HEMTs) for radio-frequency and mixed-signal applications. Device quality (Al, In, Ga)N materials for N-polar heterostructures are developed using molecular beam epitaxy and metalorganic chemical vapor deposition. The principles of polarization engineering for designing N-polar HEMT structures will be outlined. The performance, scaling behavior and challenges of microwave power devices as well as highly-scaled depletion- and enhancement-mode devices employing advanced technologies including self-aligned processes, n+ (In,Ga)N ohmic contact regrowth and high aspect ratio T-gates will be discussed. Recent research results on integrating N-polar GaN with Si for prospective novel applications will also be summarized. (invited review)

  7. Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE

    Energy Technology Data Exchange (ETDEWEB)

    Choudhary, B. S. [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India); Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Singh, A.; Tyagi, P. K. [Department of Applied Physics, Delhi Technological University, Delhi 110042 (India); Tanwar, S. [Rajasthan Technical University, Rawatbhata Road, Kota 324010 (India); Kumar, M. Senthil; Kushvaha, S. S., E-mail: kushvahas@nplindia.org [CSIR-National Physical Laboratory, Dr K.S. Krishnan Road, New Delhi 110012 (India)

    2016-04-13

    We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surface with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.

  8. Spin injection in epitaxial MnGa(111)/GaN(0001) heterostructures

    Science.gov (United States)

    Zube, Christian; Malindretos, Joerg; Watschke, Lars; Zamani, Reza R.; Disterheft, David; Ulbrich, Rainer G.; Rizzi, Angela; Iza, Michael; Keller, Stacia; DenBaars, Steven P.

    2018-01-01

    Ferromagnetic MnGa(111) layers were grown on GaN(0001) by molecular beam epitaxy. MnGa/GaN Schottky diodes with a doping level of around n = 7 × 1018 cm-3 were fabricated to achieve single step tunneling across the metal/semiconductor junction. Below the GaN layer, a thin InGaN quantum well served as optical spin detector ("spin-LED"). For electron spin injection from MnGa into GaN and subsequent spin transport through a 45 nm (70 nm) thick GaN layer, we observe a circular polarization of 0.3% (0.2%) in the electroluminescence at 80 K. Interface mixing, spin polarization losses during electrical transport in the GaN layer, and spin relaxation in the InGaN quantum well are discussed in relation with the low value of the optically detected spin polarization.

  9. Epitaxial growth of M-plane GaN on ZnO micro-rods by plasma-assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Shuo-Ting You

    2015-12-01

    Full Text Available We have studied the GaN grown on ZnO micro-rods by plasma-assisted molecular beam epitaxy. From the analyses of GaN microstructure grown on non-polar M-plane ZnO surface ( 10 1 ̄ 0 by scanning transmission electron microscope, we found that the ZnGa2O4 compound was formed at the M-plane hetero-interface, which was confirmed by polarization-dependent photoluminescence. We demonstrated that the M-plane ZnO micro-rod surface can be used as an alternative substrate to grow high quality M-plane GaN epi-layers.

  10. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  11. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  12. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  13. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  14. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  15. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  16. Preparation and evaluation of Mn3GaN1-x thin films with controlled N compositions

    Science.gov (United States)

    Ishino, Sunao; So, Jongmin; Goto, Hirotaka; Hajiri, Tetsuya; Asano, Hidefumi

    2018-05-01

    Thin films of antiperovskite Mn3GaN1-x were grown on MgO (001) substrates by reactive magnetron sputtering, and their structural, magnetic, and magneto-optical properties were systematically investigated. It was found that the combination of the deposition rate and the N2 gas partial pressure could produce epitaxial films with a wide range of N composition (N-deficiency) and resulting c/a values (0.93 - 1.0). While the films with c/a = 0.992 - 1.0 were antiferromagnetic, the films with c/a = 0.93 - 0.989 showed perpendicular magnetic anisotropy (PMA) with the maximum PMA energy up to 1.5×106 erg/cm3. Systematic dependences of the energy spectra of the polar Kerr signals on the c/a ratio were observed, and the Kerr ellipticity was as large as 2.4 deg. at 1.9 eV for perpendicularly magnetized ferromagnetic thin films with c/a = 0.975. These results highlight that the tetragonal distortion plays an important role in magnetic and magneto-optical properties of Mn3GaN1-x thin films.

  17. m-plane GaN layers grown by rf-plasma assisted molecular beam epitaxy with varying Ga/N flux ratios on m-plane 4H-SiC substrates

    International Nuclear Information System (INIS)

    Armitage, R.; Horita, M.; Suda, J.; Kimoto, T.

    2007-01-01

    A series of m-plane GaN layers with the Ga beam-equivalent pressure (BEP) as the only varied parameter was grown by rf-plasma assisted molecular beam epitaxy on m-plane 4H-SiC substrates using AlN buffer layers. The smoothest growth surfaces and most complete film coalescence were found for the highest Ga BEP corresponding to the Ga droplet accumulation regime. However, better structural quality as assessed by x-ray rocking curves was observed for growth at a lower Ga BEP value below the droplet limit. The variation of rocking curve widths for planes inclined with respect to the epilayer c axis followed a different trend with Ga BEP than those of reflections parallel to the c axis. The GaN layers were found to exhibit a large residual compressive strain along the a axis

  18. Nanoscale imaging of surface piezoresponse on GaN epitaxial layers

    International Nuclear Information System (INIS)

    Stoica, T.; Calarco, R.; Meijers, R.; Lueth, H.

    2007-01-01

    Surfaces of GaN films were investigated by atomic force microscopy (AFM) with implemented piezoelectric force microscopy technique. A model of PFM based on the surface depletion region in GaN films is discussed. The local piezoelectric effect of the low frequency regime was found to be in phase with the applied voltage on large domains, corresponding to a Ga-face of the GaN layer. Low piezoresponse is obtained within the inter-domain regions. The use of frequencies near a resonance frequency enhances very much the resolution of piezo-imaging, but only for very low scanning speed the piezo-imaging can follow the local piezoelectric effect. An inversion of the PFM image contrast is obtained for frequencies higher than the resonance frequencies. The effect of a chemical surface treatment on the topography and the piezoresponse of the GaN films was also investigated. Textured surfaces with very small domains were observed after the chemical treatment. For this kind of surfaces, piezo-induced torsion rather than bending of the AFM cantilever dominates the contrast of the PFM images. A small memory effect was observed, and explained by surface charging and confinement of the piezoelectric effect within the carrier depletion region at the GaN surface

  19. Mg doping and its effect on the semipolar GaN(1122) growth kinetics

    International Nuclear Information System (INIS)

    Lahourcade, L.; Wirthmueller, A.; Monroy, E.; Pernot, J.; Chauvat, M. P.; Ruterana, P.; Laufer, A.; Eickhoff, M.

    2009-01-01

    We report the effect of Mg doping on the growth kinetics of semipolar GaN(1122) synthesized by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN(1122). We observe an enhancement of Mg incorporation in GaN(1122) compared to GaN(0001). Typical structural defects or polarity inversion domains found in Mg-doped GaN(0001) were not observed for the semipolar films investigated in the present study.

  20. Real time spectroscopic ellipsometry investigation of homoepitaxial GaN grown by plasma assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Tong-Ho; Choi, Soojeong; Wu, Pae; Brown, April [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Moto, Akihiro [Innovation Core SEI, Inc., 3235 Kifer Road, Santa Clara, CA 95051 (United States)

    2006-06-15

    The growth of GaN by plasma assisted molecular beam epitaxy on GaN template substrates (GaN on sapphire) is investigated with in-situ multi-channel spectroscopic ellipsometry. Growth is performed under various Ga/N flux ratios at growth temperatures in the range 710-780 C. The thermal roughening of the GaN template caused by decomposition of the surface is investigated through the temporal variation of the GaN pseudodielectric function over the temperature range of 650 C to 850 C. The structural, morphological, and optical properties are also discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  2. Effects of film polarities on InN growth by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Xu, K.; Yoshikawa, A.

    2003-01-01

    Effects of the film polarity on InN growth were investigated in molecular-beam epitaxy (MBE). It was found that N-polarity InN could be grown at higher temperatures than In-polarity one. For the In-polarity films, which were grown on Ga-polar GaN template, the highest growth temperature was limited below 500 deg. C, and the surface morphology and crystal quality tended to be poor mainly because of the tolerated low growth temperature. While for the N-polarity InN films, which were grown on MBE-grown N-polar GaN, the growth temperature could be as high as 600 deg. C. The step-flow-like growth morphology was achieved for the InN films grown with N polarity at 580 deg. C. The resulting full widths of half maximum of x-ray rocking curve around InN (002) and (102) reflections were about 200-250 and 950-1100 arc sec, respectively. The photoluminescence of the InN films peaked at 0.697 eV. The recording Hall mobility of InN film grown in N polarity is 1400 cm 2 /V s with a background carrier concentration of 1.56x10 18 cm -3 at room temperature. For both-polarity films, we found N-rich condition was necessary for the stable InN growth

  3. Effect of Mg Doping on the Photoluminescence of GaN:Mg Films by Radio-Frequency Plasma-Assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Sui Yan-Ping; Yu Guang-Hui

    2011-01-01

    We investigate undoped GaN and Mg-doped GaN grown by rf plasma-assisted molecular beam epitaxy (MBE) with different Mg concentrations by photoluminescence (PL) at low temperature, Hall-effect and XRD measurements. In the PL spectra of lightly Mg-doped GaN films, a low intensity near band edge (NBE) emission and strong donor-acceptor pair (DAP) emission with its phonon replicas are observed. As the Mg concentration is increased, the DAP and NBE bands become weaker and a red shift of these bands is observed in the PL spectra. Yellow luminescence (YL) is observed in heavily Mg-doped GaN. The x-ray diffraction is employed to study the structure of the films. Hall measurement shows that there is a maximum value (3.9 × 10 18 cm −3 ) of hole concentration with increasing Mg source temperature for compensation effect. PL spectra of undoped GaN are also studied under N-rich and Ga-rich growth conditions. Yellow luminescences of undoped Ga-rich GaN and heavily Mg-doped GaN are compared, indicating the different origins of the YL bands. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Growth of group III nitride films by pulsed electron beam deposition

    International Nuclear Information System (INIS)

    Ohta, J.; Sakurada, K.; Shih, F.-Y.; Kobayashi, A.; Fujioka, H.

    2009-01-01

    We have grown group III nitride films on Al 2 O 3 (0 0 0 1), 6H-SiC (0 0 0 1), and ZnO (0001-bar) substrates by pulsed electron beam deposition (PED) for the first time and investigated their characteristics. We found that c-plane AlN and GaN grow epitaxially on these substrates. It has been revealed that the growth of GaN on atomically flat 6H-SiC substrates starts with the three-dimensional mode and eventually changes into the two-dimensional mode. The GaN films exhibited strong near-band-edge emission in their room temperature photoluminescence spectra. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C. - Graphical abstract: We have grown group III nitride films by pulsed electron beam deposition (PED) and found that the films of group III nitrides grow epitaxially on 6H-SiC and Al 2 O 3 substrates. We also found that the use of PED allows us to reduce the epitaxial growth temperature for GaN down to 200 deg. C.

  5. Evolution of spirals during molecular beam epitaxy of GaN on 6H-SiC(0001)

    International Nuclear Information System (INIS)

    Cui, Y.; Li, L.

    2002-01-01

    Evolution of spirals during molecular beam epitaxy growth of GaN films on 6H-SiC(0001) was studied by in situ scanning tunneling microscopy. It was found that dislocations emerge at the film surface, creating straight steps with orientation along directions with a density of 10 10 cm -2 for 40-nm-thick films. During subsequent growth, these straight steps wind around dislocations and develop into spirals with a density of 10 9 cm -2 for 100-nm-thick films. The spirals can be classified into three types: single arm, interlocking double arm, and closed loop. The first two types originate from steps with one end pinned, and the third type results from steps with both ends pinned. At film thickness larger than 200 nm, these spirals further evolve into spiral mounds with a density of 10 7 cm -2 . Based on the Burton, Cabrera, and Frank theory, a model is proposed to explain the formation of different types of spirals and the reduction of their densities

  6. High electron mobility through the edge states in random networks of c-axis oriented wedge-shaped GaN nanowalls grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Sain, A.; Kesaria, Manoj; Shivaprasad, S. M.

    2012-01-01

    Transport and optical properties of random networks of c-axis oriented wedge-shaped GaN nanowalls grown spontaneously on c-plane sapphire substrates through molecular beam epitaxy are investigated. Our study suggests a one dimensional confinement of carriers at the top edges of these connected nanowalls, which results in a blue shift of the band edge luminescence, a reduction of the exciton-phonon coupling, and an enhancement of the exciton binding energy. Not only that, the yellow luminescence in these samples is found to be completely suppressed even at room temperature. All these changes are highly desirable for the enhancement of the luminescence efficiency of the material. More interestingly, the electron mobility through the network is found to be significantly higher than that is typically observed for GaN epitaxial films. This dramatic improvement is attributed to the transport of electrons through the edge states formed at the top edges of the nanowalls.

  7. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  8. Study of epitaxial lateral overgrowth of semipolar (1 1 − 2 2) GaN by using different SiO2 pattern sizes

    International Nuclear Information System (INIS)

    Song, Ki-Ryong; Lee, Jae-Hwan; Han, Sang-Hyun; Yi, Hye-Rin; Lee, Sung-Nam

    2013-01-01

    Graphical abstract: - Highlights: • We examine comparative studies of semipolar ELO-GaN film. • Semipolar ELO-GaN film was grown by three step growth method. • The achievement of smooth surface morphology of semipolar ELO-GaN. • The crystal and optical properties was significantly improved by ELO process. - Abstract: We investigated the growth mode and the crystal properties of lateral epitaxial overgrowth (LEO) semipolar (1 1 − 2 2) GaN by using the various SiO 2 pattern sizes of 6, 8, 10 and 12 μm with the window width of 4.0 μm. By using three-step growth technique, we successfully obtained the fully-coalescenced semipolar (1 1 − 2 2) LEO-GaN films regardless of the SiO 2 pattern sizes. However, the coalescence thickness of LEO-GaN film was decreased with decreasing SiO 2 pattern size, indicating that the coalescence of semipolar (1 1 − 2 2) GaN was easily formed by decreasing the pattern size of SiO 2 mask. The full width at half maximums (FWHMs) of X-ray rocking curves (XRCs) of LEO-GaN films decreased with increasing SiO 2 pattern size. In the pattern size of 4 × 10 μm, we achieved the minimum XRCs FWHM of 537 and 368 arc s with two different X-ray incident beam directions of [1 1 − 2 − 3] and [1 − 1 0 0], respectively. Moreover, the photoluminescence bandedge emission of semipolar (1 1 − 2 2) GaN was 45 times increased by LEO process. Based on these results, we concluded that the LEO pattern size of 4 × 10 μm would effectively decrease crystal defects of semipolar (1 1 − 2 2) GaN epilayer, resulting in an improvement of the optical properties

  9. Ga induced superstructures as templates for lattice matched hetroepitaxial growth of GaN on Si(111) substrate

    International Nuclear Information System (INIS)

    Kumar, Praveen; Kuyyalil, Jithesh; Shivaprasad, S. M.

    2010-01-01

    High quality GaN is grown by plasma assisted molecular beam epitaxy on Ga induced superstructural phases of Si(111)7x7. Three stable surface phases induced by Ga adsorption, viz., (1x1), (6.3x6.3), and (√3x√3)R30 deg., are employed as templates to grow epitaxial (0001) GaN thin films. GaN grown on Si(√3x√3)R30 deg. -Ga is found to be highly crystalline with intense (0002) x-ray diffraction and photoluminescence peaks with low full width at half maximum, low surface roughness, and stoichiometric surface composition. The high quality of these GaN films formed at a low temperature of 400 deg. C is explained by the integral (x2) lattice matching between the unit cell of GaN and the (√3x√3) phase. The experiments demonstrate a plausible approach of adsorbate induced surface modifications as templates for III-V hetroepitaxy on Si surfaces.

  10. ''Cube-on-hexagon'' orientation relationship for Fe on GaN(0001): The missing link in bcc/hcp epitaxy

    International Nuclear Information System (INIS)

    Gao Cunxu; Brandt, Oliver; Laehnemann, Jonas; Jahn, Uwe; Jenichen, Bernd; Schoenherr, Hans-Peter; Erwin, Steven C.

    2010-01-01

    We investigate, experimentally and theoretically, the epitaxy of body-centered-cubic Fe on hexagonal GaN. For growth on the Ga-polar GaN(0001) surface we find the well-known Pitsch-Schrader orientation relationship between Fe and GaN. On the N-polar GaN(0001) surface we observe coexistence between the familiar Burgers orientation and a new orientation in which the Fe(001) plane is parallel to GaN(0001). This 'cube-on-hexagon' orientation constitutes the high-symmetry link required for constructing a symmetry diagram for bcc/hcp systems in which all orientation relationships are connected by simple rotations.

  11. Modification of GaN(0001) growth kinetics by Mg doping

    International Nuclear Information System (INIS)

    Monroy, E.; Andreev, T.; Holliger, P.; Bellet-Amalric, E.; Shibata, T.; Tanaka, M.; Daudin, B.

    2004-01-01

    We have studied the effect of Mg doping on the surface kinetics of GaN during growth by plasma-assisted molecular-beam epitaxy. Mg tends to segregate on the surface of GaN, inhibiting the formation of the self-regulated Ga film which is used as a surfactant for the growth of undoped and Si-doped GaN. The growth window is hence significantly reduced. Higher growth temperatures lead to an enhancement of Mg segregation and an improvement of the surface morphology

  12. Mg doping of GaN by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lieten, R R; Buchowicz, G; Dubon, O; Motsnyi, V; Zhang, L; Cheng, K; Leys, M; Degroote, S; Borghs, G

    2011-01-01

    We present a systematic study on the influence of growth conditions on the incorporation and activation of Mg in GaN layers grown by plasma-assisted molecular beam epitaxy. We show that high quality p-type GaN layers can be obtained on GaN-on-silicon templates. The Mg incorporation and the electrical properties have been investigated as a function of growth temperature, Ga : N flux ratio and Mg : Ga flux ratio. It was found that the incorporation of Mg and the electrical properties are highly sensitive to the Ga : N flux ratio. The highest hole mobility and lowest resistivity were achieved for slightly Ga-rich conditions. In addition to an optimal Ga : N ratio, an optimum Mg : Ga flux ratio was also observed at around 1%. We observed a clear Mg flux window for p-type doping of GaN : 0.31% 17 cm -3 and a mobility of 15 cm 2 V -1 s -1 . Temperature-dependent Hall effect measurements indicate an acceptor depth in these samples of 100 meV for a hole concentration of 5.5 x 10 17 cm -3 . The corresponding Mg concentration is 5 x 10 19 cm -3 , indicating approximately 1% activation at room temperature. In addition to continuous growth of Mg-doped GaN layers we also investigated different modulated growth procedures. We show that a modulated growth procedure has only limited influence on Mg doping at a growth temperature of 800 deg. or higher. This result is thus in contrast to previously reported GaN : Mg doping at much lower growth temperatures of 500 deg. C.

  13. GaN epilayers on nanopatterned GaN/Si(1 1 1) templates: Structural and optical characterization

    International Nuclear Information System (INIS)

    Wang, L.S.; Tripathy, S.; Wang, B.Z.; Chua, S.J.

    2006-01-01

    Template-based nanoscale epitaxy has been explored to realize high-quality GaN on Si(1 1 1) substrates. We have employed polystyrene-based nanosphere lithography to form the nano-hole array patterns on GaN/Si(1 1 1) template and then, subsequent regrowth of GaN is carried out by metalorganic chemical vapor deposition (MOCVD). During the initial growth stage of GaN on such nanopatterned substrates, we have observed formation of nanoislands with hexagonal pyramid shape due to selective area epitaxy. With further epitaxial regrowth, these nanoislands coalesce and form continuous GaN film. The overgrown GaN on patterned and non-patterned regions is characterized by high-resolution X-ray diffraction (HRXRD) and high-spatial resolution optical spectroscopic methods. Micro-photoluminescence (PL), micro-Raman scattering and scanning electron microscopy (SEM) have been used to assess the microstructural and optical properties of GaN. Combined PL and Raman data analyses show improved optical quality when compared to GaN simultaneously grown on non-patterned bulk Si(1 1 1). Such thicker GaN templates would be useful to achieve III-nitride-based opto- and electronic devices integrated on Si substrates

  14. Integration of epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films on GaN/AlGaN/GaN/Si(111) substrates using rutile TiO{sub 2} buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)

    2015-09-30

    The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.

  15. Epitaxy of GaN on silicon-impact of symmetry and surface reconstruction

    International Nuclear Information System (INIS)

    Dadgar, A; Schulze, F; Wienecke, M; Gadanecz, A; Blaesing, J; Veit, P; Hempel, T; Diez, A; Christen, J; Krost, A

    2007-01-01

    GaN-on-silicon is a low-cost alternative to growth on sapphire or SiC. Today epitaxial growth is usually performed on Si(111), which has a threefold symmetry. The growth of single crystalline GaN on Si(001), the material of the complementary metal oxide semiconductor (CMOS) industry, is more difficult due to the fourfold symmetry of this Si surface leading to two differently aligned domains. We show that breaking the symmetry to achieve single crystalline growth can be performed, e.g. by off-oriented substrates to achieve single crystalline device quality GaN layers. Furthermore, an exotic Si orientation for GaN growth is Si(110), which we show is even better suited as compared to Si(111) for the growth of high quality GaN-on-silicon with a nearly threefold reduction in the full width at half maximum (FWHM) of the (1 1-bar 0 0)ω-scan. It is found that a twofold surface symmetry is in principal suitable for the growth of single crystalline GaN on Si

  16. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  17. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  18. Plasmas for the low-temperature growth of high-quality GaN films by molecular beam epitaxy and remote plasma MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Capezzuto, P.; Bruno, G. [Plasmachemistry Research Center, CNR, Bari (Italy); Namkoong, G.; Doolittle, W.A.; Brown, A.S. [Georgia Inst. of Tech., Atlanta (United States). School of Electrical and Computer Engineering, Microelectronic Research Center

    2002-03-16

    GaN heteroepitaxial growth on sapphire (0001) substrates was carried out by both radio-frequency (rf) remote plasma metalorganic chemical vapor deposition (RP-MOCVD) and molecular beam epitaxy (MBE). A multistep growth process including substrate plasma cleaning and nitridation, buffer growth, its subsequent annealing and epilayer growth was used. In order to achieve a better understanding of the GaN growth, in-situ real time investigation of the surface chemistry is performed for all the steps using the conventional reflection high-energy electron spectroscopy (RHEED) during the MBE process, while laser reflectance interferometry (LRI) and spectroscopic ellipsometry (SE), which do not require UHV conditions, are used for the monitoring of the RP-MOCVD process. The chemistry of the rf N{sub 2} plasma sapphire nitridation and its effect on the epilayer growth and quality are discussed in both MBE and RP-MOCVD. (orig.)

  19. The origin of the residual conductivity of GaN films on ferroelectric materials

    Science.gov (United States)

    Lee, Kyoung-Keun; Cai, Zhuhua; Ziemer, Katherine; Doolittle, William Alan

    2009-08-01

    In this paper, the origin of the conductivity of GaN films grown on ferroelectric materials was investigated using XPS, AES, and XRD analysis tools. Depth profiles confirmed the existence of impurities in the GaN film originating from the substrates. Bonding energy analysis from XPS and AES verified that oxygen impurities from the substrates were the dominant origin of the conductivity of the GaN film. Furthermore, Ga-rich GaN films have a greater chance of enhancing diffusion of lithium oxide from the substrates, resulting in more substrate phase separation and a wider inter-mixed region confirmed by XRD. Therefore, the direct GaN film growth on ferroelectric materials causes impurity diffusion from the substrates, resulting in highly conductive GaN films. Future work needs to develop non-conductive buffer layers for impurity suppression in order to obtain highly resistive GaN films.

  20. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hayashi, S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Ho, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Matney, K [Bede Scientific Inc., Englewood, CO 80112 (United States); Sandhu, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Goorsky, M [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2005-05-21

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure.

  1. Scans along arbitrary directions in reciprocal space and the analysis of GaN films on SiC

    International Nuclear Information System (INIS)

    Poust, B; Heying, B; Hayashi, S; Ho, R; Matney, K; Sandhu, R; Wojtowicz, M; Goorsky, M

    2005-01-01

    Equations governing scans along arbitrary directions in reciprocal space were developed and used to map reciprocal lattice points (RLPs) with radial raster patterns to study mosaic structure in GaN thin films deposited on semi-insulating 4H-SiC substrates using AlN nucleation layers (NLs). The films were grown by molecular beam epitaxy, keeping the GaN growth conditions the same, but using different AlN NL growth conditions. Mosaic tilt angles determined from symmetric RLP breadth measurements were similar for all samples measured, consistent with screw and mixed dislocation densities determined from transmission electron microscopy (TEM) measurements. Mosaic twist was determined using off-axis skew-symmetric high resolution x-ray diffraction measurements of asymmetric RLP breadths, yielding results consistent with grazing incidence in-plane x-ray diffraction twist measurements. A clear correlation between the twist angle and the edge and mixed dislocation densities determined by TEM was not observed, warranting careful consideration of dislocation structure

  2. Room temperature photoluminescence from In{sub x}Al{sub (1−x)}N films deposited by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Mohanta, A. [Oak Ridge Institute for Science and Education, Research Participation Program, U.S. Army Aviation and Missile Research, Development and Engineering Center (AMRDEC), Redstone Arsenal, Alabama 35898 (United States); Roberts, A. T. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [Plasma Chemistry Research Center-CNR, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Research Lab, Army Aviation and Missile RD and E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2014-09-29

    InAlN films deposited by plasma-assisted molecular beam epitaxy exhibited a lateral composition modulation characterized by 10–12 nm diameter, honeycomb-shaped, columnar domains with Al-rich cores and In-rich boundaries. To ascertain the effect of this microstructure on its optical properties, room temperature absorption and photoluminescence characteristics of In{sub x}Al{sub (1−x)}N were comparatively investigated for indium compositions ranging from x = 0.092 to 0.235, including x = 0.166 lattice matched to GaN. The Stokes shift of the emission was significantly greater than reported for films grown by metalorganic chemical vapor deposition, possibly due to the phase separation in these nanocolumnar domains. The room temperature photoluminescence also provided evidence of carrier transfer from the InAlN film to the GaN template.

  3. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    Science.gov (United States)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  4. Successful Fabrication of GaN Epitaxial Layer on Non-Catalytically grown Graphene

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Sung Won [Konkuk University, Chungju (Korea, Republic of); Choi, Suk-Ho [Kyung Hee University, Yongin (Korea, Republic of)

    2016-07-15

    Sapphire is widely used as a substrate for the growth of GaN epitaxial layer (EPI), but has several drawbacks such as high cost, large lattice mismatch, non-flexibility, and so on. Here, we first employ graphene directly grown on Si or sapphire substrate as a platform for the growth and lift-off of GaN-light-emitting diode (LED) EPI, useful for not only recycling the substrate but also transferring the GaN-LED EPI to other flexible substrates. Sequential standard processes of nucleation/recrystallization of GaN seeds and deposition of undoped (u-) GaN/AlN buffer layer were done on graphene/substrate before the growth of GaN-LED EPI, accompanied by taping and lift-off of u-GaN/AlN or GaN-LED EPI. This approach can overcome the limitations by the catalytic growth and transfer of graphene, and make the oxygen-plasma treatment of graphene for the growth of GaN EPI unnecessary.

  5. Infrared Reflectance Analysis of Epitaxial n-Type Doped GaN Layers Grown on Sapphire.

    Science.gov (United States)

    Tsykaniuk, Bogdan I; Nikolenko, Andrii S; Strelchuk, Viktor V; Naseka, Viktor M; Mazur, Yuriy I; Ware, Morgan E; DeCuir, Eric A; Sadovyi, Bogdan; Weyher, Jan L; Jakiela, Rafal; Salamo, Gregory J; Belyaev, Alexander E

    2017-12-01

    Infrared (IR) reflectance spectroscopy is applied to study Si-doped multilayer n + /n 0 /n + -GaN structure grown on GaN buffer with GaN-template/sapphire substrate. Analysis of the investigated structure by photo-etching, SEM, and SIMS methods showed the existence of the additional layer with the drastic difference in Si and O doping levels and located between the epitaxial GaN buffer and template. Simulation of the experimental reflectivity spectra was performed in a wide frequency range. It is shown that the modeling of IR reflectance spectrum using 2 × 2 transfer matrix method and including into analysis the additional layer make it possible to obtain the best fitting of the experimental spectrum, which follows in the evaluation of GaN layer thicknesses which are in good agreement with the SEM and SIMS data. Spectral dependence of plasmon-LO-phonon coupled modes for each GaN layer is obtained from the spectral dependence of dielectric of Si doping impurity, which is attributed to compensation effects by the acceptor states.

  6. Effect of SiC buffer layer on GaN growth on Si via PA-MBE

    Science.gov (United States)

    Kukushkin, S. A.; Mizerov, A. M.; Osipov, A. V.; Redkov, A. V.; Telyatnik, R. S.; Timoshnev, S. N.

    2017-11-01

    The study is devoted to comparison of GaN thin films grown on SiC/Si substrates made by the method of atoms substitution with the films grown directly on Si substrates. The growth was performed in a single process via plasma assisted molecular beam epitaxy. The samples were studied via optical microscopy, Raman spectroscopy, ellipsometry, and a comparison of their characteristics was made. Using chemical etching in KOH, the polarity of GaN films grown on SiC/Si and Si substrates was determined.

  7. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  8. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  10. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  11. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    Science.gov (United States)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-10-01

    The free hole carriers in GaN have been limited to concentrations in the low 1018cm-3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ˜10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ˜1.5×1019cm-3.

  12. Effect of the Ti-Nanolayer Thickness on the Self-Lift-off of Thick GaN Epitaxial Layers

    International Nuclear Information System (INIS)

    Yugov, A. A.; Malahov, S. S.; Donskov, A. A.; Duhnovskii, M. P.; Knyazev, S. N.; Kozlova, Yu. P.; Yugova, T. G.; Belogorokhov, I. A.

    2016-01-01

    The effect of the type of substrate, sapphire substrate (c- and r-orientation) or GaN/Al_2O_3 template (c- and r-orientations), on the nitridation of an amorphous titanium nanolayer is shown. The effect of the titanium-nanolayer thickness on thick GaN epitaxial layer self-separation from the substrate is revealed. The titanium-nanolayer thickness at which thick GaN layer is reproducibly self-separated is within 20–40 nm.

  13. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  14. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  15. High temperature refractive indices of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Liu, C.; Stepanov, S.; Gott, A.; Shields, P.A.; Zhirnov, E.; Wang, W.N. [Department of Physics, University of Bath, Bath, BA2 7AY (United Kingdom); Steimetz, E.; Zettler, J.T. [LayTec, Helmholtzstr. 13-14, 10587 Berlin (Germany)

    2006-06-15

    Undoped GaN (u-GaN) films were grown by low pressure metalorganic vapour phase epitaxy (LP-MOVPE) on sapphire substrates. In situ optical monitoring was applied to the growth process either using a LayTec EpiR-DA TT spectroscopic reflectometer or Filmetrics F30. Refractive indices of u-GaN films at 1060 C were obtained in a spectral range from 370-900 nm. A peak at 412{+-}5 nm in refractive index spectra was observed, which most likely corresponds to the band-gap of hexagonal GaN at a temperature of 1060 C. Refractive indices below this band-gap are fitted well to the first-order Sellmeier formula. As an example of the applications of the refractive indices, the effective film thicknesses of GaN during the resumption from 3 dimensional (3D) to 2 dimensional (2D) growth have been calculated from the spectra recorded by a LayTec system using the optical constants obtained. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Structural characterisation of GaN and GaN:O thin films

    International Nuclear Information System (INIS)

    Granville, S.; Budde, F.; Koo, A.; Ruck, B.J.; Trodahl, H.J.; Bittar, A.; Metson, J.B.; James, B.J.; Kennedy, V.J.; Markwitz, A.; Prince, K.E.

    2005-01-01

    In its crystalline form, the wide band-gap semiconductor GaN is of exceptional interest in the development of suitable materials for short wavelength optoelectronic devices. One of the barriers to its potential usefulness however is the large concentration of defects present even in MBE-grown material often due to the lattice mismatch of the GaN with common substrate materials. Calculations have suggested that GaN films grown with an amorphous structure retain many of the useful properties of the crystalline material, including the wide band-gap and a low density of states in the gap, and thus may be a suitable alternative to the single crystal GaN for a variety of applications. We have performed structural and compositional measurements on heavily disordered GaN thin films with and without measureable O and H concentrations grown using ion-assisted deposition. X-ray diffraction and x-ray absorption fine structure measurements show that stoichiometric films are composed of nanocrystallites of ∼3-4 nm in size and that GaN films containing O to 10 at % or greater are amorphous. Rutherford backscattering spectroscopy (RBS) was performed and nuclear reaction analysis (NRA) measurements were made to determine the elemental composition of the films and elastic recoil detection (ERD) detected the hydrogen concentrations. Secondary ion mass spectroscopy (SIMS) measurements were used to depth profile the films. X-ray photoelectron spectroscopy (XPS) measurements probed the bonding environment of the Ga in the films. (author). 2 figs., 1 tab

  17. Metal modulation epitaxy growth for extremely high hole concentrations above 1019 cm-3 in GaN

    International Nuclear Information System (INIS)

    Namkoong, Gon; Trybus, Elaissa; Lee, Kyung Keun; Moseley, Michael; Doolittle, W. Alan; Look, David C.

    2008-01-01

    The free hole carriers in GaN have been limited to concentrations in the low 10 18 cm -3 range due to the deep activation energy, lower solubility, and compensation from defects, therefore, limiting doping efficiency to about 1%. Herein, we report an enhanced doping efficiency up to ∼10% in GaN by a periodic doping, metal modulation epitaxy growth technique. The hole concentrations grown by periodically modulating Ga atoms and Mg dopants were over ∼1.5x10 19 cm -3

  18. Backward diodes using heavily Mg-doped GaN growth by ammonia molecular-beam epitaxy

    Science.gov (United States)

    Okumura, Hironori; Martin, Denis; Malinverni, Marco; Grandjean, Nicolas

    2016-02-01

    We grew heavily Mg-doped GaN using ammonia molecular-beam epitaxy. The use of low growth temperature (740 °C) allows decreasing the incorporation of donor-like defects (p-type doping compensation. As a result, a net acceptor concentration of 7 × 1019 cm-3 was achieved, and the hole concentration measured by Hall effect was as high as 2 × 1019 cm-3 at room temperature. Using such a high Mg doping level, we fabricated GaN backward diodes without polarization-assisted tunneling. The backward diodes exhibited a tunneling-current density of 225 A/cm2 at a reverse bias of -1 V at room temperature.

  19. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  20. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  1. Formation of definite GaN p-n junction by Mg-ion implantation to n--GaN epitaxial layers grown on a high-quality free-standing GaN substrate

    Science.gov (United States)

    Oikawa, Takuya; Saijo, Yusuke; Kato, Shigeki; Mishima, Tomoyoshi; Nakamura, Tohru

    2015-12-01

    P-type conversion of n--GaN by Mg-ion implantation was successfully performed using high quality GaN epitaxial layers grown on free-standing low-dislocation-density GaN substrates. These samples showed low-temperature PL spectra quite similar to those observed from Mg-doped MOVPE-grown p-type GaN, consisting of Mg related donor-acceptor pair (DAP) and acceptor bound exciton (ABE) emission. P-n diodes fabricated by the Mg-ion implantation showed clear rectifying I-V characteristics and UV and blue light emissions were observed at forward biased conditions for the first time.

  2. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  3. Heteroepitaxial growth of In-face InN on GaN (0001) by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Dimakis, E.; Iliopoulos, E.; Tsagaraki, K.; Kehagias, Th.; Komninou, Ph.; Georgakilas, A.

    2005-01-01

    The thermodynamic aspects of indium-face InN growth by radio frequency plasma-assisted molecular-beam epitaxy (rf-MBE) and the nucleation of InN on gallium-face GaN (0001) surface were investigated. The rates of InN decomposition and indium desorption from the surface were measured in situ using reflected high-energy electron diffraction and the rf-MBE 'growth window' of In-face InN (0001) was identified. It is shown that sustainable growth can be achieved only when the arrival rate of active nitrogen species on the surface is higher than the arrival rate of indium atoms. The maximum substrate temperature permitting InN growth as a function of the active nitrogen flux was determined. The growth mode of InN on Ga-face GaN (0001) surface was investigated by reflected high-energy electron diffraction and atomic force microscopy. It was found to be of the Volmer-Weber-type for substrate temperatures less than 350 deg. C and of the Stranski-Krastanov for substrate temperatures between 350 and 520 deg. C. The number of monolayers of initial two-dimensional growth, in the case of Stranski-Krastanov mode, varies monotonically with substrate temperature, from 2 ML at 400 deg. C to about 12 ML at 500 deg. C. The evolution and coalescence of nucleated islands were also investigated as a function of substrate temperature. It was found that at higher temperature their coalescence is inhibited leading to porous-columnar InN thin films, which exhibit growth rates higher than the nominal value. Therefore, in order to achieve continuous InN layers on GaN (0001) a two-step growth approach is introduced. In that approach, InN is nucleated at low temperatures on GaN and the growth continues until full coalescence of the nucleated islands. Subsequently, this nucleation layer is overgrown at higher substrate temperature in order to achieve high-quality continuous films. The InN films grown by the two-step method were investigated by x-ray diffraction, Hall-effect measurements, and

  4. Lateral polarity control of III-nitride thin film and application in GaN Schottky barrier diode

    Science.gov (United States)

    Li, Junmei; Guo, Wei; Sheikhi, Moheb; Li, Hongwei; Bo, Baoxue; Ye, Jichun

    2018-05-01

    N-polar and III-polar GaN and AlN epitaxial thin films grown side by side on single sapphire substrate was reported. Surface morphology, wet etching susceptibility and bi-axial strain conditions were investigated and the polarity control scheme was utilized in the fabrication of Schottky barrier diode where ohmic contact and Schottky contact were deposited on N-polar domains and Ga-polar domains, respectively. The influence of N-polarity on on-state resistivity and I–V characteristic was discussed, demonstrating that lateral polarity structure of GaN and AlN can be widely used in new designs of optoelectronic and electronic devices. Project partially supported by the National Key Research and Development Program of China (No. 2016YFB0400802), the National Natural Science Foundation of China (No. 61704176), and the Open project of Zhejiang Key Laboratory for Advanced Microelectronic Intelligent Systems and Applications (No. ZJUAMIS1704).

  5. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    Science.gov (United States)

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  6. Characteristics of threading dislocations in ZnO grown on facet-controlled epitaxial overgrown GaN templates

    International Nuclear Information System (INIS)

    Zhou, H L; Chua, S J; Chow, S Y; Pan, H; Zhu, Y W; Feng, Y P; Wang, L S; Zang, K Y; Liu, W; Tripathy, S

    2007-01-01

    Using transmission electron microscopy (TEM), the authors have investigated the behavior of threading dislocations in ZnO selectively grown on a facet-controlled epitaxial overgrown GaN template. In this case, the ZnO is grown by a vapor transport method. The TEM study in the overgrown regions shows that all the pure-edge type dislocations in ZnO are parallel toward the mask area and vertical propagation of dislocation to the ZnO surface is minimized. Using such a selective growth technique on a faceted semi-polar GaN surface, a reduction of threading dislocation density in ZnO could be achieved

  7. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  8. Polar and Nonpolar Gallium Nitride and Zinc Oxide based thin film heterostructures Integrated with Sapphire and Silicon

    Science.gov (United States)

    Gupta, Pranav

    This dissertation work explores the understanding of the relaxation and integration of polar and non-polar of GaN and ZnO thin films with Sapphire and silicon substrates. Strain management and epitaxial analysis has been performed on wurtzitic GaN(0001) thin films grown on c-Sapphire and wurtzitic non-polar a-plane GaN(11-20) thin films grown on r-plane Sapphire (10-12) by remote plasma atomic nitrogen source assisted UHV Pulsed Laser Deposition process. It has been established that high-quality 2-dimensional c-axis GaN(0001) nucleation layers can be grown on c-Sapphire by PLD process at growth temperatures as low as ˜650°C. Whereas the c-axis GaN on c-sapphire has biaxially negative misfit, the crystalline anisotropy of the a-plane GaN films on r-Sapphire results in compressive and tensile misfits in the two major orthogonal directions. The measured strains have been analyzed in detail by X-ray, Raman spectroscopy and TEM. Strain relaxation in GaN(0001)/Sapphire thin film heterostructure has been explained by the principle of domain matched epitaxial growth in large planar misfit system and has been demonstrated by TEM study. An attempt has been made to qualitatively understand the minimization of free energy of the system from the strain perspective. Analysis has been presented to quantify the strain components responsible for the compressive strain observed in the GaN(0001) thin films on c-axis Sapphire substrates. It was also observed that gallium rich deposition conditions in PLD process lead to smoother nucleation layers because of higher ad-atom mobility of gallium. We demonstrate near strain relaxed epitaxial (0001) GaN thin films grown on (111) Si substrates using TiN as intermediate buffer layer by remote nitrogen plasma assisted UHV pulsed laser deposition (PLD). Because of large misfits between the TiN/GaN and TiN/Si systems the TIN buffer layer growth occurs via nucleation of interfacial dislocations under domain matching epitaxy paradigm. X-ray and

  9. Characterization of GaN P-N Junction Grown on Si (111) Substrate by Plasma-assisted Molecular Beam Epitaxy

    International Nuclear Information System (INIS)

    Rosfariza Radzali; Rosfariza Radzali; Mohd Anas Ahmad; Zainuriah Hassan; Norzaini Zainal; Kwong, Y.F.; Woei, C.C.; Mohd Zaki Mohd Yusoff; Mohd Zaki Mohd Yusoff

    2011-01-01

    In this report, the growth of GaN pn junction on Si (111) substrate by plasma assisted molecular beam epitaxy (PAMBE) is presented. Doping of GaN p-n junction has been carried out using Si and Mg as n-type dopant and p-type dopants, respectively. The sample had been characterized by PL, Raman spectroscopy, HR-XRD and SEM. PL spectrum showed strong band edge emission of GaN at ∼364 nm, indicating good quality of the sample. The image of SEM cross section of the sample showed sharp interfaces. The presence of peak ∼657 cm -1 in Raman measurement exhibited successful doping of Mg in the sample. (author)

  10. In situ synthesis and characterization of GaN nanorods through thermal decomposition of pre-grown GaN films

    International Nuclear Information System (INIS)

    Yan, P; Qin, D; An, Y K; Li, G Z; Xing, J; Liu, J J

    2008-01-01

    Herein we describe a thermal treatment route to synthesize gallium nitride (GaN) nanorods. In this method, GaN nanorods were synthesized by thermal treatment of GaN films at a temperature of 800 deg. C. The morphology and structure of GaN nanorods were characterized by scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The results show that GaN nanorods have a hexagonal wurtzite structure with diameters ranging from 30 to 50 nm. Additionally, GaN nanoplates are also founded in the products. The growth process of GaN nanostructures was investigated and a thermal decomposition mechanism was proposed. Our method provides a cost-effective route to fabricate GaN nanorods, which will benefit the fabrication of one-dimensional nanomaterials and device applications

  11. Electrothermal evaluation of thick GaN epitaxial layers and AlGaN/GaN high-electron-mobility transistors on large-area engineered substrates

    Science.gov (United States)

    Anderson, Travis J.; Koehler, Andrew D.; Tadjer, Marko J.; Hite, Jennifer K.; Nath, Anindya; Mahadik, Nadeemullah A.; Aktas, Ozgur; Odnoblyudov, Vladimir; Basceri, Cem; Hobart, Karl D.; Kub, Francis J.

    2017-12-01

    AlGaN/GaN high-electron-mobility transistor (HEMT) device layers were grown by metal organic chemical vapor deposition (MOCVD) on commercial engineered QST™ substrates to demonstrate a path to scalable, cost-effective foundry processing while supporting the thick epitaxial layers required for power HEMT structures. HEMT structures on 150 mm Si substrates were also evaluated. The HEMTs on engineered substrates exhibited material quality, DC performance, and forward blocking performance superior to those of the HEMT on Si. GaN device layers up to 15 µm were demonstrated with a wafer bow of 1 µm, representing the thickest films grown on 150-mm-diameter substrates with low bow to date.

  12. Polarity-inverted lateral overgrowth and selective wet-etching and regrowth (PILOSWER) of GaN.

    Science.gov (United States)

    Jang, Dongsoo; Jue, Miyeon; Kim, Donghoi; Kim, Hwa Seob; Lee, Hyunkyu; Kim, Chinkyo

    2018-03-07

    On an SiO 2 -patterned c-plane sapphire substrate, GaN domains were grown with their polarity controlled in accordance with the pattern. While N-polar GaN was grown on hexagonally arranged circular openings, Ga-polar GaN was laterally overgrown on mask regions due to polarity inversion occurring at the boundary of the circular openings. After etching of N-polar GaN on the circular openings by H 3 PO 4 , this template was coated with 40-nm Si by sputtering and was slightly etched by KOH. After slight etching, a thin layer of Si left on the circular openings of sapphire,but not on GaN, was oxidized during thermal annealing and served as a dielectric mask during subsequent regrowth. Thus, the subsequent growth of GaN was made only on the existing Ga-polar GaN domains, not on the circular openings of the sapphire substrate. Transmission electron microscopy analysis revealed no sign of threading dislocations in this film. This approach may help fabricating an unholed and merged GaN film physically attached to but epitaxially separated from the SiO 2 -patterned sapphire.

  13. Mn doped GaN thin films and nanoparticles

    Czech Academy of Sciences Publication Activity Database

    Šofer, Z.; Sedmidubský, D.; Huber, Š.; Hejtmánek, Jiří; Macková, Anna; Fiala, R.

    2012-01-01

    Roč. 9, 8-9 (2012), s. 809-824 ISSN 1475-7435 R&D Projects: GA ČR GA104/09/0621 Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z10480505 Keywords : GaN nanoparticles * GaN thin films * manganese * transition metals * MOVPE * ion implantations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.087, year: 2012

  14. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  15. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  16. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chen, Yen-Ting; Araki, Tsutomu; Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens; Chen, Li-Chyong; Chen, Kuei-Hsien; Nanishi, Yasushi

    2013-01-01

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm

  17. Nucleation of single GaN nanorods with diameters smaller than 35 nm by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yen-Ting [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Araki, Tsutomu [Department of Electrical and Electronic Engineering, Ritsumeikan University, 525-8577 Shiga (Japan); Palisaitis, Justinas; Persson, Per O. Å.; Olof Holtz, Per; Birch, Jens [Department of Physics, Chemistry and Biology (IFM), Linköping University, S-58183 Linköping (Sweden); Chen, Li-Chyong [Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Chen, Kuei-Hsien [Institute of Atomic and Molecular Sciences, Academia Sinica, 10617 Taipei, Taiwan (China); Center for Condensed Matter Sciences, National Taiwan University, 10617 Taipei, Taiwan (China); Nanishi, Yasushi [Global Innovation Research Organization, Ritsumeikan University, 525-8577 Shiga (Japan)

    2013-11-11

    Nucleation mechanism of catalyst-free GaN nanorod grown on Si(111) is investigated by the fabrication of uniform and narrow (<35 nm) nanorods without a pre-defined mask by molecular beam epitaxy. Direct evidences show that the nucleation of GaN nanorods stems from the sidewall of the underlying islands down to the Si(111) substrate, different from commonly reported ones on top of the island directly. Accordingly, the growth and density control of the nanorods is exploited by a “narrow-pass” approach that only narrow nanorod can be grown. The optimal size of surrounding non-nucleation area around single nanorod is estimated as 88 nm.

  18. Undoped p-type GaN1-xSbx alloys: Effects of annealing

    Science.gov (United States)

    Segercrantz, N.; Baumgartner, Y.; Ting, M.; Yu, K. M.; Mao, S. S.; Sarney, W. L.; Svensson, S. P.; Walukiewicz, W.

    2016-12-01

    We report p-type behavior for undoped GaN1-xSbx alloys with x ≥ 0.06 grown by molecular beam epitaxy at low temperatures (≤400 °C). Rapid thermal annealing of the GaN1-xSbx films at temperatures >400 °C is shown to generate hole concentrations greater than 1019 cm-3, an order of magnitude higher than typical p-type GaN achieved by Mg doping. The p-type conductivity is attributed to a large upward shift of the valence band edge resulting from the band anticrossing interaction between localized Sb levels and extended states of the host matrix.

  19. Epitaxial growth of GaN by radical-enhanced metalorganic chemical vapor deposition (REMOCVD) in the downflow of a very high frequency (VHF) N2/H2 excited plasma - effect of TMG flow rate and VHF power

    Science.gov (United States)

    Lu, Yi; Kondo, Hiroki; Ishikawa, Kenji; Oda, Osamu; Takeda, Keigo; Sekine, Makoto; Amano, Hiroshi; Hori, Masaru

    2014-04-01

    Gallium nitride (GaN) films have been grown by using our newly developed Radical-Enhanced Metalorganic Chemical Vapor Deposition (REMOCVD) system. This system has three features: (1) application of very high frequency (60 MHz) power in order to increase the plasma density, (2) introduction of H2 gas together with N2 gas in the plasma discharge region to generate not only nitrogen radicals but also active NHx molecules, and (3) radical supply under remote plasma arrangement with suppression of charged ions and photons by employing a Faraday cage. Using this new system, we have studied the effect of the trimethylgallium (TMG) source flow rate and of the plasma generation power on the GaN crystal quality by using scanning electron microscopy (SEM) and double crystal X-ray diffraction (XRD). We found that this REMOCVD allowed the growth of epitaxial GaN films of the wurtzite structure of (0001) orientation on sapphire substrates with a high growth rate of 0.42 μm/h at a low temperature of 800 °C. The present REMOCVD is a promising method for GaN growth at relatively low temperature and without using costly ammonia gas.

  20. Luminescence evolution of porous GaN thin films prepared via UV-assisted electrochemical etching

    International Nuclear Information System (INIS)

    Cheah, S.F.; Lee, S.C.; Ng, S.S.; Yam, F.K.; Abu Hassan, H.; Hassan, Z.

    2015-01-01

    Porous gallium nitride (GaN) thin films with different surface morphologies and free carriers properties were fabricated from Si-doped GaN thin films using ultra-violet assisted electrochemical etching approach under various etching voltages. Fluctuation of luminescence signals was observed in the photoluminescence spectra of porous GaN thin films. Taking advantage of the spectral sensitivity of infrared attenuated total reflection spectroscopy on semiconductor materials, roles of free carriers and porous structure in controlling luminescence properties of GaN were investigated thoroughly. The results revealed that enhancement in luminescence signal is not always attained upon porosification. Although porosification is correlated to the luminescence enhancement, however, free carrier is the primary factor to enhance luminescence intensity. Due to unavoidable significant reduction of free carriers from Si-doped GaN in the porosification process, control of etching depth (i.e., thickness of porous layer formed from the Si-doped layer) is critical in fabricating porous GaN thin film with enhanced luminescence response. - Highlights: • Various pore morphologies with free carrier properties are produced by Si-doped GaN. • Free carriers are important to control the luminescence signal of porous GaN. • Enhancement of luminescence signal relies on the pore depth of Si-doped layer

  1. Theoretical investigation of electronic, magnetic and optical properties of Fe doped GaN thin films

    International Nuclear Information System (INIS)

    Salmani, E.; Mounkachi, O.; Ez-Zahraouy, H.; Benyoussef, A.; Hamedoun, M.; Hlil, E.K.

    2013-01-01

    Highlights: •Magnetic and optical properties Fe-doped GaN thin films are studied using DFT. •The band gaps of GaN thin films are larger than the one of the bulk. •The layer thickness and acceptor defect can switch the magnetic ordering. -- Abstract: Using first principles calculations based on spin-polarized density functional theory, the magnetic and optical properties of GaN and Fe-doped GaN thin films with and without acceptor defect is studied. The band structure calculations show that the band gaps of GaN thin films with 2, 4 and 6 layers are larger than the one of the bulk with wurtzite structure and decreases with increasing the film thickness. In Fe doped GaN thin films, we show that layer of thickness and acceptor defect can switch the magnetic ordering from disorder local moment (DLM) to ferromagnetic (FM) order. Without acceptor defect Fe doped GaN exhibits spin glass phase in 4 layers form and ferromagnetic state for 2 layers form of the thin films, while it exhibits ferromagnetic phase with acceptor defect such as vacancies defect for 2 and 4 layers. In the FM ordering, the thin films is half-metallic and is therefore ideal for spin application. The different energy between ferromagnetic state and disorder local moment state was evaluated. Moreover, the optical absorption spectra obtained by ab initio calculations confirm the ferromagnetic stability based on the charge state of magnetic impurities

  2. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  3. Heteroepitaxial growth of basal plane stacking fault free a-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wieneke, Matthias; Hempel, Thomas; Noltemeyer, Martin; Witte, Hartmut; Dadgar, Armin; Blaesing, Juergen; Christen, Juergen; Krost, Alois [Otto-von-Guericke Universitaet Magdeburg, FNW/IEP, Magdeburg (Germany)

    2010-07-01

    Growth of light emitting quantum-wells based on a-plane GaN is a possibility to reduce or even to avoid polarization correlated luminescence red shift and reduction of radiative recombination efficiency. But until now heteroepitaxially grown a-plane GaN films are characterized by a poor crystalline quality expressed by a high density of basal plane stacking faults (BSF) and partial dislocations. We present Si doped a-plane GaN films grown on r-plane sapphire substrates by metal organic vapor phase epitaxy using high temperature AlGaN nucleation layers. FE-SEM images revealed three dimensionally grown GaN crystallites sized up to tenth micrometer in the basal plane and a few tenth micrometers along the c-axes. Though, the full width at half maxima of the X-ray diffraction {omega}-scans of the in-plane GaN(1 anti 100) and GaN(0002) Bragg reflections exhibited a very high crystal quality. Furthermore, luminescence spectra were dominated by near band gap emission, while there was no separated peak of the basal plane stacking fault. In summary we present heteroepitaxially grown a-plane GaN without an evidence of basal plane stacking faults in X-ray diffraction measurements and luminescence spectra.

  4. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  5. Room temperature Ultraviolet B emission from InAlGaN films synthesized by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kong, W., E-mail: wei.kong@duke.edu; Jiao, W. Y.; Kim, T. H.; Brown, A. S. [Department of Electrical and Computer Engineering, Duke University, Durham, North Carolina 27708 (United States); Roberts, A. T. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Fournelle, J. [Department of Geoscience, University of Wisconsin, Madison, Wisconsin 53706 (United States); Losurdo, M. [CNR-NANOTEC, Istituto di Nanotecnologia, via Orabona, 4-70126 Bari (Italy); Everitt, H. O. [Charles Bowden Laboratory, Army Aviation and Missile RD& E Center, Redstone Arsenal, Alabama 35898 (United States); Department of Physics, Duke University, Durham, North Carolina 27708 (United States)

    2015-09-28

    Thin films of the wide bandgap quaternary semiconductor In{sub x}Al{sub y}Ga{sub (1−x−y)}N with low In (x = 0.01–0.05) and high Al composition (y = 0.40–0.49) were synthesized on GaN templates by plasma-assisted molecular beam epitaxy. High-resolution X-ray diffraction was used to correlate the strain accommodation of the films to composition. Room temperature ultraviolet B (280 nm–320 nm) photoluminescence intensity increased with increasing In composition, while the Stokes shift remained relatively constant. The data suggest a competition between radiative and non-radiative recombination occurs for carriers, respectively, localized at centers produced by In incorporation and at dislocations produced by strain relaxation.

  6. Epitaxial patterning of thin-films: conventional lithographies and beyond

    International Nuclear Information System (INIS)

    Zhang, Wei; Krishnan, Kannan M

    2014-01-01

    Thin-film based novel magnetic and electronic devices have entered a new era in which the film crystallography, structural coherence, and epitaxy play important roles in determining their functional properties. The capabilities of controlling such structural and functional properties are being continuously developed by various physical deposition technologies. Epitaxial patterning strategies further allow the miniaturization of such novel devices, which incorporates thin-film components into nanoscale architectures while keeping their functional properties unmodified from their ideal single-crystal values. In the past decade, epitaxial patterning methods on the laboratory scale have been reported to meet distinct scientific inquires, in which the techniques and processes used differ from one to the other. In this review we summarize many of these pioneering endeavors in epitaxial patterning of thin-film devices that use both conventional and novel lithography techniques. These methods demonstrate epitaxial patterning for a broad range of materials (metals, oxides, and semiconductors) and cover common device length scales from micrometer to sub-hundred nanometer. Whilst we have been motivated by magnetic materials and devices, we present our outlook on developing systematic-strategies for epitaxial patterning of functional materials which will pave the road for the design, discovery and industrialization of next-generation advanced magnetic and electronic nano-devices. (topical review)

  7. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  8. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  9. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi

    2017-12-19

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  10. Epitaxial Single-Layer MoS2 on GaN with Enhanced Valley Helicity

    KAUST Repository

    Wan, Yi; Xiao, Jun; Li, Jingzhen; Fang, Xin; Zhang, Kun; Fu, Lei; Li, Pan; Song, Zhigang; Zhang, Hui; Wang, Yilun; Zhao, Mervin; Lu, Jing; Tang, Ning; Ran, Guangzhao; Zhang, Xiang; Ye, Yu; Dai, Lun

    2017-01-01

    Engineering the substrate of 2D transition metal dichalcogenides can couple the quasiparticle interaction between the 2D material and substrate, providing an additional route to realize conceptual quantum phenomena and novel device functionalities, such as realization of a 12-time increased valley spitting in single-layer WSe2 through the interfacial magnetic exchange field from a ferromagnetic EuS substrate, and band-to-band tunnel field-effect transistors with a subthreshold swing below 60 mV dec−1 at room temperature based on bilayer n-MoS2 and heavily doped p-germanium, etc. Here, it is demonstrated that epitaxially grown single-layer MoS2 on a lattice-matched GaN substrate, possessing a type-I band alignment, exhibits strong substrate-induced interactions. The phonons in GaN quickly dissipate the energy of photogenerated carriers through electron–phonon interaction, resulting in a short exciton lifetime in the MoS2/GaN heterostructure. This interaction enables an enhanced valley helicity at room temperature (0.33 ± 0.05) observed in both steady-state and time-resolved circularly polarized photoluminescence measurements. The findings highlight the importance of substrate engineering for modulating the intrinsic valley carriers in ultrathin 2D materials and potentially open new paths for valleytronics and valley-optoelectronic device applications.

  11. Polarized infrared reflectance study of free standing cubic GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Lee, S.C.; Ng, S.S.; Hassan, H. Abu; Hassan, Z.; Zainal, N.; Novikov, S.V.; Foxon, C.T.; Kent, A.J.

    2014-01-01

    Optical properties of free standing cubic gallium nitride grown by molecular beam epitaxy system are investigated by a polarized infrared (IR) reflectance technique. A strong reststrahlen band, which reveals the bulk-like optical phonon frequencies, is observed. Meanwhile, continuous oscillation fringes, which indicate the sample consists of two homogeneous layers with different dielectric constants, are observed in the non-reststrahlen region. By obtaining the first derivative of polarized IR reflectance spectra measured at higher angles of incidence, extra phonon resonances are identified at the edges of the reststrahlen band. The observations are verified with the theoretical results simulated based on a multi-oscillator model. - Highlights: • First time experimental studies of IR optical phonons in bulk like, cubic GaN layer. • Detection of extra phonon modes of cubic GaN by polarized IR reflectance technique. • Revelation of IR multiphonon modes of cubic GaN by first derivative numerical method. • Observation of multiphonon modes requires very high angle of incidence. • Resonance splitting effect induced by third phonon mode is a qualitative indicator

  12. Ultraviolet light-absorbing and emitting diodes consisting of a p-type transparent-semiconducting NiO film deposited on an n-type GaN homoepitaxial layer

    Science.gov (United States)

    Nakai, Hiroshi; Sugiyama, Mutsumi; Chichibu, Shigefusa F.

    2017-05-01

    Gallium nitride (GaN) and related (Al,Ga,In)N alloys provide practical benefits in the production of light-emitting diodes (LEDs) and laser diodes operating in ultraviolet (UV) to green wavelength regions. However, obtaining low resistivity p-type AlN or AlGaN of large bandgap energies (Eg) is a critical issue in fabricating UV and deep UV-LEDs. NiO is a promising candidate for useful p-type transparent-semiconducting films because its Eg is 4.0 eV and it can be doped into p-type conductivity of sufficiently low resistivity. By using these technologies, heterogeneous junction diodes consisting of a p-type transparent-semiconducting polycrystalline NiO film on an n-type single crystalline GaN epilayer on a low threading-dislocation density, free-standing GaN substrate were fabricated. The NiO film was deposited by using the conventional RF-sputtering method, and the GaN homoepitaxial layer was grown by metalorganic vapor phase epitaxy. They exhibited a significant photovoltaic effect under UV light and also exhibited an electroluminescence peak at 3.26 eV under forward-biased conditions. From the conduction and valence band (EV) discontinuities, the NiO/GaN heterointerface is assigned to form a staggered-type (TYPE-II) band alignment with the EV of NiO higher by 2.0 eV than that of GaN. A rectifying property that is consistent with the proposed band diagram was observed in the current-voltage characteristics. These results indicate that polycrystalline NiO functions as a hole-extracting and injecting layer of UV optoelectronic devices.

  13. Growth and characterization of Fe nanostructures on GaN

    International Nuclear Information System (INIS)

    Honda, Yuya; Hayakawa, Satoko; Hasegawa, Shigehiko; Asahi, Hajime

    2009-01-01

    We have investigated the growth of Fe nanostructures on GaN(0 0 0 1) substrates at room temperature using reflection high-energy electron diffraction (RHEED), scanning tunneling microscopy (STM), and superconducting quantum interference device magnetometer. Initially, a ring RHEED pattern appears, indicating the growth of polycrystalline α-Fe. At around 0.5 nm deposition, the surface displays a transmission pattern from α-Fe films with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[1 -1 1]//GaN[1 1 -2 0] (Kurdjumov-Sachs (KS) orientational relationship). Further deposition to 1 nm results in the appearance of a new spot pattern together with the pattern from domains with the KS orientation relationship. The newly observed pattern shows that Fe layers are formed with the epitaxial relationship of Fe(1 1 0)//GaN(0 0 0 1) and Fe[0 0 1]//GaN[1 1 -2 0] (Nishiyama-Wasserman (NW) orientational relationship). From STM images for Fe layers with the KS and NW orientational relationships, it can be seen that Fe layers with the KS relationship consist of round-shaped Fe nanodots with below 7 nm in average diameter. These nanodots coalesce to form nanodots elongating along the Fe[1 0 0] direction, and they have the KS orientational relationship. Elongated Fe nanodots with the NW relationship show ferromagnetism while round-shaped Fe nanodots with the KS relationship show super-paramagnetic behavior. We will discuss their magnetic properties in connection with the change in crystalline configurations of nanodots.

  14. Impact of substrate temperature on the incorporation of carbon-related defects and mechanism for semi-insulating behavior in GaN grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Armstrong, A.; Poblenz, C.; Green, D.S.; Mishra, U.K.; Speck, J.S.; Ringel, S.A.

    2006-01-01

    The electrical conductivity and deep level spectrum of GaN grown by molecular beam epitaxy and codoped with carbon and silicon were investigated for substrate temperatures T s of 650 and 720 deg. C as a function relative carbon and silicon doping levels. With sufficiently high carbon doping, semi-insulating behavior was observed for films grown at both temperatures, and growth at T s =720 deg. C enhanced the carbon compensation ratio. Similar carbon-related band gap states were observed via deep level optical spectroscopy for films grown at both substrate temperatures. Due to the semi-insulating nature of the films, a lighted capacitance-voltage technique was required to determine individual deep level concentrations. Carbon-related band gap states underwent substantial redistribution between deep level and shallow acceptor configurations with change in T s . In light of a T s dependence for the preferential site of carbon incorporation, a model of semi-insulating behavior in terms of carbon impurity state incorporation mediated by substrate temperature is proposed

  15. The origin of local strain in highly epitaxial oxide thin films.

    Science.gov (United States)

    Ma, Chunrui; Liu, Ming; Chen, Chonglin; Lin, Yuan; Li, Yanrong; Horwitz, J S; Jiang, Jiechao; Meletis, E I; Zhang, Qingyu

    2013-10-31

    The ability to control the microstructures and physical properties of hetero-epitaxial functional oxide thin films and artificial structures is a long-sought goal in functional materials research. Normally, only the lattice misfit between the film and the substrate is considered to govern the physical properties of the epitaxial films. In fact, the mismatch of film unit cell arrangement and the Surface-Step-Terrace (SST) dimension of the substrate, named as "SST residual matching", is another key factor that significantly influence the properties of the epitaxial film. The nature of strong local strain induced from both lattice mismatch and the SST residual matching on ferroelectric (Ba,Sr)TiO3 and ferromagnetic (La,Ca)MnO3 thin films are systematically investigated and it is demonstrated that this combined effect has a dramatic impact on the physical properties of highly epitaxial oxide thin films. A giant anomalous magnetoresistance effect (~10(10)) was achieved from the as-designed vicinal surfaces.

  16. Growth of epitaxial thin films by pulsed laser ablation

    International Nuclear Information System (INIS)

    Lowndes, D.H.

    1992-01-01

    High-quality, high-temperature superconductor (HTSc) films can be grown by the pulsed laser ablation (PLA) process. This article provides a detailed introduction to the advantages and curent limitations of PLA for epitaxial film growth. Emphasis is placed on experimental methods and on exploitation of PLA to control epitaxial growth at either the unit cell or the atomic-layer level. Examples are taken from recent HTSc film growth. 33 figs, 127 refs

  17. Secondary ion mass spectrometry analysis of In-doped p-type GaN films

    International Nuclear Information System (INIS)

    Chiou, C.Y.; Wang, C.C.; Ling, Y.C.; Chiang, C.I.

    2003-01-01

    SIMS was used to investigate the isoelectronic In-doped p-type GaN films. The growth rate of the p-type GaN film decreased with increasing Mg and In doping. The Mg saturation in GaN was 3.55x10 19 atoms/cm 3 . The role of In as surfactant was evaluated by varying In concentrations and it was observed that the surface appeared smooth with increasing In incorporation. The Mg solubility in p-type GaN improved to 0.0025% molar ratio of the GaN with In incorporation. The In concentration results observed in neutron activation analysis (NAA) were found to be higher by a factor of 2.88 than that observed in SIMS and can be attributed to the difference in sensitivity of the two techniques. Good linearity in the results was observed from both techniques

  18. Ellipsometric study of GaN/AIN/Si(111) heterostructures grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Nabi, M. A. U.; Ashfaq, A.; Arshad, M. I.; Ali, A.; Mahmood, K.; Hasan, M. A.; Asghar, M.

    2013-01-01

    GaN and related structures attracted a great interest in the recent years for electronic and optoelectronic applications due to their promising properties. GaN is grown popularly on foreign substrates like sapphire and SiC. However, silicon due to its favourable properties attended the great attention of material scientists and researchers to utilize as substrate for heteroepitaxy of GaN based structures and devices. Silicon substrates are low cost, available in large diameters and have well characterized thermal and electrical properties. In this study, GaN/AlN/Si(111) heterostructures were grown by molecular beam epitaxy. We performed x-ray diffraction spectroscopy and spectroscopic ellipsometry on these samples to study their structural and optical properties. XRD measurements performed on these samples revealed the presence of high quality GaN films as well as the presence of AlN buffer layer with the following miller indices: GaN (002), GaN (004), GaN (006) and GaN (110) along with Si peak of phase (111). The ellipsometric data obtained were used to characterize the GaN/Si samples as a function of film thickness. Refractive index, extinction coefficient and dielectric constant were calculated by the measured data. (author)

  19. GaN Schottky diodes with single-crystal aluminum barriers grown by plasma-assisted molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, H. Y.; Yang, W. C.; Lee, P. Y.; Lin, C. W.; Cheng, Kai-Yuan; Hsieh, K. C.; Cheng, K. Y., E-mail: kycheng@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan (China); Hsu, C.-H. [Division of Scientific Research, National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China)

    2016-08-22

    GaN-based Schottky barrier diodes (SBDs) with single-crystal Al barriers grown by plasma-assisted molecular beam epitaxy are fabricated. Examined using in-situ reflection high-energy electron diffractions, ex-situ high-resolution x-ray diffractions, and high-resolution transmission electron microscopy, it is determined that epitaxial Al grows with its [111] axis coincident with the [0001] axis of the GaN substrate without rotation. In fabricated SBDs, a 0.2 V barrier height enhancement and 2 orders of magnitude reduction in leakage current are observed in single crystal Al/GaN SBDs compared to conventional thermal deposited Al/GaN SBDs. The strain induced piezoelectric field is determined to be the major source of the observed device performance enhancements.

  20. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  1. Formation of helical dislocations in ammonothermal GaN substrate by heat treatment

    International Nuclear Information System (INIS)

    Horibuchi, Kayo; Yamaguchi, Satoshi; Kimoto, Yasuji; Nishikawa, Koichi; Kachi, Tetsu

    2016-01-01

    GaN substrate produced by the basic ammonothermal method and an epitaxial layer on the substrate was evaluated using synchrotron radiation x-ray topography and transmission electron microscopy. We revealed that the threading dislocations present in the GaN substrate are deformed into helical dislocations and the generation of the voids by heat treatment in the substrate for the first observation in the GaN crystal. These phenomena are formed by the interactions between the dislocations and vacancies. The helical dislocation was formed in the substrate region, and not in the epitaxial layer region. Furthermore, the evaluation of the influence of the dislocations on the leakage current of Schottky barrier diodes fabricated on the epitaxial layer is discussed. The dislocations did not affect the leakage current characteristics of the epitaxial layer. Our results suggest that the deformation of dislocations in the GaN substrate does not adversely affect the epitaxial layer. (paper)

  2. The dependence of Raman scattering on Mg concentration in Mg-doped GaN grown by MBE

    International Nuclear Information System (INIS)

    Flynn, Chris; Lee, William

    2014-01-01

    Magnesium-doped GaN (GaN:Mg) films having Mg concentrations in the range 5 × 10 18 –5 × 10 20 cm −3 were fabricated by molecular beam epitaxy. Raman spectroscopy was employed to study the effects of Mg incorporation on the positions of the E 2 and A 1 (LO) lines identifiable in the Raman spectra. For Mg concentrations in excess of 2 × 10 19 cm −3 , increases in the Mg concentration shift both lines to higher wave numbers. The shifts of the Raman lines reveal a trend towards compressive stress induced by incorporation of Mg into the GaN films. The observed correlation between the Mg concentration and the Raman line positions establish Raman spectroscopy as a useful tool for optimizing growth of Mg-doped GaN. (papers)

  3. Removable polytetrafluoroethylene template based epitaxy of ferroelectric copolymer thin films

    Science.gov (United States)

    Xia, Wei; Chen, Qiusong; Zhang, Jian; Wang, Hui; Cheng, Qian; Jiang, Yulong; Zhu, Guodong

    2018-04-01

    In recent years ferroelectric polymers have shown their great potentials in organic and flexible electronics. To meet the requirements of high-performance and low energy consumption of novel electronic devices and systems, structural and electrical properties of ferroelectric polymer thin films are expected to be further optimized. One possible way is to realize epitaxial growth of ferroelectric thin films via removable high-ordered polytetrafluoroethylene (PTFE) templates. Here two key parameters in epitaxy process, annealing temperature and applied pressure, are systematically studied and thus optimized through structural and electrical measurements of ferroelectric copolymer thin films. Experimental results indicate that controlled epitaxial growth is realized via suitable combination of both parameters. Annealing temperature above the melting point of ferroelectric copolymer films is required, and simultaneously moderate pressure (around 2.0 MPa here) should be applied. Over-low pressure (around 1.0 MPa here) usually results in the failure of epitaxy process, while over-high pressure (around 3.0 MPa here) often results in residual of PTFE templates on ferroelectric thin films.

  4. Characterization of GaN quantum discs embedded in AlxGa1-xN nanocolumns grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ristic, J.; Calleja, E.; Sanchez-Garcia, M.A.; Ulloa, J.M.; Sanchez-Paramo, J.; Calleja, J.M.; Jahn, U.; Trampert, A.; Ploog, K.H.

    2003-01-01

    GaN quantum discs embedded in AlGaN nanocolumns with outstanding crystal quality and very high luminescence efficiency were grown on Si(111) substrates by plasma-assisted molecular beam epitaxy under highly N-rich conditions. Nanocolumns with diameters in the range of 30-150 nm, with no traces of any extended defects, as confirmed by transmission electron microscopy, were obtained. GaN quantum discs, 2 and 4 nm thick, were grown embedded in AlGaN nanocolumns by switching on and off the Al flux during variable time spans. Strong optical emissions from GaN quantum discs, observed by photoluminescence and cathodoluminescence measurements, reveal quantum confinement effects. While Raman data indicate that the nanocolumns are fully relaxed, the quantum discs appear to be fully strained. These nanostructures have a high potential for application in efficient vertical cavity emitters

  5. The role of alternating current in photo-assisted electrochemical porosification of GaN

    International Nuclear Information System (INIS)

    Ainorkhilah, Mahmood; Naser, M. Ahmed; Yushamdan, Yusof; Kwong, Yam Fong; Zainuriah, Hassan; Tiginyanu, Ion; Siang, Chuah Lee

    2013-01-01

    In this paper, we report the formation of porous GaN films under a novel alternating current (sine-wave a.c. (50 Hz)) photo-assisted electrochemical (ACPEC) etching conditions. The ACPEC formed porous GaN with excellent structural and surface morphology. Field emission scanning electron microscope (FESEM), atomic force microscopy (AFM) and high resolution X-ray diffraction (HR-XRD) phi-scan and rocking curves measurements evidenced important features of the pore morphology and nanostructures. According to the FESEM micrographs, the spatial nano architecture of the porous structures exhibits pores with perfect hexagonal shape. The AFM measurements revealed an increase in the surface roughness induced by porosification. X-ray diffraction phi-scan showed that porous GaN sample maintained the epitaxial. (authors)

  6. Incorporation of Mg in Free-Standing HVPE GaN Substrates

    Science.gov (United States)

    Zvanut, M. E.; Dashdorj, J.; Freitas, J. A.; Glaser, E. R.; Willoughby, W. R.; Leach, J. H.; Udwary, K.

    2016-06-01

    Mg, the only effective p-type dopant for nitrides, is well studied in thin films due to the important role of the impurity in light-emitting diodes and high-power electronics. However, there are few reports of Mg in thick free-standing GaN substrates. Here, we demonstrate successful incorporation of Mg into GaN grown by hydride vapor-phase epitaxy (HVPE) using metallic Mg as the doping source. The concentration of Mg obtained from four separate growth runs ranged between 1016 cm-3 and 1019 cm-3. Raman spectroscopy and x-ray diffraction revealed that Mg did not induce stress or perturb the crystalline quality of the HVPE GaN substrates. Photoluminescence (PL) and electron paramagnetic resonance (EPR) spectroscopies were performed to investigate the types of point defects in the crystals. The near-band-edge excitonic and shallow donor-shallow acceptor radiative recombination processes involving shallow Mg acceptors were prominent in the PL spectrum of a sample doped to 3 × 1018 cm-3, while the EPR signal was also thought to represent a shallow Mg acceptor. Detection of this signal reflects minimization of nonuniform strain obtained in the thick free-standing HVPE GaN compared with heteroepitaxial thin films.

  7. Heteroepitaxial growth and surface structure of L1{sub 0}-MnGa(111) ultra-thin films on GaN(0001)

    Energy Technology Data Exchange (ETDEWEB)

    Mandru, Andrada-Oana; Wang, Kangkang; Cooper, Kevin; Ingram, David C.; Smith, Arthur R. [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Garcia Diaz, Reyes; Takeuchi, Noboru [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autónoma de México, Apartado Postal 14, Ensenada Baja California, Codigo Postal 22800 (Mexico); Haider, Muhammad [Department of Physics and Astronomy, Nanoscale and Quantum Phenomena Institute, Ohio University, Athens, Ohio 45701 (United States); Department of Physics, King Fahd University of Petroleum and Minerals, Dhahran, 31261 (Saudi Arabia)

    2013-10-14

    L1{sub 0}-structured MnGa(111) ultra-thin films were heteroepitaxially grown on GaN(0001) under lightly Mn-rich conditions using molecular beam epitaxy. Room-temperature scanning tunneling microscopy (STM) investigations reveal smooth terraces and angular step edges, with the surface structure consisting primarily of a 2 × 2 reconstruction along with small patches of 1 × 2. Theoretical calculations were carried out using density functional theory, and the simulated STM images were calculated using the Tersoff-Hamman approximation, revealing that a stoichiometric 1 × 2 and a Mn-rich 2 × 2 surface structure give the best agreement with the observed experimental images.

  8. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Self-Organized Ni Nanocrystal Embedded in BaTiO3 Epitaxial Film

    Directory of Open Access Journals (Sweden)

    Ge FF

    2010-01-01

    Full Text Available Abstract Ni nanocrystals (NCs were embedded in BaTiO3 epitaxial films using the laser molecular beam epitaxy. The processes involving the self-organization of Ni NCs and the epitaxial growth of BaTiO3 were discussed. With the in situ monitoring of reflection high-energy electron diffraction, the nanocomposite films were engineered controllably by the fine alternation of the self-organization of Ni NCs and the epitaxial growth of BaTiO3. The transmission electron microscopy and the X-ray diffraction characterization confirmed that the composite film consists of the Ni NCs layers alternating with the (001/(100-oriented epitaxial BaTiO3 separation layers.

  10. Structural and magentic characterization of rare earth and transition metal films grown on epitaxial buffer films on semiconductor substrates

    International Nuclear Information System (INIS)

    Farrow, R.F.C.; Parkin, S.S.P.; Speriosu, V.S.; Bezinge, A.; Segmuller, A.P.

    1989-01-01

    Structural and magnetic data are presented and discussed for epitaxial films of rare earth metals (Dy, Ho, Er) on LaF 3 films on the GaAs(TTT) surface and Fe on Ag films on the GaAs(001) surface. Both systems exhibit unusual structural characteristics which influence the magnetic properties of the metal films. In the case of rare earth epitaxy on LaF 3 the authors present evidence for epitaxy across an incommensurate or discommensurate interface. Coherency strain is not transmitted into the metal which behaves much like bulk crystals of the rare earths. In the case of Fe films, tilted epitaxy and long-range coherency strain are confirmed by X- ray diffractometry. Methods of controlling some of these structural effects by modifying the epitaxial structures are presented

  11. Investigation on thermodynamics of ion-slicing of GaN and heterogeneously integrating high-quality GaN films on CMOS compatible Si(100) substrates.

    Science.gov (United States)

    Huang, Kai; Jia, Qi; You, Tiangui; Zhang, Runchun; Lin, Jiajie; Zhang, Shibin; Zhou, Min; Zhang, Bo; Yu, Wenjie; Ou, Xin; Wang, Xi

    2017-11-08

    Die-to-wafer heterogeneous integration of single-crystalline GaN film with CMOS compatible Si(100) substrate using the ion-cutting technique has been demonstrated. The thermodynamics of GaN surface blistering is in-situ investigated via a thermal-stage optical microscopy, which indicates that the large activation energy (2.5 eV) and low H ions utilization ratio (~6%) might result in the extremely high H fluence required for the ion-slicing of GaN. The crystalline quality, surface topography and the microstructure of the GaN films are characterized in detail. The full width at half maximum (FWHM) for GaN (002) X-ray rocking curves is as low as 163 arcsec, corresponding to a density of threading dislocation of 5 × 10 7  cm -2 . Different evolution of the implantation-induced damage was observed and a relationship between the damage evolution and implantation-induced damage is demonstrated. This work would be beneficial to understand the mechanism of ion-slicing of GaN and to provide a platform for the hybrid integration of GaN devices with standard Si CMOS process.

  12. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  13. Phonon deformation potentials of hexagonal GaN studied by biaxial stress modulation

    Directory of Open Access Journals (Sweden)

    Jun-Yong Lu

    2011-09-01

    Full Text Available In this work, a biaxial stress modulation method, combining the microfabrication technique, finite element analysis and a weighted averaging process, was developed to study piezospectroscopic behavior of hexagonal GaN films, epitaxially grown by metalorganic chemical vapor deposition on c-sapphire and Si (111 substrates. Adjusting the size of patterned islands, various biaxial stress states could be obtained at the island centers, leading to abundant stress-Raman shift data. With the proposed stress modulation method, the Raman biaxial stress coefficients of E2H and A1 (LO phonons of GaN were determined to be 3.43 cm-1/GPa and 2.34 cm-1/GPa, respectively.

  14. GaN microrod sidewall epitaxial lateral overgrowth on a close-packed microrod template

    Science.gov (United States)

    Duan, Xiaoling; Zhang, Jincheng; Xiao, Ming; Zhang, Jinfeng; Hao, Yue

    2018-05-01

    We demonstrate a GaN growth method using microrod sidewall epitaxial lateral overgrowth (MSELO) on a close-packed microrod template by a nonlithographic technique. The density and distribution of threading dislocations were determined by the density and distribution of microrods and the nucleation model. MSELO exhibited two different nucleation models determined by the direction and degree of substrate misorientation and the sidewall curvature: one-sidewall and three-sidewall nucleation, predicting the dislocation density values. As a result, the threading dislocation density was markedly decreased from 2 × 109 to 5 × 107 cm‑2 with a small coalescence thickness of ∼2 µm for the close-packed 3000 nm microrod sample.

  15. Thermal degradation of ohmic contacts on semipolar (11-22) GaN films grown on m-plane (1-100) sapphire substrates

    International Nuclear Information System (INIS)

    Kim, Doo Soo; Kim, Deuk Young; Seo, Yong Gon; Kim, Ji Hoon; Hwang, Sung Min; Baik, Kwang Hyeon

    2012-01-01

    Semipolar (11-22) GaN films were grown on m-plane (1-100) sapphire substrates by using metalorganic chemical vapor deposition. The line widths of the omega rocking curves of the semipolar GaN films were 498 arcsec along the [11-23] GaN direction and 908 arcsec along the [10-10] GaN direction. The properties of the Ti/Al/Ni/Au metal contact were investigated using transmission-line-method patterns oriented in both the [11-23] GaN and the [10-10] GaN directions of semipolar (11-22) GaN. The minimum specific contact resistance of ∼3.6 x 10 -4 Ω·cm -2 was obtained on as-deposited metal contacts. The Ohmic contact properties of semipolar (11-22) GaN became degraded with increasing annealing temperature above 400 .deg. C. The thermal degradation of the metal contacts may be attributed to the surface property of N-polarity on the semipolar (11-22) GaN films. Also, the semipolar (11-22) GaN films did not show clear anisotropic behavior of the electrical properties for different azimuthal angles.

  16. Fabrications and application of single crystalline GaN for high-performance deep UV photodetectors

    Energy Technology Data Exchange (ETDEWEB)

    Velazquez, R.; Rivera, M.; Feng, P., E-mail: p.feng@upr.edu [Department of Physics, College of Natural Sciences, University of Puerto Rico, San Juan, 00936-8377, PR/USA (Puerto Rico); Aldalbahi, A. [Department of Chemistry, College of Science, King Saud University, Riyadh 11451 (Saudi Arabia)

    2016-08-15

    High-quality single crystalline Gallium Nitride (GaN) semiconductor has been synthesized using molecule beam epitaxy (MBE) technique for development of high-performance deep ultraviolet (UV) photodetectors. Thickness of the films was estimated by using surface profile meter and scanning electron microscope. Electronic states and elemental composition of the films were obtained using Raman scattering spectroscopy. The orientation, crystal structure and phase purity of the films were examined using a Siemens x-ray diffractometer radiation. The surface microstructure was studied using high resolution scanning electron microscopy (SEM). Two types of metal pairs: Al-Al, Al-Cu or Cu-Cu were used for interdigital electrodes on GaN film in order to examine the Schottky properties of the GaN based photodetector. The characterizations of the fabricated prototype include the stability, responsivity, response and recovery times. Typical time dependent photoresponsivity by switching different UV light source on and off five times for each 240 seconds at a bias of 2V, respectively, have been obtained. The detector appears to be highly sensitive to various UV wavelengths of light with very stable baseline and repeatability. The obtained photoresponsivity was up to 354 mA/W at the bias 2V. Higher photoresponsivity could be obtained if higher bias was applied but it would unavoidably result in a higher dark current. Thermal effect on the fabricated GaN based prototype was discussed.

  17. Film-thickness and composition dependence of epitaxial thin-film PZT-based

    NARCIS (Netherlands)

    Nguyen, Duc Minh; Dekkers, Jan M.; Vu, Hung Ngoc; Rijnders, Augustinus J.H.M.

    2013-01-01

    The transverse piezoelectric coefficient e31,f and mass-sensitivity were measured on piezoelectric cantilevers based on epitaxial PZT thin-films with film-thicknesses ranging from 100 to 2000 nm. The highest values of e31,f and mass-sensitivity were observed at a film thickness of 500–750 nm, while

  18. Zirconia thin films from aqueous precursors: Processing, microstructural development, and epitaxial growth

    International Nuclear Information System (INIS)

    Miller, K.T.

    1991-01-01

    Thin films of ZrO 2 (Y 2 O 3 ) were prepared from aqueous salt precursors by spin coating. Films were pyrolyzed to produce porous polycrystalline thin films of 5-10 nm grain size. Subsequent microstructural development depends greatly upon the nature of the substrate. Upon randomly oriented sapphire, the films initially sintered to full density; further heat treatment and grain growth causes these films to break into interconnected islands and finally isolated particles. Thermodynamic calculations predict that breakup is energetically favorable when the grain-size film-thickness ratio exceeds a critical value. Upon basal-plane-oriented sapphire, grain growth and breakup prefer the (100) oriented grains, presumably because this orientation is a special interface of low energy. The isolated, oriented grains produced by film breakup act as seeds for the growth of newly deposited material. Upon (100) cubic zirconia, true epitaxial films develop. Epitaxial growth was observed for lattice mismatches up to 1.59%. Growth proceeds from a fine epitaxial layer which is produced during the initial stages of heat treatment, consuming the porous polycrystalline material and producing a dense epitaxial thin film whose misfit is accommodated by a combination of film strain and misfit dislocations

  19. Enhanced piezoelectric output of NiO/nanoporous GaN by suppression of internal carrier screening

    Science.gov (United States)

    Waseem, Aadil; Jeong, Dae Kyung; Johar, Muhammad Ali; Kang, Jin-Ho; Ha, Jun-Seok; Key Lee, June; Ryu, Sang-Wan

    2018-06-01

    The efficiency of piezoelectric nanogenerators (PNGs) significantly depends on the free carrier concentration of semiconductors. In the presence of a mechanical stress, piezoelectric charges are generated at both ends of the PNG, which are rapidly screened by the free carriers. The screening effect rapidly decreases the piezoelectric output within fractions of a second. In this study, the piezoelectric outputs of bulk- and nanoporous GaN-based heterojunction PNGs are compared. GaN thin films were epitaxially grown on sapphire substrates using metal organic chemical vapor deposition. Nanoporous GaN was fabricated using electrochemical etching, depleted of free carriers owing to the surface Fermi-level pinning. A highly resistive NiO thin film was deposited on bulk- and nanoporous GaN using radio frequency magnetron sputter. The NiO/nanoporous GaN PNG (NPNG) under a periodic compressive stress of 4 MPa exhibited an output voltage and current of 0.32 V and 1.48 μA cm‑2, respectively. The output voltage and current of the NiO/thin film-GaN PNG (TPNG) were three and five times smaller than those of the NPNG, respectively. Therefore, the high-resistivity of NiO and nanoporous GaN depleted by the Fermi-level pinning are advantageous and provide a better piezoelectric performance of the NPNG, compared with that of the TPNG.

  20. GaN based nanorods for solid state lighting

    Energy Technology Data Exchange (ETDEWEB)

    Li Shunfeng; Waag, Andreas [Institute of Semiconductor Technology, Braunschweig University of Technology, 38106 Braunschweig (Germany)

    2012-04-01

    In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.

  1. Structure and Properties of Epitaxial Dielectrics on gallium nitride

    Science.gov (United States)

    Wheeler, Virginia Danielle

    GaN is recognized as a possible material for metal oxide semiconductor field effect transistors (MOSFETs) used in high temperature, high power and high speed electronic applications. However, high gate leakage and low device breakdown voltages limit their use in these applications. The use of high-kappa dielectrics, which have both a high permittivity (ε) and high band gap energy (Eg), can reduce the leakage current density that adversely affects MOS devices. La2O3 and Sc2O 3 are rare earth oxides with a large Eg (6.18 eV and 6.3 eV respectively) and a relatively high ε (27 and 14.1 respectively), which make them good candidates for enhancing MOSFET performance. Epitaxial growth of oxides is a possible approach to reducing leakage current and Fermi level pinning related to a high density of interface states for dielectrics on compound semiconductors. In this work, La2O3 and Sc2O 3 were characterized structurally and electronically as potential epitaxial gate dielectrics for use in GaN based MOSFETs. GaN surface treatments were examined as a means for additional interface passivation and influencing subsequent oxide formation. Potassium persulfate (K2(SO4)2) and potassium hydroxide (KOH) were explored as a way to achieve improved passivation and desired surface termination for GaN films deposited on sapphire substrates by metal organic chemical vapor deposition (MOCVD). X-ray photoelectron spectroscopy (XPS) showed that KOH left a nitrogen-rich interface, while K2(SO 4)2 left a gallium-rich interface, which provides a way to control surface oxide formation. K2(SO4)2 exhibited a shift in the O1s peak indicating the formation of a gallium-rich GaOx at the surface with decreased carbon contaminants. GaO x acts as a passivating layer prior to dielectric deposition, which resulted in an order of magnitude reduction in leakage current, a reduced hysteresis window, and an overall improvement in device performance. Furthermore, K2(SO4)2 resulted in an additional 0.4 eV of

  2. Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe

    2018-05-01

    GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.

  3. First-principle-based full-dispersion Monte Carlo simulation of the anisotropic phonon transport in the wurtzite GaN thin film

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Ruikang; Hu, Run, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn; Luo, Xiaobing, E-mail: hurun@hust.edu.cn, E-mail: luoxb@hust.edu.cn [State Key Laboratory of Coal Combustion and Thermal Packaging Laboratory, School of Energy and Power Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2016-04-14

    In this study, we developed a first-principle-based full-dispersion Monte Carlo simulation method to study the anisotropic phonon transport in wurtzite GaN thin film. The input data of thermal properties in MC simulations were calculated based on the first-principle method. The anisotropy of thermal conductivity in bulk wurtzite GaN is found to be strengthened by isotopic scatterings and reduced temperature, and the anisotropy reaches 40.08% for natural bulk GaN at 100 K. With the GaN thin film thickness decreasing, the anisotropy of the out-of-plane thermal conductivity is heavily reduced due to both the ballistic transport and the less importance of the low-frequency phonons with anisotropic group velocities. On the contrary, it is observed that the in-plane thermal conductivity anisotropy of the GaN thin film is strengthened by reducing the film thickness. And the anisotropy reaches 35.63% when the natural GaN thin film thickness reduces to 50 nm at 300 K with the degree of specularity being zero. The anisotropy is also improved by increasing the surface roughness of the GaN thin film.

  4. The growth of GaN films by alternate source gas supply hot-mesh CVD method

    Energy Technology Data Exchange (ETDEWEB)

    Komae, Yasuaki; Saitou, Takeshi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endoh, Tetsuo [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Faculty of Engineering, Yamagata University, Yonezawa 992-8510 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Yasui, Kanji, E-mail: kyasui@vos.nagaokaut.ac.j [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2009-04-30

    Gallium nitride (GaN) films and Aluminium nitride (AlN) layers were deposited on SiC/Si (111) substrates by an alternating source gas supply or an intermittent supply of a source gas such as ammonia (NH{sub 3}), trimethylgallium (TMG) or trimethylaluminum (TMA) in a hot-mesh chemical vapor deposition (CVD) apparatus. The AlN layer was deposited as a buffer layer using NH{sub 3} and TMA on a SiC layer grown by carbonization on Si substrates using propane (C{sub 3}H{sub 8}). GaN films were grown on an AlN layer by a reaction between NH{sub x} radicals generated on a ruthenium (Ru) coated tungsten (W)-mesh and TMG molecules. An alternating source gas supply or an intermittent supply of one of the source gases during the film growth are expected to be effective for the suppression of gas phase reactions and for the enhancement of precursor migration on the substrate surface. By the intermittent supply of alkylmetal gas only during the growth of the AlN layer, the defect generation in the GaN films was reduced. GaN film growth by intermittent supply on an AlN buffer layer, however, did not lead to the improvement of the film quality.

  5. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Science.gov (United States)

    Kyle, Erin C. H.; Kaun, Stephen W.; Young, Erin C.; Speck, James S.

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5-6 × 1019 cm-3 as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 1018 cm-3. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  6. Strain-induced properties of epitaxial VOx thin films

    NARCIS (Netherlands)

    Rata, AD; Hibma, T

    We have grown VOx thin films on different substrates in order to investigate the influence of epitaxial strain on the transport properties. We found that the electric conductivity is much larger for films grown under compressive strain on SrTiO3 substrates, as compared to bulk material and VOx films

  7. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    Science.gov (United States)

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  8. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.

    2013-01-08

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation from metallic Cr2N to semiconducting CrN appears in both polycrystalline and epitaxial CrNx films. At fN2= 100 sccm, the low-temperature conductance mechanism is dominated by both Mott and Efros-Shklovskii variable-range hopping in either polycrystalline or epitaxial CrN films. In all of the polycrystalline and epitaxial films, only the polycrystalline CrNx films fabricated at fN2 = 30 and 50 sccm exhibit a discontinuity in ρ(T) curves at 260-280 K, indicating that both the N-vacancy concentration and grain boundaries play important roles in the metal-insulator transition. © 2013 American Institute of Physics.

  9. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  10. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    Science.gov (United States)

    Chen, Tai-Chou Papo

    The family of III-Nitrides (the binaries InN, GaN, AIN, and their alloys) is one of the most important classes of semiconductor materials. Of the three, Indium Nitride (InN) and Aluminum Nitride (AIN) have been investigated much less than Gallium Nitride (GaN). However, both of these materials are important for optoelectronic infrared and ultraviolet devices. In particular, since InN was found recently to be a narrow gap semiconductor (Eg=0.7eV), its development should extend the applications of nitride semiconductors to the spectral region appropriate to fiber optics communication and photovoltaic applications. Similarly, the development of AIN should lead to deep UV light emitting diodes (LEDs). The first part of this work addresses the evaluation of structural, optical and transport properties of InN films grown by two different deposition methods. In one method, active nitrogen was produced in the form of nitrogen radicals by a radio frequency (RF) plasma-assisted source. In an alternative method, active nitrogen was produced in the form of clusters containing approximately 2000 nitrogen molecules. These clusters were produced by adiabatic expansion from high stagnation pressure through a narrow nozzle into vacuum. The clusters were singly or doubly ionized with positive charge by electron impact and accelerated up to approximately 20 to 25 KV prior to their disintegration on the substrate. Due to the high local temperature produced during the impact of clusters with the substrate, this method is suitable for the deposition of InN at very low temperatures. The films are auto-doped n-type with carrier concentrations varying from 3 x 1018 to 1020 cm-3 and the electron effective mass of these films was determined to be 0.09m0. The majority of the AIN films was grown by the cluster beam epitaxy method and was doped n- and p- type by incorporating silicon (Si) and magnesium (Mg) during the film deposition. All films were grown under Al-rich conditions at relatively

  11. Hollow-anode plasma source for molecular beam epitaxy of gallium nitride

    International Nuclear Information System (INIS)

    Anders, A.; Newman, N.; Rubin, M.; Dickinson, M.; Jones, E.; Phatak, P.; Gassmann, A.

    1996-01-01

    GaN films have been grown by molecular beam epitaxy (MBE) using a hollow-anode nitrogen plasma source. The source was developed to minimize defect formation as a result of contamination and ion damage. The hollow-anode discharge is a special form of glow discharge with very small anode area. A positive anode voltage drop of 30 endash 40 V and an increased anode sheath thickness leads to ignition of a relatively dense plasma in front of the anode hole. Driven by the pressure gradient, the open-quote open-quote anode close-quote close-quote plasma forms a bright plasma jet streaming with supersonic velocity towards the substrate. Films of GaN have been grown on (0001) SiC and (0001) Al 2 O 3 at 600 endash 800 degree C. The films were investigated by photoluminescence, cathodoluminescence, x-ray diffraction, Rutherford backscattering, and particle-induced x-ray emission. The film with the highest structural quality had a rocking curve width of 5 arcmin, the lowest reported value for MBE growth to date. copyright 1996 American Institute of Physics

  12. Seed layer technique for high quality epitaxial manganite films

    Directory of Open Access Journals (Sweden)

    P. Graziosi

    2016-08-01

    Full Text Available We introduce an innovative approach to the simultaneous control of growth mode and magnetotransport properties of manganite thin films, based on an easy-to-implement film/substrate interface engineering. The deposition of a manganite seed layer and the optimization of the substrate temperature allows a persistent bi-dimensional epitaxy and robust ferromagnetic properties at the same time. Structural measurements confirm that in such interface-engineered films, the optimal properties are related to improved epitaxy. A new growth scenario is envisaged, compatible with a shift from heteroepitaxy towards pseudo-homoepitaxy. Relevant growth parameters such as formation energy, roughening temperature, strain profile and chemical states are derived.

  13. Crystalline and electronic structure of epitaxial γ-Al2O3 films

    International Nuclear Information System (INIS)

    Wu, Huiyan; Lu, Dawei; Zhu, Kerong; Xu, Guoyong; Wang, Hu

    2013-01-01

    Epitaxial γ-Al 2 O 3 films were fabricated on SrTiO 3 (1 0 0) substrates using pulsed laser deposition (PLD) technique. The high quality of epitaxial growth γ-Al 2 O 3 films was confirmed by X-ray diffraction (XRD). Atomic force microscopy (AFM) images indicated the smooth surfaces and the step-flow growth of the films. In order to illuminate the electronic properties and the local structure of the epitaxial γ-Al 2 O 3 , we experimentally measured the X-ray absorption near-edge structure (XANES) spectrum at the O K-edge and compared the spectrum with the theoretical simulations by using various structure models. Our results based on XANES spectrum analysis indicated that the structure of the epitaxial γ-Al 2 O 3 film was a defective spinel with Al vacancies, which prefer to be located at the octahedral sites

  14. Optically active centers in Eu implanted, Eu in situ doped GaN, and Eu doped GaN quantum dots

    International Nuclear Information System (INIS)

    Bodiou, L.; Braud, A.; Doualan, J.-L.; Moncorge, R.; Park, J. H.; Munasinghe, C.; Steckl, A. J.; Lorenz, K.; Alves, E.; Daudin, B.

    2009-01-01

    A comparison is presented between Eu implanted and Eu in situ doped GaN thin films showing that two predominant Eu sites are optically active around 620 nm in both types of samples with below and above bandgap excitation. One of these sites, identified as a Ga substitutional site, is common to both types of Eu doped GaN samples despite the difference in the GaN film growth method and in the doping technique. High-resolution photoluminescence (PL) spectra under resonant excitation reveal that in all samples these two host-sensitized sites are in small amount compared to the majority of Eu ions which occupy isolated Ga substitutional sites and thus cannot be excited through the GaN host. The relative concentrations of the two predominant host-sensitized Eu sites are strongly affected by the annealing temperature for Eu implanted samples and by the group III element time opening in the molecular beam epitaxy growth. Red luminescence decay characteristics for the two Eu sites reveal different excitation paths. PL dynamics under above bandgap excitation indicate that Eu ions occupying a Ga substitutional site are either excited directly into the 5 D 0 level or into higher excited levels such as 5 D 1 , while Eu ions sitting in the other site are only directly excited into the 5 D 0 level. These differences are discussed in terms of the spectral overlap between the emission band of a nearby bound exciton and the absorption bands of Eu ions. The study of Eu doped GaN quantum dots reveals the existence of only one type of Eu site under above bandgap excitation, with Eu PL dynamics features similar to Eu ions in Ga substitutional sites

  15. Characterization of GaN/AlGaN epitaxial layers grown

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical vapour deposition (MOCVD) system. The crystalline quality of these epitaxially grown layers is studied by different characterization techniques. PL measurements indicate band edge emission peak at 363.8 nm and 312 nm for GaN and AlGaN layers ...

  16. Growth and characterizations of GaN micro-rods on graphene films for flexible light emitting diodes

    Directory of Open Access Journals (Sweden)

    Kunook Chung

    2014-09-01

    Full Text Available We report the growth of GaN micro-rods and coaxial quantum-well heterostructures on graphene films, together with structural and optical characterization, for applications in flexible optical devices. Graphene films were grown on Cu foil by means of chemical vapor deposition, and used as the substrates for the growth of the GaN micro-rods, which were subsequently transferred onto SiO2/Si substrates. Highly Si-doped, n-type GaN micro-rods were grown on the graphene films using metal–organic chemical vapor deposition. The growth and vertical alignment of the GaN micro-rods, which is a critical factor for the fabrication of high-performance light-emitting diodes (LEDs, were characterized using electron microscopy and X-ray diffraction. The GaN micro-rods exhibited promising photoluminescence characteristics for optoelectronic device applications, including room-temperature stimulated emission. To fabricate flexible LEDs, InxGa1–xN/GaN multiple quantum wells and a p-type GaN layer were deposited coaxially on the GaN micro-rods, and transferred onto Ag-coated polymer substrates using lift-off. Ti/Au and Ni/Au metal layers were formed to provide electrical contacts to the n-type and p-type GaN regions, respectively. The micro-rod LEDs exhibited intense emission of visible light, even after transfer onto the flexible polymer substrate, and reliable operation was achieved following numerous cycles of mechanical deformation.

  17. Electronic Transport Mechanism for Schottky Diodes Formed by Au/HVPE a-Plane GaN Templates Grown via In Situ GaN Nanodot Formation

    Directory of Open Access Journals (Sweden)

    Moonsang Lee

    2018-06-01

    Full Text Available We investigate the electrical characteristics of Schottky contacts for an Au/hydride vapor phase epitaxy (HVPE a-plane GaN template grown via in situ GaN nanodot formation. Although the Schottky diodes present excellent rectifying characteristics, their Schottky barrier height and ideality factor are highly dependent upon temperature variation. The relationship between the barrier height, ideality factor, and conventional Richardson plot reveals that the Schottky diodes exhibit an inhomogeneous barrier height, attributed to the interface states between the metal and a-plane GaN film and to point defects within the a-plane GaN layers grown via in situ nanodot formation. Also, we confirm that the current transport mechanism of HVPE a-plane GaN Schottky diodes grown via in situ nanodot formation prefers a thermionic field emission model rather than a thermionic emission (TE one, implying that Poole–Frenkel emission dominates the conduction mechanism over the entire range of measured temperatures. The deep-level transient spectroscopy (DLTS results prove the presence of noninteracting point-defect-assisted tunneling, which plays an important role in the transport mechanism. These electrical characteristics indicate that this method possesses a great throughput advantage for various applications, compared with Schottky contact to a-plane GaN grown using other methods. We expect that HVPE a-plane GaN Schottky diodes supported by in situ nanodot formation will open further opportunities for the development of nonpolar GaN-based high-performance devices.

  18. Growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices on +c-GaN template in dynamic atomic layer epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, Kazuhide; Hashimoto, Naoki; Wang, Ke; Imai, Daichi; Itoi, Takaomi; Yoshikawa, Akihiko

    2016-01-01

    The growth kinetics and structural perfection of (InN)_1/(GaN)_1_–_2_0 short-period superlattices (SPSs) were investigated with their application to ordered alloys in mind. The SPSs were grown on +c-GaN template at 650 °C by dynamic atomic layer epitaxy in conventional plasma-assisted molecular beam epitaxy. It was found that coherent structured InN/GaN SPSs could be fabricated when the thickness of the GaN barrier was 4 ML or above. Below 3 ML, the formation of SPSs was quite difficult owing to the increased strain in the SPS structure caused by the use of GaN as a template. The effective or average In composition of the (InN)_1/(GaN)_4 SPSs was around 10%, and the corresponding InN coverage in the ∼1 ML-thick InN wells was 50%. It was found that the effective InN coverage in ∼1 ML-thick InN wells could be varied with the growth conditions. In fact, the effective In composition could be increased up to 13.5%, i.e., the corresponding effective InN coverage was about 68%, by improving the capping/freezing speed by increasing the growth rate of the GaN barrier layer.

  19. Characterization of remote O2-plasma-enhanced CVD SiO2/GaN(0001) structure using photoemission measurements

    Science.gov (United States)

    Truyen, Nguyen Xuan; Ohta, Akio; Makihara, Katsunori; Ikeda, Mitsuhisa; Miyazaki, Seiichi

    2018-01-01

    The control of chemical composition and bonding features at a SiO2/GaN interface is a key to realizing high-performance GaN power devices. In this study, an ∼5.2-nm-thick SiO2 film has been deposited on an epitaxial GaN(0001) surface by remote O2-plasma-enhanced chemical vapor deposition (O2-RPCVD) using SiH4 and Ar/O2 mixture gases at a substrate temperature of 500 °C. The depth profile of chemical structures and electronic defects of the O2-RPCVD SiO2/GaN structures has been evaluated from a combination of SiO2 thinning examined by X-ray photoelectron spectroscopy (XPS) and the total photoelectron yield spectroscopy (PYS) measurements. As a highlight, we found that O2-RPCVD is effective for fabricating an abrupt SiO2/GaN interface.

  20. Effects of material growth technique and Mg doping on Er3+ photoluminescence in Er-implanted GaN

    International Nuclear Information System (INIS)

    Kim, S.; Henry, R. L.; Wickenden, A. E.; Koleske, D. D.; Rhee, S. J.; White, J. O.; Myoung, J. M.; Kim, K.; Li, X.; Coleman, J. J.

    2001-01-01

    Photoluminescence (PL) and photoluminescence excitation (PLE) spectroscopies have been carried out at 6 K on the ∼1540 nm 4 I 13/2 - 4 I 15/2 emissions of Er 3+ in Er-implanted and annealed GaN. These studies revealed the existence of multiple Er 3+ centers and associated PL spectra in Er-implanted GaN films grown by metalorganic chemical vapor deposition, hydride vapor phase epitaxy, and molecular beam epitaxy. The results demonstrate that the multiple Er 3+ PL centers and below-gap defect-related absorption bands by which they are selectively excited are universal features of Er-implanted GaN grown by different techniques. It is suggested that implantation-induced defects common to all the GaN samples are responsible for the Er site distortions that give rise to the distinctive, selectively excited Er 3+ PL spectra. The investigations of selectively excited Er 3+ PL and PLE spectra have also been extended to Er-implanted samples of Mg-doped GaN grown by various techniques. In each of these samples, the so-called violet-pumped Er 3+ PL band and its associated broad violet PLE band are significantly enhanced relative to the PL and PLE of the other selectively excited Er 3+ PL centers. More importantly, the violet-pumped Er 3+ PL spectrum dominates the above-gap excited Er 3+ PL spectrum of Er-implanted Mg-doped GaN, whereas it was unobservable under above-gap excitation in Er-implanted undoped GaN. These results confirm the hypothesis that appropriate codopants can increase the efficiency of trap-mediated above-gap excitation of Er 3+ emission in Er-implanted GaN. [copyright] 2001 American Institute of Physics

  1. Microwave impedance of epitaxial high-temperature superconductor films

    International Nuclear Information System (INIS)

    Melkov, G.A.; Malyshev, V.Yu.; Bagada, A.V.

    1995-01-01

    In the 3 cm band dependences of the epitaxial HTS film surface resistance on the magnitude of ac and dc magnetic fields have been measured. YBa 2 Cu 3 O 7-σ films on sapphire were investigated. It was established that alternating magnetic field produces a stronger impact on the surface resistance than dc field. To explain experimental results the assumption is made that a HTS film is not an ideal superconductor and consists of series-connected sections of various types: sections of an ideal superconductor, sections of low and large resistance intragranular Josephson junctions, shunted by the ideal superconductor, and finally, sections of intergranular Josephson junctions few for epitaxial films. In these conditions the dependences of the surface resistance on dc magnetic field are caused by Abrikosov's vortices moving in ideal superconductive sections, and dependences on the amplitude of ac magnetic field are caused by switching of large resistance junctions to a low resistance state

  2. In-situ TEM study of domain switching in GaN thin films

    Science.gov (United States)

    Wang, Baoming; Wang, Tun; Haque, Aman; Snure, Michael; Heller, Eric; Glavin, Nicholas

    2017-09-01

    Microstructural response of gallium nitride (GaN) films, grown by metal-organic chemical vapor deposition, was studied as a function of applied electrical field. In-situ transmission electron microscopy showed sudden change in the electron diffraction pattern reflecting domain switching at around 20 V bias, applied perpendicular to the polarization direction. No such switching was observed for thicker films or for the field applied along the polarization direction. This anomalous behavior is explained by the nanoscale size effects on the piezoelectric coefficients of GaN, which can be 2-3 times larger than the bulk value. As a result, a large amount of internal energy can be imparted in 100 nm thick films to induce domain switching at relatively lower voltages to induce such events at the bulk scale.

  3. Increased p-type conductivity through use of an indium surfactant in the growth of Mg-doped GaN

    Energy Technology Data Exchange (ETDEWEB)

    Kyle, Erin C. H., E-mail: erinkyle@engineering.ucsb.edu; Kaun, Stephen W.; Young, Erin C.; Speck, James S. [Materials Department, University of California, Santa Barbara, California 93106 (United States)

    2015-06-01

    We have examined the effect of an indium surfactant on the growth of p-type GaN by ammonia-based molecular beam epitaxy. p-type GaN was grown at temperatures ranging from 700 to 780 °C with and without an indium surfactant. The Mg concentration in all films in this study was 4.5–6 × 10{sup 19} cm{sup −3} as measured by secondary ion mass spectroscopy. All p-type GaN films grown with an indium surfactant had higher p-type conductivities and higher hole concentrations than similar films grown without an indium surfactant. The lowest p-type GaN room temperature resistivity was 0.59 Ω-cm, and the highest room temperature carrier concentration was 1.6 × 10{sup 18} cm{sup −3}. Fits of the temperature-dependent carrier concentration data showed a one to two order of magnitude lower unintentional compensating defect concentration in samples grown with the indium surfactant. Samples grown at higher temperature had a lower active acceptor concentration. Improvements in band-edge luminescence were seen by cathodoluminescence for samples grown with the indium surfactant, confirming the trends seen in the Hall data.

  4. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  5. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José

    2010-10-24

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation and strain in oxide ionic conducting materials used as electrolytes, such as fluorites, and in mixed ionic and electronic conducting materials used as electrodes, typically oxides with perovskite or perovskite-related layered structures. The recent effort towards the enhancement of the electrochemical performance of SOFC materials through the deposition of artificial film heterostructures is also presented. These thin films have been engineered at a nanoscale level, such as the case of epitaxial multilayers or nanocomposite cermet materials. The recent progress in the implementation of thin films in SOFC devices is also reported. © 2010 Springer-Verlag.

  6. Junction Transport in Epitaxial Film Silicon Heterojunction Solar Cells: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Young, D. L.; Li, J. V.; Teplin, C. W.; Stradins, P.; Branz, H. M.

    2011-07-01

    We report our progress toward low-temperature HWCVD epitaxial film silicon solar cells on inexpensive seed layers, with a focus on the junction transport physics exhibited by our devices. Heterojunctions of i/p hydrogenated amorphous Si (a-Si) on our n-type epitaxial crystal Si on n++ Si wafers show space-charge-region recombination, tunneling or diffusive transport depending on both epitaxial Si quality and the applied forward voltage.

  7. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  8. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  9. Study on structural properties of epitaxial silicon films on annealed double layer porous silicon

    International Nuclear Information System (INIS)

    Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin

    2012-01-01

    In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.

  10. Electrical properties of epitaxially grown VOx thin films

    NARCIS (Netherlands)

    Rata, A.D.; Chezan, A.R; Presura, C.N.; Hibma, T

    2003-01-01

    High quality VOx thin films on MgO(100) substrates were prepared and studied from the structural and electronic point of view. Epitaxial growth was confirmed by RHEED and XRD techniques. The oxygen content of VOx thin films as a function of oxygen flux was determined using RBS. The upper and lower

  11. A Rapid Method for Deposition of Sn-Doped GaN Thin Films on Glass and Polyethylene Terephthalate Substrates

    Science.gov (United States)

    Pat, Suat; Özen, Soner; Korkmaz, Şadan

    2018-01-01

    We report the influence of Sn doping on microstructure, surface, and optical properties of GaN thin films deposited on glass and polyethylene terephthalate (PET) substrate. Sn-doped GaN thin films have been deposited by thermionic vacuum arc (TVA) at low temperature. TVA is a rapid deposition technology for thin film growth. Surface and optical properties of the thin films were presented. Grain size, height distribution, roughness values were determined. Grain sizes were calculated as 20 nm and 13 nm for glass and PET substrates, respectively. Nano crystalline forms were shown by field emission scanning electron microscopy. Optical band gap values were determined by optical methods and photoluminescence measurement. The optical band gap values of Sn doped GaN on glass and PET were determined to be approximately ˜3.40 eV and ˜3.47 eV, respectively. As a result, TVA is a rapid and low temperature deposition technology for the Sn doped GaN deposited on glass and PET substrate.

  12. Improvement of optical quality of semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire by in-situ epitaxial lateral overgrowth

    Science.gov (United States)

    Monavarian, Morteza; Izyumskaya, Natalia; Müller, Marcus; Metzner, Sebastian; Veit, Peter; Can, Nuri; Das, Saikat; Özgür, Ümit; Bertram, Frank; Christen, Jürgen; Morkoç, Hadis; Avrutin, Vitaliy

    2016-04-01

    Among the major obstacles for development of non-polar and semipolar GaN structures on foreign substrates are stacking faults which deteriorate the structural and optical quality of the material. In this work, an in-situ SiNx nano-network has been employed to achieve high quality heteroepitaxial semipolar (11 2 ¯ 2 ) GaN on m-plane sapphire with reduced stacking fault density. This approach involves in-situ deposition of a porous SiNx interlayer on GaN that serves as a nano-mask for the subsequent growth, which starts in the nanometer-sized pores (window regions) and then progresses laterally as well, as in the case of conventional epitaxial lateral overgrowth (ELO). The inserted SiNx nano-mask effectively prevents the propagation of defects, such as dislocations and stacking faults, in the growth direction and thus reduces their density in the overgrown layers. The resulting semipolar (11 2 ¯ 2 ) GaN layers exhibit relatively smooth surface morphology and improved optical properties (PL intensity enhanced by a factor of 5 and carrier lifetimes by 35% to 85% compared to the reference semipolar (11 2 ¯ 2 ) GaN layer) which approach to those of the c-plane in-situ nano-ELO GaN reference and, therefore, holds promise for light emitting and detecting devices.

  13. Influence of different aspect ratios on the structural and electrical properties of GaN thin films grown on nanoscale-patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Fang-Wei [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China); Ke, Wen-Cheng, E-mail: wcke@mail.ntust.edu.tw [Department of Materials Science and Engineering, National Taiwan University of Science and Technology, Taipei 106, Taiwan (China); Cheng, Chun-Hong; Liao, Bo-Wei; Chen, Wei-Kuo [Department of Electrophysics, National Chiao-Tung University, Hsinchu 300, Taiwan (China)

    2016-07-01

    Highlights: • Nanoscale patterned sapphire substrate was prepared by anodic-aluminum-oxide etching mask. • Influence of aspect ratio of NPSS on structural and electrical properties of GaN films was studied. • Low dislocation density and high carrier mobility of GaN films were grown on high aspect ratio NPSS. - Abstract: This study presents GaN thin films grown on nanoscale-patterned sapphire substrates (NPSSs) with different aspect ratios (ARs) using a homemade metal-organic chemical vapor deposition system. The anodic aluminum oxide (AAO) technique is used to prepare the dry etching mask. The cross-sectional view of the scanning electron microscope image shows that voids exist between the interface of the GaN thin film and the high-AR (i.e. ∼2) NPSS. In contrast, patterns on the low-AR (∼0.7) NPSS are filled full of GaN. The formation of voids on the high-AR NPSS is believed to be due to the enhancement of the lateral growth in the initial growth stage, and the quick-merging GaN thin film blocks the precursors from continuing to supply the bottom of the pattern. The atomic force microscopy images of GaN on bare sapphire show a layer-by-layer surface morphology, which becomes a step-flow surface morphology for GaN on a high-AR NPSS. The edge-type threading dislocation density can be reduced from 7.1 × 10{sup 8} cm{sup −2} for GaN on bare sapphire to 4.9 × 10{sup 8} cm{sup −2} for GaN on a high-AR NPSS. In addition, the carrier mobility increases from 85 cm{sup 2}/Vs for GaN on bare sapphire to 199 cm{sup 2}/Vs for GaN on a high-AR NPSS. However, the increased screw-type threading dislocation density for GaN on a low-AR NPSS is due to the competition of lateral growth on the flat-top patterns and vertical growth on the bottom of the patterns that causes the material quality of the GaN thin film to degenerate. Thus, the experimental results indicate that the AR of the particular patterning of a NPSS plays a crucial role in achieving GaN thin film with

  14. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  15. Effect of substrate mis-orientation on GaN thin films grown by MOCVD under different carrier gas condition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seong-Woo; Suzuki, Toshimasa [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Saitama, 345-8501 (Japan); Aida, Hideo [NAMIKI Precision Jewel Co. Ltd., 3-8-22 Shinden, Adachi-ku, Tokyo, 123-8511 (Japan)

    2005-05-01

    We have studied the effect of a slight mis-orientation angle on surface and crystal quality of GaN thin films grown under different carrier gas conditions. Two types of carrier gas conditions were applied to the growth. One was pure H{sub 2} and the other was mixed N{sub 2}/H{sub 2}. As the result, we found dependence of surface and crystal quality of GaN thin films on the substrate mis-orientation angle, and they indicated almost the same tendency under both growth conditions. Therefore, it was confirmed that mis-orientation angle of sapphire substrate was one of the most critical factors for GaN thin films. Then, the effect of the additional N{sub 2} into the conventional H{sub 2} carrier gas was studied, and we found that the conversion of carrier gas from the conventional H{sub 2} to N{sub 2}/H{sub 2} mixture was effective against degradation of GaN crystallinity at any mis-orientation angle. Considering that the crystal quality of GaN thin films became insensitive to mis-orientation angle as the condition became more suitable for GaN growth, the optimal substrate mis-orientation angle was consequently decided to be approximately 0.15 from the morphological aspect. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  16. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  17. Transient atomic behavior and surface kinetics of GaN

    International Nuclear Information System (INIS)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-01-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  18. Transient atomic behavior and surface kinetics of GaN

    Science.gov (United States)

    Moseley, Michael; Billingsley, Daniel; Henderson, Walter; Trybus, Elaissa; Doolittle, W. Alan

    2009-07-01

    An in-depth model for the transient behavior of metal atoms adsorbed on the surface of GaN is developed. This model is developed by qualitatively analyzing transient reflection high energy electron diffraction (RHEED) signals, which were recorded for a variety of growth conditions of GaN grown by molecular-beam epitaxy (MBE) using metal-modulated epitaxy (MME). Details such as the initial desorption of a nitrogen adlayer and the formation of the Ga monolayer, bilayer, and droplets are monitored using RHEED and related to Ga flux and shutter cycles. The suggested model increases the understanding of the surface kinetics of GaN, provides an indirect method of monitoring the kinetic evolution of these surfaces, and introduces a novel method of in situ growth rate determination.

  19. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bhasker, H. P.; Dhar, S. [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai, Maharashtra-400076 (India); Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M. [Jawaharlal Nehru Centre for Advanced Scientific Research (JNCASR) Bangalore- 560064 (India)

    2014-02-21

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls.

  20. Transport and optical properties of c-axis oriented wedge shaped GaN nanowall network grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Bhasker, H. P.; Dhar, S.; Thakur, Varun; Kesaria, Manoj; Shivaprasad, S. M.

    2014-01-01

    The transport and optical properties of wedge-shaped nanowall network of GaN grown spontaneously on cplane sapphire substrate by Plasma-Assisted Molecular Beam Epitaxy (PAMBE) show interesting behavior. The electron mobility at room temperature in these samples is found to be orders of magnitude higher than that of a continuous film. Our study reveals a strong correlation between the mobility and the band gap in these nanowall network samples. However, it is seen that when the thickness of the tips of the walls increases to an extent such that more than 70% of the film area is covered, it behaves close to a flat sample. In the sample with lower surface coverage (≈40% and ≈60%), it was observed that the conductivity, mobility as well as the band gap increase with the decrease in the average tip width of the walls. Photoluminescence (PL) experiments show a strong and broad band edge emission with a large (as high as ≈ 90 meV) blue shift, compared to that of a continuous film, suggesting a confinement of carriers on the top edges of the nanowalls. The PL peak width remains wide at all temperatures suggesting the existence of a high density of tail states at the band edge, which is further supported by the photoconductivity result. The high conductivity and mobility observed in these samples is believed to be due to a “dissipation less” transport of carriers, which are localized at the top edges (edge states) of the nanowalls

  1. Partially filled intermediate band of Cr-doped GaN films

    International Nuclear Information System (INIS)

    Sonoda, S.

    2012-01-01

    We investigated the band structure of sputtered Cr-doped GaN (GaCrN) films using optical absorption, photoelectron yield spectroscopy, and charge transport measurements. It was found that an additional energy band is formed in the intrinsic band gap of GaN upon Cr doping, and that charge carriers in the material move in the inserted band. Prototype solar cells showed enhanced short circuit current and open circuit voltage in the n-GaN/GaCrN/p-GaN structure compared to the GaCrN/p-GaN structure, which validates the proposed concept of an intermediate-band solar cell.

  2. Molecular beam epitaxy of InN layers on Sapphire, GaN and indium tin oxide

    Energy Technology Data Exchange (ETDEWEB)

    Denker, Christian; Landgraf, Boris; Schuhmann, Henning; Malindretos, Joerg; Seibt, Michael; Rizzi, Angela [IV. Physikalisches Institut, Georg-August-Universitaet Goettingen (Germany); Segura-Ruiz, Jaime; Gomez-Gomez, Maribel; Cantarero, Andres [Materials Science Institute, University of Valencia, Paterna (Spain)

    2009-07-01

    Among the group-III nitrides semiconductors, InN is the one with the narrowest gap (0.67 eV), lowest effective electron mass and highest peak drift velocity. It is therefore a very interesting material for several applications, in particular semiconductor solar cells. Furthermore, the high electron affinity makes it suitable also as electrode material for organic solar cells. InN layers were grown by molecular beam epitaxy on MOCVD GaN templates, on bare c-plane sapphire and on polycrystalline indium tin oxide. On all substrates the III-V ratio as well as the substrate temperature was varied. A RHEED analysis of InN growth on GaN showed a relatively sharp transition from N-rich and columnar growth to In-rich growth with droplet formation by increasing the In flux impinging on the surface. The InN layers on single crystalline substrates were characterized by SEM, AFM, XRD, PL and Raman. The InN layers on ITO were mainly analyzed with respect to the surface morphology with SEM. HRTEM in cross section gives insight into the structure of the interface to the ITO substrate.

  3. Step-flow anisotropy of the m-plane GaN (1100) grown under nitrogen-rich conditions by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Sawicka, Marta; Siekacz, Marcin; Skierbiszewski, Czeslaw; Turski, Henryk; Krysko, Marcin; DziePcielewski, Igor; Grzegory, Izabella; Smalc-Koziorowska, Julita

    2011-01-01

    The homoepitaxial growth of m-plane (1100) GaN was investigated by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions. The surface morphologies as a function of sample miscut were studied, providing evidence for a strong growth anisotropy that is a consequence of the anisotropy of Ga adatom diffusion barriers on the m-plane surface recently calculated ab initio[Lymperakis and Neugebauer, Phys. Rev. B 79, 241308(R) (2009)]. We found that substrate miscut toward [0001] implies a step flow toward while substrate miscut toward [0001] causes formation of atomic steps either perpendicular or parallel to the [0001] direction, under N-rich conditions at 730 deg C. We describe the growth conditions for achieving atomically flat m-plane GaN layers with parallel atomic steps.

  4. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  5. Thermal Quenching of Photoluminescence from Er-Doped GaN Thin Films

    National Research Council Canada - National Science Library

    Seo, J. T; Hoemmerich, U; Lee, D. C; Heikenfeld, J; Steckl, A. J; Zavada, J. M

    2002-01-01

    The green (537 and 558 nm) and near infrared (1.54 micrometers) photoluminescence (PL) spectra of Er-doped GaN thin films have been investigated as a function of temperature, excitation wavelength, and pump intensity...

  6. Epitaxial growth of SrTiO3 thin film on Si by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhou, X. Y.; Miao, J.; Dai, J. Y.; Chan, H. L. W.; Choy, C. L.; Wang, Y.; Li, Q.

    2007-01-01

    SrTiO 3 thin films have been deposited on Si (001) wafers by laser molecular beam epitaxy using an ultrathin Sr layer as the template. X-ray diffraction measurements indicated that SrTiO 3 was well crystallized and epitaxially aligned with Si. Cross-sectional observations in a transmission electron microscope revealed that the SrTiO 3 /Si interface was sharp, smooth, and fully crystallized. The thickness of the Sr template was found to be a critical factor that influenced the quality of SrTiO 3 and the interfacial structure. Electrical measurements revealed that the SrTiO 3 film was highly resistive

  7. Process for forming epitaxial perovskite thin film layers using halide precursors

    Science.gov (United States)

    Clem, Paul G.; Rodriguez, Mark A.; Voigt, James A.; Ashley, Carol S.

    2001-01-01

    A process for forming an epitaxial perovskite-phase thin film on a substrate. This thin film can act as a buffer layer between a Ni substrate and a YBa.sub.2 Cu.sub.3 O.sub.7-x superconductor layer. The process utilizes alkali or alkaline metal acetates dissolved in halogenated organic acid along with titanium isopropoxide to dip or spin-coat the substrate which is then heated to about 700.degree. C. in an inert gas atmosphere to form the epitaxial film on the substrate. The YBCO superconductor can then be deposited on the layer formed by this invention.

  8. Deposition and characterisation of epitaxial oxide thin films for SOFCs

    KAUST Repository

    Santiso, José ; Burriel, Mó nica

    2010-01-01

    This paper reviews the recent advances in the use of thin films, mostly epitaxial, for fundamental studies of materials for solid oxide fuel cell (SOFC) applications. These studies include the influence of film microstructure, crystal orientation

  9. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele

    2015-02-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used to probe the effect of strain on the oxygen ion migration in oxide materials. For the purpose of these investigations a good lattice matching between the film and the substrate is required to promote the ordered film growth. Moreover, the substrate should be a good electrical insulator at high temperature to allow a reliable electrical characterization of the deposited film. Here we report the fabrication of an epitaxial heterostructure made with a double buffer layer of BaZrO3 and SrTiO3 grown on MgO substrates that fulfills both requirements. Based on such template platform, highly ordered (001) epitaxially oriented thin films of 15% Sm-doped CeO2 and 8 mol% Y2O3 stabilized ZrO2 are grown. Bulk conductivities as well as activation energies are measured for both materials, confirming the success of the approach. The reported insulating template platform promises potential application also for the electrical characterization of other novel electrolyte materials that still need a thorough understanding of their ionic conductivity.

  10. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila; Peres, M.; Yamashita, Y.; Morishima, Y.; Sato, S.; Franco, N.; Lorenz, K.; Kuramata, A.; Roqan, Iman S.

    2014-01-01

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  11. High optical and structural quality of GaN epilayers grown on ( 2¯01) β-Ga2O3

    KAUST Repository

    Mumthaz Muhammed, Mufasila

    2014-07-28

    Producing highly efficient GaN-based optoelectronic devices has been a challenge for a long time due to the large lattice mismatch between III-nitride materials and the most common substrates, which causes a high density of threading dislocations. Therefore, it is essential to obtain alternative substrates with small lattice mismatches, appropriate structural, thermal and electrical properties, and a competitive price. Our results show that (2̄01) oriented β-Ga2O3 has the potential to be used as a transparent and conductive substrate for GaN-growth. Photoluminescence spectra of thick GaN layers grown on (2̄01) oriented β-Ga 2O3 are found to be dominated by intense bandedge emission. Atomic force microscopy studies show a modest threading dislocation density of ∼108cm-2. X-ray diffraction studies show the high quality of the single-phase wurtzite GaN thin film on (2̄01) β-Ga2O3 with in-plane epitaxial orientation relationships between the β-Ga2O3 and the GaN thin film defined by (010) β-Ga2O3 || (112̄0) GaN and (2̄01) β-Ga2O3 || (0001) GaN leading to a lattice mismatch of ∼4.7%. Complementary Raman spectroscopy indicates that the quality of the GaN epilayer is high. © 2014 AIP Publishing LLC.

  12. Preparation of ZnO:N films by radical beam gettering epitaxy

    International Nuclear Information System (INIS)

    Rogozin, I. V.

    2007-01-01

    ZnO:N epitaxial films are obtained by radical beam gettering epitaxy. The properties of the films are studied using X-ray diffraction, atomic-force microscopy, secondary-ion mass spectroscopy, and photoluminescence. A narrow (002) peak is observed in the X-ray diffraction spectra, which indicates that the ZnO:N films are oriented along the c axis. Secondary-ion mass spectroscopy indicates that N is present in the ZnO films. In the low-energy luminescence spectrum of the ZnO:N films, a peak at 3.31 eV is observed. This peak is presumably attributed to the exciton bound at the neutral acceptor N O . The postannealing of the ZnO:N films was carried out in atomic oxygen. The nature of the donor-acceptor (3.23 eV) and green (2.56 eV) luminescence bands is discussed

  13. Large third-order nonlinearity of nonpolar A-plane GaN film at 800 nm determined by Z-scan technology

    Science.gov (United States)

    Zhang, Feng; Han, Xiangyun

    2014-09-01

    We report an investigation on the optical third-order nonlinear property of the nonpolar A-plane GaN film. The film sample with a thickness of ~2 μm was grown on an r-plane sapphire substrate by metal-organic chemical vapor deposition system. By performing the Z-scan method combined with a mode-locked femtosecond Ti:sapphire laser (800 nm, 50 fs), the optical nonlinearity of the nonpolar A-plane GaN film was measured with the electric vector E of the laser beam being polarized parallel (//) and perpendicular (⊥) to the c axis of the film. The results show that both the third-order nonlinear absorption coefficient β and the nonlinear refractive index n2 of the sample film possess negative and large values, i.e. β// = -135 ± 29 cm/GW, n2// = -(4.0 ± 0.3) × 10-3 cm2/GW and β⊥ = -234 ± 29 cm/GW, n2⊥ = -(4.9 ± 0.4) × 10-3 cm2/GW, which are much larger than those of conventional C-plane GaN film, GaN bulk, and even the other oxide semiconductors.

  14. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  15. Improved InGaN/GaN quantum wells on treated GaN template with a Ga-rich GaN interlayer

    International Nuclear Information System (INIS)

    Fang, Zhilai; Shen, Xiyang; Wu, Zhengyuan; Zhang, Tong-Yi

    2015-01-01

    Treated GaN template was achieved by in situ droplet epitaxy of a Ga-rich GaN interlayer on the conventional GaN template. InGaN/GaN quantum wells (QWs) were grown on the conventional and treated GaN templates under the same growth conditions and then comprehensively characterized. The indium homogeneity in the InGaN layers and the interface sharpness between InGaN and GaN layers of the InGaN/GaN QWs on the treated GaN template were significantly improved. The emission intensity from the InGaN/GaN QWs on the treated GaN template was enhanced by 20% than that on the conventional GaN template, which was attributed to the strain reduction and the improvement in crystalline quality. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  17. Electrical properties of sputtered-indium tin oxide film contacts on n-type GaN

    International Nuclear Information System (INIS)

    Hwang, J. D.; Lin, C. C.; Chen, W. L.

    2006-01-01

    A transparent indium tin oxide (ITO) Ohmic contact on n-type gallium nitride (GaN) (dopant concentration of 2x10 17 cm -3 ) having a specific contact resistance of 4.2x10 -6 Ω cm 2 was obtained. In this study, ITO film deposition method was implemented by sputtering. We found that the barrier height, 0.68 eV, between ITO and n-type GaN is the same for both evaporated- and sputtered-ITO films. However, the 0.68 eV in barrier height renders the evaporated-ITO/n-GaN Schottky contact. This behavior is different from that of our sputtered-ITO/n-GaN, i.e., Ohmic contact. During sputtering, oxygen atoms on the GaN surface were significantly removed, thereby resulting in an improvement in contact resistance. Moreover, a large number of nitrogen (N) vacancies, caused by sputtering, were produced near the GaN surface. These N vacancies acted as donors for electrons, thus affecting a heavily doped n-type formed at the subsurface below the sputtered ITO/n-GaN. Both oxygen removal and heavy doping near the GaN surface, caused by N vacancies, in turn led to a reduction in contact resistivity as a result of electrons tunneling across the depletion layer from the ITO to the n-type GaN. All explanations are given by Auger analysis and x-ray photoelectron spectroscopy

  18. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan; Li, Peng; Li, Jun; Jiang, Qiu; Zhang, Xixiang; Alshareef, Husam N.

    2016-01-01

    ) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115

  19. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  20. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  1. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  2. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  3. Influence of hydrogen impurities on p-type resistivity in Mg-doped GaN films

    International Nuclear Information System (INIS)

    Yang, Jing; Zhao, Degang; Jiang, Desheng; Chen, Ping; Zhu, Jianjun; Liu, Zongshun; Le, Lingcong; He, Xiaoguang; Li, Xiaojing; Zhang, Y. T.; Du, G. T.

    2015-01-01

    The effects of hydrogen impurities on p-type resistivity in Mg-doped GaN films were investigated. It was found that hydrogen impurities may have the dual role of passivating Mg Ga acceptors and passivating donor defects. A decrease in p-type resistivity when O 2 is introduced during the postannealing process is attributed to the fact that annealing in an O 2 -containing environment can enhance the dissociation of Mg Ga -H complexes as well as the outdiffusion of H atoms from p-GaN films. However, low H concentrations are not necessarily beneficial in Mg-doped GaN films, as H atoms may also be bound at donor species and passivate them, leading to the positive effect of reduced compensation

  4. Cathodoluminescence of GaN diped with Zn in the process of epitaxial growth and by the method of ionic implantation

    International Nuclear Information System (INIS)

    Khasanov, I.Sh.; Gippius, A.A.; Kuznetsov, A.V.; Petrov, M.N.; Sletov, M.M.

    1984-01-01

    The cathodoluminescence (CL) method was used to investigate the epitaxial GaN layers doped with Zn both in the process of growth and by the method of ionic implantation for the purpose of clarifying the effect of doping technique on impurity luminescence. It was shown that (2-3)x10 18 cm -3 concentration is optimal with respect to intensity of impurity ''blue'' luminescence for gallium nitride doped with Zn. The intensity of GaN ''blue'' luminescence during Zn ionic implantation is several times lower as compared with doping in the process of growth. This is related to incomplete optical activation of impurity atoms in the process of postimplantation thermal annealing. Increase of zinc concentration above optimal during ionic implantation intensifies crystal lattice disordering, which is supported by intensification of 1.7 eV cathodoluminescence band in spectra conditioned by defects

  5. Impact of substrate off-angle on the m-plane GaN Schottky diodes

    Science.gov (United States)

    Yamada, Hisashi; Chonan, Hiroshi; Takahashi, Tokio; Shimizu, Mitsuaki

    2018-04-01

    We investigated the effects of the substrate off-angle on the m-plane GaN Schottky diodes. GaN epitaxial layers were grown by metal-organic chemical vapor deposition on m-plane GaN substrates having an off-angle of 0.1, 1.1, 1.7, or 5.1° toward [000\\bar{1}]. The surface of the GaN epitaxial layers on the 0.1°-off substrate consisted of pyramidal hillocks and contained oxygen (>1017 cm-3) and carbon (>1016 cm-3) impurities. The residual carbon and oxygen impurities decreased to current of the 0.1°-off m-plane GaN Schottky diodes originated from the +c facet of the pyramidal hillocks. The leakage current was efficiently suppressed through the use of an off-angle that was observed to be greater than 1.1°. The off-angle of the m-plane GaN substrate is critical in obtaining high-performance Schottky diodes.

  6. Rapid thermal and swift heavy ion induced annealing of Co ion implanted GaN films

    International Nuclear Information System (INIS)

    Baranwal, V.; Pandey, A. C.; Gerlach, J. W.; Rauschenbach, B.; Karl, H.; Kanjilal, D.; Avasthi, D. K.

    2008-01-01

    Thin epitaxial GaN films grown on 6H-SiC(0001) substrates were implanted with 180 keV Co ions at three different fluences. As-implanted samples were characterized with secondary ion mass spectrometry and Rutherford backscattering spectrometry to obtain the Co depth profiles and the maximum Co concentrations. As-implanted samples were annealed applying two different techniques: rapid thermal annealing and annealing by swift heavy ion irradiation. Rapid thermal annealing was done at two temperatures: 1150 deg. C for 20 s and 700 deg. C for 5 min. 200 MeV Ag ions at two fluences were used for annealing by irradiation. Crystalline structure of the pristine, as-implanted, and annealed samples was investigated using x-ray diffraction, and the results were compared. Improvement of the crystalline quality was observed for rapid thermal annealed samples at the higher annealing temperature as confirmed with rocking curve measurements. The results indicate the presence of Co clusters in these annealed samples. Swift heavy ion irradiation with the parameters chosen for this study did not lead to a significant annealing

  7. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  8. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  9. Optical and structural characterization of GaN thin films at different N to Ga flux ratios

    International Nuclear Information System (INIS)

    El-Naggar, Ahmed M.

    2011-01-01

    GaN films were grown on Si(111) substrates under various beam equivalent pressure (BEP) ratios by plasma-assisted molecular beam epitaxy. The optical properties for the grown samples were studied over a wide spectral range from 200 to 3300 nm using the reflectance spectrum only. It was found that increasing the N/Ga BEP ratio from 17.9 to 46.1 increases the refractive index (n) from 2.05 to 2.38 at wavelength 630 nm (for example), while the optical energy gap (E g ) were found to be in the range between 3.325 to 3.35 eV with no specific trend. The structural properties for the grown films were studied through two types of rocking curve measurements; normal rocking curve (ω-scan) and triple axis rocking curve (ω/2θ-scan). It was found that with decreasing the N/Ga ratio from 46.1 to 17.9 the full width at half maximum decreases from 0.62 deg. to 0.58 deg. for ω-scan and from 0.022 deg. to 0.021 deg. for ω/2θ-scan. Thus, our results showed a clear correlation between the optical-structural parameters and the BEP ratios of N and Ga.

  10. Influence of growth conditions and surface reaction byproducts on GaN grown via metal organic molecular beam epitaxy: Toward an understanding of surface reaction chemistry

    Science.gov (United States)

    Pritchett, David; Henderson, Walter; Burnham, Shawn D.; Doolittle, W. Alan

    2006-04-01

    The surface reaction byproducts during the growth of GaN films via metal organic molecular beam epitaxy (MOMBE) were investigated as a means to optimize material properties. Ethylene and ethane were identified as the dominant surface reaction hydrocarbon byproducts, averaging 27.63% and 7.15% of the total gas content present during growth. Intense ultraviolet (UV) photoexcitation during growth was found to significantly increase the abundance of ethylene and ethane while reducing the presence of H2 and N2. At 920°C, UV excitation was shown to enhance growth rate and crystalline quality while reducing carbon incorporation. Over a limited growth condition range, a 4.5×1019-3.4×1020 cm-3 variation in carbon incorporation was achieved at constant high vacuum. Coupled with growth rate gains, UV excitation yielded films with ˜58% less integrated carbon content. Structural material property variations are reported for various ammonia flows and growth temperatures. The results suggest that high carbon incorporation can be achieved and regulated during MOMBE growth and that in-situ optimization through hydrocarbon analysis may provide further enhancement in the allowable carbon concentration range.

  11. Epitaxial properties of ZnO thin films on SrTiO3 substrates grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wei, X. H.; Li, Y. R.; Zhu, J.; Huang, W.; Zhang, Y.; Luo, W. B.; Ji, H.

    2007-01-01

    Epitaxial ZnO thin films with different orientations have been grown by laser molecular beam epitaxy on (001)- (011)-, and (111)-orientated SrTiO 3 single-crystal substrates. The growth behavior was in situ monitored by reflection high-energy electron diffraction, and the epitaxial orientation relations were reconfirmed by ex situ x-ray diffraction measurements. In the case of ZnO on SrTiO 3 (001), four orthogonal domains coexisted in the ZnO epilayer, i.e., ZnO(110) parallel SrTiO 3 (001) and ZnO[-111] parallel SrTiO 3 . For (011)- and (111)-orientated substrates, single-domain epitaxy with c axial orientation was observed, in which the in-plane relationship was ZnO[110] parallel SrTiO 3 [110] irrespective of the substrate orientations. Additionally, the crystalline quality of ZnO on SrTiO 3 (111) was better than that of ZnO on SrTiO 3 (011) because of the same symmetry between the (111) substrates and (001) films. The obtained results can be attributed to the difference of the in-plane crystallographic symmetry. Furthermore, those alignments can be explained by the interface stress between the substrates and the films

  12. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  13. Laser molecular beam epitaxy of ZnO thin films and heterostructures

    International Nuclear Information System (INIS)

    Opel, Matthias; Geprägs, Stephan; Althammer, Matthias; Brenninger, Thomas; Gross, Rudolf

    2014-01-01

    We report on the growth of epitaxial ZnO thin films and ZnO-based heterostructures on sapphire substrates by laser molecular beam epitaxy (MBE). We first discuss some recent developments in laser-MBE such as flexible ultraviolet laser beam optics, infrared laser heating systems or the use of atomic oxygen and nitrogen sources, and describe the technical realization of our advanced laser-MBE system. Then we describe the optimization of the deposition parameters for ZnO films such as laser fluence and substrate temperature and the use of buffer layers. The detailed structural characterization by x-ray analysis and transmission electron microscopy shows that epitaxial ZnO thin films with high structural quality can be achieved, as demonstrated by a small out-of-plane and in-plane mosaic spread as well as the absence of rotational domains. We also demonstrate the heteroepitaxial growth of ZnO-based multilayers as a prerequisite for spin transport experiments and the realization of spintronic devices. As an example, we show that TiN/Co/ZnO/Ni/Au multilayer stacks can be grown on (0 0 0 1)-oriented sapphire with good structural quality of all layers and well defined in-plane epitaxial relations. (paper)

  14. Strain dependent microstructural modifications of BiCrO{sub 3} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kannan, Vijayanandhini, E-mail: kvnandhini@gmail.com [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Arredondo, Miryam; Johann, Florian; Hesse, Dietrich [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany); Labrugere, Christine [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); CeCaMA, University of Bordeaux, ICMCB, F-33600 Pessac (France); Maglione, Mario [CNRS, University of Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Vrejoiu, Ionela [Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Saale) (Germany)

    2013-10-31

    Strain-dependent microstructural modifications were observed in epitaxial BiCrO{sub 3} (BCO) thin films fabricated on single crystalline substrates, utilizing pulsed laser deposition. The following conditions were employed to modify the epitaxial-strain: (i) in-plane tensile strain, BCO{sub STO} [BCO grown on buffered SrTiO{sub 3} (001)] and in-plane compressive strain, BCO{sub NGO} [BCO grown on buffered NdGaO{sub 3} (110)] and (ii) varying BCO film thickness. A combination of techniques like X-ray diffraction, X-ray photoelectron spectroscopy (XPS) and high resolution transmission electron microscopy (TEM) was used to analyse the epitaxial growth quality and the microstructure of BCO. Our studies revealed that in the case of BCO{sub STO}, a coherent interface with homogeneous orthorhombic phase is obtained only for BCO film with thicknesses, d < 50 nm. All the BCO{sub STO} films with d ≥ 50 nm were found to be strain-relaxed with an orthorhombic phase showing 1/2 <100> and 1/4 <101> satellite reflections, the latter oriented at 45° from orthorhombic diffraction spots. High angle annular dark field scanning TEM of these films strongly suggested that the satellite reflections, 1/2 <100> and 1/4 <101>, originate from the atomic stacking sequence changes (or “modulated structure”) as reported for polytypes, without altering the chemical composition. The unaltered stoichiometry was confirmed by estimating both valency of Bi and Cr cations by surface and in-depth XPS analysis as well as the stoichiometric ratio (1 Bi:1 Cr) using scanning TEM–energy dispersive X-ray analysis. In contrast, compressively strained BCO{sub NGO} films exhibited monoclinic symmetry without any structural modulations or interfacial defects, up to d ∼ 200 nm. Our results indicate that both the substrate-induced in-plane epitaxial strain and the BCO film thickness are the crucial parameters to stabilise a homogeneous BCO phase in an epitaxially grown film. - Highlights: • Phase pure

  15. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    Hongling Wei

    2017-11-01

    Full Text Available Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE at different substrate temperatures. The influences of substrate temperature on structural and optical properties have been systematically investigated by means of X-ray diffraction, Transmission electron microscope and UV-vis absorption spectra. High quality cubic structure and [111] oriented CuGa2O4 film can be obtained at substrate temperature of 750 °C. It’s also demonstrated that the CuGa2O4 film has a bandgap of ∼ 4.4 eV and a best crystal quality at 750 °C, suggesting that CuGa2O4 film is a promising candidate for applications in ultraviolet optoelectronic devices.

  16. Impact ionization of excitons in electric field of GaN and quantum wells of GaN/AlGaN

    International Nuclear Information System (INIS)

    Nel'son, D.K.; Yakobson, M.A.; Kagan, V.D.; Gil, B.; Grandjean, N.; Beaumont, B.; Massier, J.; Gibart, P.

    2001-01-01

    The effect of the exciton states impact ionization in the GaN exploit films and in the GaN/AlGaN structures with quantum wells is studied. The study was carried out through the optical method, based on the exciton photoluminescence quenching by applying the electric field. It is established that in the process of the electrons relaxation by energy and pulse the scattering on the admixtures prevails over the scattering on the acoustic phonons. The average length of the hot electrons free run is evaluated. The average length of the hot electrons free run in the GaN/AlGaN wells proved to be by the value order higher than in the GaN epitaxial films, which is conditioned by decrease in the probability of the electrons scattering in the two-dimensional case [ru

  17. Controllable factors affecting the epitaxial quality of LaCoO3 films ...

    Indian Academy of Sciences (India)

    2018-03-23

    Mar 23, 2018 ... ... larger molecular weight contribute to the improvement of epitaxial quality of LaCoO3 epitaxial film. ..... Research Fund of Education Department of Sichuan Province. (grant nos. 16ZA0133 and 15ZB0108); and the Doctoral.

  18. Morphology of self-catalyzed GaN nanowires and chronology of their formation by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Galopin, E; Largeau, L; Patriarche, G; Travers, L; Glas, F; Harmand, J C

    2011-01-01

    GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.

  19. Room-temperature synthesis of ultraviolet-emitting nanocrystalline GaN films using photochemical vapor deposition

    International Nuclear Information System (INIS)

    Yamazaki, Shunsuke; Yatsui, Takashi; Ohtsu, Motoichi; Kim, Taw-Won; Fujioka, Hiroshi

    2004-01-01

    We fabricated UV-emitting nanocrystalline gallium nitride (GaN) films at room temperature using photochemical vapor deposition (PCVD). For the samples synthesized at room temperature with V/III ratios exceeding 5.0x10 4 , strong photoluminescence peaks at 3.365 and 3.310 eV, which can be ascribed to transitions in a mixed phase of cubic and hexagonal GaN, were observed at 5 K. A UV emission spectrum with a full width at half-maximum of 100 meV was observed, even at room temperature. In addition, x-ray photoelectron spectroscopy measurement revealed that the film deposited by PCVD at room temperature was well nitridized

  20. Optical and electro-optic anisotropy of epitaxial PZT thin films

    Science.gov (United States)

    Zhu, Minmin; Du, Zehui; Jing, Lin; Yoong Tok, Alfred Iing; Tong Teo, Edwin Hang

    2015-07-01

    Strong optical and electro-optic (EO) anisotropy has been investigated in ferroelectric Pb(Zr0.48Ti0.52)O3 thin films epitaxially grown on Nb-SrTiO3 (001), (011), and (111) substrates using magnetron sputtering. The refractive index, electro-optic, and ferroelectric properties of the samples demonstrate the significant dependence on the growth orientation. The linear electro-optic coefficients of the (001), (011), and (111)-oriented PZT thin films were 270.8, 198.8, and 125.7 pm/V, respectively. Such remarkable anisotropic EO behaviors have been explained according to the structure correlation between the orientation dependent distribution, spontaneous polarization, epitaxial strain, and domain pattern.

  1. Probing the bulk ionic conductivity by thin film hetero-epitaxial engineering

    KAUST Repository

    Pergolesi, Daniele; Roddatis, Vladimir; Fabbri, Emiliana; Schneider, Christof W; Lippert, Thomas; Traversa, Enrico; Kilner, John A

    2015-01-01

    Highly textured thin films with small grain boundary regions can be used as model systems to directly measure the bulk conductivity of oxygen ion conducting oxides. Ionic conducting thin films and epitaxial heterostructures are also widely used

  2. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Science.gov (United States)

    Meng, Siqin; Yue, Zhenxing; Zhang, Xiaozhi; Li, Longtu

    2014-01-01

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  3. Quasi-epitaxial barium hexaferrite thin films prepared by a topotactic reactive diffusion process

    Energy Technology Data Exchange (ETDEWEB)

    Meng, Siqin; Yue, Zhenxing, E-mail: yuezhx@tsinghua.edu.cn; Zhang, Xiaozhi; Li, Longtu

    2014-01-30

    Quasi-epitaxial barium hexaferrite thin films (BaM) with crystallographic c-axis parallel to film normal were prepared through a topotactic reactive diffusion process using two-step solution deposition on c-plane sapphire. The two-step spin coating process involves preparing an epitaxial hematite film, coating the film with barium precursor solution and thermal annealing. The crystal orientation and magnetic anisotropy of BaM thin films were investigated by X-ray diffraction analysis, SEM observation and magnetic measurements. Hysteresis loops showed good magnetic anisotropy and high remanence ratio (RR) Mr/Ms = 0.97. The films fabricated by two-step spin coating process displayed wider rocking curve width but better magnetic anisotropy than one-step spin coating. The possible mechanism of this discrepancy is discussed in this paper.

  4. P-type doping of GaN

    International Nuclear Information System (INIS)

    Wong, R.K.

    2000-01-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover

  5. P-type doping of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Raechelle Kimberly [Univ. of California, Berkeley, CA (United States)

    2000-04-01

    After implantation of As, As + Be, and As + Ga into GaN and annealing for short durations at temperatures as high as 1500 C, the GaN films remained highly resistive. It was apparent from c-RBS studies that although implantation damage did not create an amorphous layer in the GaN film, annealing at 1500 C did not provide enough energy to completely recover the radiation damage. Disorder recovered significantly after annealing at temperatures up to 1500 C, but not completely. From SIMS analysis, oxygen contamination in the AIN capping layer causes oxygen diffusion into the GaN film above 1400 C. The sapphire substrate (A1203) also decomposed and oxygen penetrated into the backside of the GaN layer above 1400 C. To prevent donor-like oxygen impurities from the capping layer and the substrate from contaminating the GaN film and compensating acceptors, post-implantation annealing should be done at temperatures below 1500 C. Oxygen in the cap could be reduced by growing the AIN cap on the GaN layer after the GaN growth run or by depositing the AIN layer in a ultra high vacuum (UHV) system post-growth to minimize residual oxygen and water contamination. With longer annealing times at 1400 C or at higher temperatures with a higher quality AIN, the implantation drainage may fully recover.

  6. TEM characterization of catalyst- and mask-free grown GaN nanorods

    International Nuclear Information System (INIS)

    Schowalter, M; Aschenbrenner, T; Kruse, C; Hommel, D; Rosenauer, A

    2010-01-01

    Catalyst- and mask-free grown GaN nanorods have been investigated using transmission electron microscopy (TEM), scanning transmission electron microscopy (STEM) and energy filtered transmission electron microscopy (EFTEM). The nanorods were grown on nitridated r-plane sapphire substrates in a molecular beam epitaxy reactor. We investigated samples directly after the nitridation and after the overgrowth of the structure with GaN. High resolution transmission electron microscopy (HRTEM) and EFTEM revealed that AlN islands have formed due to nitridation. After overgrowth, the AlN islands could not be observed any more, neither by EFTEM nor by Z-contrast imaging. Instead, a smooth layer consisting of AlGaN was found. The investigation of the overgrown sample revealed that an a-plane GaN layer and GaN nanorods on top of the a-plane GaN have formed. The nanorods reduced from top of the a-plane GaN towards the a-plane GaN/sapphire interface suggesting that the nanorods originate at the AlN islands found after nitridation. However, this could not be shown unambiguously. The number of threading dislocations in the nanorods was very low. The analysis of the epitaxial relationship to the a-plane GaN showed that the nanorods grew along the [000-1] direction, and the [1-100] direction of the rods was parallel to the [0001] direction of the a-plane GaN.

  7. Semi-polar GaN heteroepitaxy an high index Si-surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ravash, Roghaiyeh; Blaesing, Juergen; Hempel, Thomas; Dadgar, Armin; Christen, Juergen; Krost, Alois [Otto-von-Guericke-University Magdeburg, FNW/IEP/AHE, Magdeburg (Germany)

    2011-07-01

    Due to the lack of GaN homosubstrates, the growth of GaN-based devices is usually performed on heterosubstrates as sapphire or SiC. These substrates are either insulating or expensive, and both unavailable in large diameters. Meanwhile, silicon can meet the requirements for a low price and thermally well conducting substrate and also enabling the integration of optoelectronic devices with Si-based electronics. Up to now, the good matching of hexagonal GaN with the three-fold symmetry of Si(111) greatly promotes the c-axis orientated growth of GaN on this surface plane. A large spontaneous and piezoelectric polarization oriented along the c-axis exists in such hexagonal structure leading to low efficiencies for thick quantum wells. The attention to the growth of non-polar or semi-polar GaN based epitaxial structures has been increased recently because of reducing the effect of the polarization fields in these growth directions. Therefore we studied semi-polar GaN epilayers grown by metalorganic vapor phase epitaxy on silicon substrates with different orientations from Si(211) to Si(711). We observed that AlN seeding layer growth time play a significant role in obtaining the different GaN texture.

  8. Magnetic properties of novel epitaxial films

    International Nuclear Information System (INIS)

    Bader, S.D.; Moog, E.R.

    1986-09-01

    The surface magneto-optic Kerr effect (SMOKE) is used to explore the magnetism of ultra-thin Fe Films extending into the monolayer regime. Both bcc α-Fe and fcc γ-Fe single-crystalline, multilayer films are prepared on the bulk-terminated (1 x 1) structures of Au(100) and Cu(100), respectively. The characterizations of epitaxy and growth mode are performed using low energy electron diffraction and Auger electron spectroscopy. Monolayer-range Fe/Au(100) is ferromagnetic with a lower Curie temperature than bulk α-Fe. The controversial γ-Fe/Cu(100) system exhibits a striking, metastable, surface magnetic phase at temperatures above room temperature, but does not exhibit bulk ferromagnetism

  9. Gallium adsorption on (0001) GaN surfaces

    International Nuclear Information System (INIS)

    Adelmann, Christoph; Brault, Julien; Mula, Guido; Daudin, Bruno; Lymperakis, Liverios; Neugebauer, Joerg

    2003-01-01

    We study the adsorption behavior of Ga on (0001) GaN surfaces combining experimental specular reflection high-energy electron diffraction with theoretical investigations in the framework of a kinetic model for adsorption and ab initio calculations of energy parameters. Based on the experimental results we find that for substrate temperatures and Ga fluxes typically used in molecular-beam epitaxy of GaN, finite equilibrium Ga surface coverages can be obtained. The measurement of a Ga/GaN adsorption isotherm allows the quantification of the equilibrium Ga surface coverage as a function of the impinging Ga flux. In particular, we show that a large range of Ga fluxes exists, where 2.5±0.2 monolayers (in terms of the GaN surface site density) of Ga are adsorbed on the GaN surface. We further demonstrate that the structure of this adsorbed Ga film is in good agreement with the laterally contracted Ga bilayer model predicted to be most stable for strongly Ga-rich surfaces [Northrup et al., Phys. Rev. B 61, 9932 (2000)]. For lower Ga fluxes, a discontinuous transition to Ga monolayer equilibrium coverage is found, followed by a continuous decrease towards zero coverage; for higher Ga fluxes, Ga droplet formation is found, similar to what has been observed during Ga-rich GaN growth. The boundary fluxes limiting the region of 2.5 monolayers equilibrium Ga adsorption have been measured as a function of the GaN substrate temperature giving rise to a Ga/GaN adsorption phase diagram. The temperature dependence is discussed within an ab initio based growth model for adsorption taking into account the nucleation of Ga clusters. This model consistently explains recent contradictory results of the activation energy describing the critical Ga flux for the onset of Ga droplet formation during Ga-rich GaN growth [Heying et al., J. Appl. Phys. 88, 1855 (2000); Adelmann et al., J. Appl. Phys. 91, 9638 (2002).

  10. GaN thin films growth and their application in photocatalytic removal of sulforhodamine B from aqueous solution under UV pulsed laser irradiation.

    Science.gov (United States)

    Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B

    2011-01-01

    Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.

  11. Formation of Ga2O3 by the oxidation of p-type GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pinnisch, Melanie; Reppin, Daniel; Stehr, Jan; Laufer, Andreas; Hofmann, Detlev M.; Meyer, Bruno K. [1. Physikalisches Institut, Justus-Liebig-University, Giessen (Germany)

    2010-07-01

    Both GaN and Ga{sub 2}O{sub 3} are wide band gap semiconductors with energies of 3.45 eV and 4.9 eV, respectively. While GaN can be achieved p- or n-type conducting by doping, Ga{sub 2}O{sub 3} is n-type or high resistive dependent on the presence of oxygen vacancies. We studied the conversion of p-type Mg doped GaN thin films to Ga{sub 2}O{sub 3} by thermal treatments in the temperature range from 600 C to 1200 C and in different atmospheres. Changes of the film properties were studied by means of X-ray diffraction, photo-electron spectroscopy and atomic force microscopy. Optical and magnetic resonance methods were used to investigate the evolution of the dopands and defects.

  12. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki; Kirino, Fumiyoshi

    2011-01-01

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110) fcc single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within ±0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  13. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2011-07-06

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110){sub fcc} single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within {+-}0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  14. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  15. Optical investigation of strain in Si-doped GaN films

    International Nuclear Information System (INIS)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-01-01

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E 2 mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5x10 18 cm -3 both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. [copyright] 2001 American Institute of Physics

  16. Evidence for moving of threading dislocations during the VPE growth in GaN thin layers

    Energy Technology Data Exchange (ETDEWEB)

    Kuwano, Noriyuki [Art, Science and Technology Center for Cooperative Research, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Department of Applied Science for Electronics and Materials, Kyushu University, Kasuga, Fukuoka 816-8580 (Japan); Miyake, Hideto; Hiramatsu, Kazumasa [Department of Electrical and Electronic Engineering, Mie University, Tsu, Mie 514-8507 (Japan); Amano, Hiroshi [Graduate School of Engineering, Akasaki Research Center, Nagoya University, Furo-cho, Chikusa, Nagoya 464-8603 (Japan); Akasaki, Isamu [Faculty of Science and Technology, Meijo University, 1-501 Shiogamaguchi, Tempaku, Nagoya 468-8502 (Japan)

    2011-05-15

    Cross-sectional transmission electron microscope (TEM) observation was performed in detail to analyze the morphology of threading dislocations (TDs) in GaN thin layers with various thicknesses. The GaN layers were overgrown on an Al{sub 0.28}Ga{sub 0.72}N layer by the metal-organic vapor-phase epitaxy (MOVPE) method. In a GaN layer about 50 nm in thickness, TDs running up in the AlGaN layer pass into the GaN layer and most of them reach the top surface without bending. In thicker GaN layers, on the other hand, many of TDs form a hairpin-configuration on or above the interface of GaN and AlGaN to be annihilated. This difference in morphology of TDs indicates that the TDs have moved down inside the GaN layer. Since the formation of hairpins is attributed to a stress-relief, there should be an extra half-plane between the paired TDs. Therefore, the movement of TDs should be of ''climb motion''. Another example of possible TD movement inside a GaN layer is also described. It is emphasized that the possibility of TD-movements inside the thin film crystal during the growth should be taken into account in analysis of thin-layer growth through the behavior of TDs (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  18. Polarity analysis of GaN nanorods by photo-assisted Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Jiandong; Neumann, Richard; Wang, Xue; Li, Shunfeng; Fuendling, Soenke; Merzsch, Stephan; Al-Suleiman, Mohamed A.M.; Soekmen, Uensal; Wehmann, Hergo-H.; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany)

    2011-07-15

    Polarity dependence (N-polar (000-1) and Ga-polar (0001)) of surface photovoltage of epitaxially grown, vertically aligned GaN nanorods has been investigated by photo-assisted Kelvin probe force microscopy (KPFM). Commercial GaN substrates with known polarities are taken as reference samples. The polarity of GaN substrates can be well distinguished by the change in surface photovoltage upon UV illumination in air ambient. These different behaviors of Ga- and N-polar surfaces are attributed to the polarity-related surface-bound charges and photochemical reactivity. GaN nanorods were grown on patterned SiO{sub 2}/sapphire templates by metal-organic vapor phase epitaxy (MOVPE). In order to analyze the bottom surface of the grown GaN nanorods, a technique known from high power electronics and joining techniques is applied to remove the substrate. The top and bottom surfaces of the GaN nanorods are identified to be N-polar and Ga-polar according to the KPFM results, respectively. Our experiments demonstrate that KPFM is a simple and suitable method capable to identify the polarity of GaN nanorods. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  20. Closed-loop MBE growth of droplet-free GaN with very metal rich conditions using Metal Modulated Epitaxy with Mg and In

    Energy Technology Data Exchange (ETDEWEB)

    Burnham, S.D.; Henderson, W.; Doolittle, W.A. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2008-07-01

    Improvements to the Metal Modulated Epitaxy (MME) technique are achieved through computer controlled shutter transitions based on feedback from RHEED transients (S. D. Burnham and W. A. Doolittle, J. Vac. Sci. Technol. B 24, 2100 (2006)), thus creating a closed-loop control system for nitride MBE, the first of its kind. A high-sensitivity 22 bit camera is used to determine when RHEED transients have subsided, upon which a shutter transition is initiated allowing the efficient buildup and depletion of the metal bilayer, which improves surface morphology and growth rate compared to the standard MME technique. RMS surface roughness was reduced by 41% by using this 'Smart Shuttering' improvement to MME. A substantially higher peak concentration of Mg, approaching 2% atomic concentration, was achieved using the MME technique. As expected, a negligible amount of In was incorporated into the very Ga-rich films. Using the new closed loop control system developed for MBE, the surface morphology was improved for GaN films while highly repeatable holes concentrations as high as 4.7 x 10{sup 18} cm{sup -3} with 1.07 cm{sup 2}/V-sec mobility was obtained. This approach offers great promise for improved reproducibility and improved material quality. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. General Top-Down Ion Exchange Process for the Growth of Epitaxial Chalcogenide Thin Films and Devices

    KAUST Repository

    Xia, Chuan

    2016-12-30

    We demonstrate a versatile top-down ion exchange process, done at ambient temperature, to form epitaxial chalcogenide films and devices, with nanometer scale thickness control. To demonstrate the versatility of our process we have synthesized (1) epitaxial chalcogenide metallic and semiconducting films and (2) free-standing chalcogenide films and (3) completed in situ formation of atomically sharp heterojunctions by selective ion exchange. Epitaxial NiCo2S4 thin films prepared by our process show 115 times higher mobility than NiCo2S4 pellets (23 vs 0.2 cm(2) V-1 s(-1)) prepared by previous reports. By controlling the ion exchange process time, we made free-standing epitaxial films of NiCo2S4 and transferred them onto different substrates. We also demonstrate in situ formation of atomically sharp, lateral Schottky diodes based on NiCo2O4/NiCo2S4 heterojunction, using a single ion exchange step. Additionally, we show that our approach can be easily extended to other chalcogenide semiconductors. Specifically, we used our process to prepare Cu1.8S thin films with mobility that matches single crystal Cu1.8S (25 cm(2) V-1 s(-1)), which is ca. 28 times higher than the previously reported Cu1.8S thin film mobility (0.58 cm(2) V-1 s(-1)), thus demonstrating the universal nature of our process. This is the first report in which chalcogenide thin films retain the epitaxial nature of the precursor oxide films, an approach that will be useful in many applications.

  2. Evolution of superconducting properties with disorder in epitaxial NbN films

    International Nuclear Information System (INIS)

    Chockalingam, S P; Chand, Madhavi; Jesudasan, John; Raychaudhuri, Pratap; Tripathi, Vikram

    2009-01-01

    NbN is a conventional BCS superconductor in which disorder can be tuned from moderately clean limit to dirty limit without affecting its epitaxial nature. We studied the superconducting properties of epitaxial NbN films of different disorder characterized by k F l ranging from 8.77 to 2.56 through the transport measurements. Using reactive magnetron sputtering NbN films of different disorder are deposited by varying the sputtering power and amount of N 2 in Ar:N 2 mixture of sputtering gas. The disorder parameter k F l is obtained from the carrier density (n) and the resistivity (ρ) of the films. NbN films with higher disorder have lower transition temperature (T c ) and larger resistivity which decreases with decreasing disorder. The carrier density determined from Hall measurements show that highly disordered films have lower carrier density and vice versa. Our studies show that NbN is a good system to investigate the effects of disorder on superconductivity where the superconducting properties evolve with the disorder in thin films.

  3. Thickness-modulated anisotropic ferromagnetism in Fe-doped epitaxial HfO2 thin films

    Science.gov (United States)

    Liu, Wenlong; Liu, Ming; Zhang, Ruyi; Ma, Rong; Wang, Hong

    2017-10-01

    Epitaxial tetragonal Fe-doped Hf0.95Fe0.05O2 (FHO) thin films with various thicknesses were deposited on (001)-oriented NdCaAlO4 (NCAO) substrates by using a pulsed laser deposition (PLD) system. The crystal structure and epitaxial nature of the FHO thin films were confirmed by typical x-ray diffraction (XRD) θ-2θ scan and reciprocal space mapping (RSM). The results indicate that two sets of lattice sites exist with two different crystal orientations [(001) and (100)] in the thicker FHO thin films. Further, the intensity of the (100) direction increases with the increase in thicknesses, which should have a significant effect on the anisotropic magnetization of the FHO thin films. Meanwhile, all the FHO thin films possess a tetragonal phase structure. An anisotropy behavior in magnetization has been observed in the FHO thin films. The anisotropic magnetization of the FHO thin films is slowly weakened as the thickness increases. Meanwhile, the saturation magnetization (Ms) of both in-plane and out-of-plane decreases with the increase in the thickness. The change in the anisotropic magnetization and Ms is attributed to the crystal lattice and the variation in the valence of Fe ions. These results indicate that the thickness-modulated anisotropic ferromagnetism of the tetragonal FHO epitaxial thin films is of potential use for the integration of metal-oxide semiconductors with spintronics.

  4. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  5. Epitaxy of Polar Oxides and Semiconductors

    Science.gov (United States)

    Shelton, Christopher Tyrel

    Integrating polar oxide materials with wide-bandgap nitride semiconductors offers the possibility of a tunable 2D carrier gas (2DCG) - provided defect densities are low and interfaces are abrupt. This dissertation investigates a portion of the synthesis science necessary to produce a "semiconductor-grade" interface between these highly dissimilar materials. A significant portion of this work is aligned with efforts to engineer a step-free GaN substrate to produce single in-plane oriented rocksalt oxide films. Initially, we explore the homoepitaxial MOCVD growth conditions necessary to produce highquality GaN films on ammonothermally grown substrates. Ammono substrates are only recently available for purchase and are the market leader in low-dislocation density material. Their novelty requires development of an understanding of morphology trade-offs in processing space. This includes preservation of the epi-polished surface in aggressive MOCVD environments and an understanding of the kinetic barriers affecting growth morphologies. Based on several factors, it was determined that GaN exhibits an 'uphill' diffusion bias that may likely be ascribed to a positive Ehrlich-Schwoebel (ES) barrier. This barrier should have a stabilizing effect against step-bunching but, for many growth conditions, regular step bunching was observed. One possible explanation for the step-bunching instability is the presence of impurities. Experimentally, conditions which incorporate more carbon into GaN homoepitaxial layers are correlated with step-bunching while conditions that suppress carbon produce bilayer stepped morphologies. These observations lead us to the conclusion that GaN homoepitaxial morphology is a competition between impurity induced step-bunching and a stabilizing diffusion bias due to a positive ES barrier. Application of the aforementioned homoepitaxial growth techniques to discrete substrate regions using selected- and confined area epitaxy (SAE,CAE) produces some

  6. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  7. Alignment control and atomically-scaled heteroepitaxial interface study of GaN nanowires.

    Science.gov (United States)

    Liu, Qingyun; Liu, Baodan; Yang, Wenjin; Yang, Bing; Zhang, Xinglai; Labbé, Christophe; Portier, Xavier; An, Vladimir; Jiang, Xin

    2017-04-20

    Well-aligned GaN nanowires are promising candidates for building high-performance optoelectronic nanodevices. In this work, we demonstrate the epitaxial growth of well-aligned GaN nanowires on a [0001]-oriented sapphire substrate in a simple catalyst-assisted chemical vapor deposition process and their alignment control. It is found that the ammonia flux plays a key role in dominating the initial nucleation of GaN nanocrystals and their orientation. Typically, significant improvement of the GaN nanowire alignment can be realized at a low NH 3 flow rate. X-ray diffraction and cross-sectional scanning electron microscopy studies further verified the preferential orientation of GaN nanowires along the [0001] direction. The growth mechanism of GaN nanowire arrays is also well studied based on cross-sectional high-resolution transmission electron microscopy (HRTEM) characterization and it is observed that GaN nanowires have good epitaxial growth on the sapphire substrate following the crystallographic relationship between (0001) GaN ∥(0001) sapphire and (101[combining macron]0) GaN ∥(112[combining macron]0) sapphire . Most importantly, periodic misfit dislocations are also experimentally observed in the interface region due to the large lattice mismatch between the GaN nanowire and the sapphire substrate, and the formation of such dislocations will favor the release of structural strain in GaN nanowires. HRTEM analysis also finds the existence of "type I" stacking faults and voids inside the GaN nanowires. Optical investigation suggests that the GaN nanowire arrays have strong emission in the UV range, suggesting their crystalline nature and chemical purity. The achievement of aligned GaN nanowires will further promote the wide applications of GaN nanostructures toward diverse high-performance optoelectronic nanodevices including nano-LEDs, photovoltaic cells, photodetectors etc.

  8. Oxygen pressure-tuned epitaxy and magnetic properties of magnetite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Junran [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Liu, Wenqing [York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom); Zhang, Minhao; Zhang, Xiaoqian; Niu, Wei; Gao, Ming [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Wang, Xuefeng, E-mail: xfwang@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Du, Jun [School of Physics, Nanjing University, Nanjing 210093 (China); Zhang, Rong [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); Xu, Yongbing, E-mail: ybxu@nju.edu.cn [Jiangsu Provincial Key Laboratory of Advanced Photonic and Electronic Materials, Jiangsu Provincial Key Laboratory for Nanotechnology, Collaborative Innovation Center of Advanced Microstructures, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China); York-Nanjing Joint Centre (YNJC) for Spintronics and Nanoengineering, Department of Electronics, The University of York, YO10 3DD (United Kingdom)

    2017-06-15

    Highlights: • Quasi-2D Fe{sub 3}O{sub 4} films were obtained by PLD. • RHEED under different oxygen pressure were observed. • Influence of oxygen pressure on Fe{sub 3}O{sub 4} films were investigated. • Epitaxy and magnetic properties were tuned by oxygen pressure. • The ratio of Fe{sup 2+}/Fe{sup 3+} fitted by XPS is the tuned factor of M{sub s}. - Abstract: Quasi-two-dimensional magnetite epitaxial thin films have been synthesized by pulsed laser deposition technique at various oxygen pressures. The saturation magnetizations of the magnetite films were found to decrease from 425 emu/cm{sup 3}, which is close to the bulk value, to 175 emu/cm{sup 3} as the growth atmospheres varying from high vacuum (∼1 × 10{sup −8} mbar) to oxygen pressure of 1 × 10{sup −3} mbar. The ratio of the Fe{sup 3+} to Fe{sup 2+} increases from 2 to 2.7 as oxygen pressure increasing shown by XPS fitting, which weakens the net magnetic moment generated by Fe{sup 2+} at octahedral sites as the spins of the Fe{sup 3+} ions at octahedral and tetrahedral sites are aligned in antiparallel. The results offer direct experimental evidence of the influence to the Fe{sup 3+}/Fe{sup 2+} ratio and the magnetic moment in magnetite epitaxy films by oxygen pressure, which is significant for spintronic applications.

  9. Dewetting of Epitaxial Silver Film on Silicon by Thermal Annealing

    Science.gov (United States)

    Sanders, Charlotte E.; Kellogg, Gary L.; Shih, C.-K.

    2013-03-01

    It has been shown that noble metals can grow epitaxially on semiconducting and insulating substrates, despite being a non-wetting system: low temperature deposition followed by room temperature annealing leads to atomically flat film morphology. However, the resulting metastable films are vulnerable to dewetting, which has limited their utility for applications under ambient conditions. The physics of this dewetting is of great interest but little explored. We report on an investigation of the dewetting of epitaxial Ag(111) films on Si(111) and (100). Low energy electron microscopy (LEEM) shows intriguing evolution in film morphology and crystallinity, even at temperatures below 100oC. On the basis of these findings, we can begin to draw compelling inferences about film-substrate interaction and the kinetics of dewetting. Financial support is from NSF, DGE-0549417 and DMR-0906025. This work was performed, in part, at the Center for Integrated Nanotechnologies, User Facility operated for the U.S. DOE Office of Science. Sandia National Lab is managed and operated by Sandia Corp., a subsidiary of Lockheed Martin Corp., for the U.S. DOE's National Nuclear Security Administration under DE-AC04-94AL85000.

  10. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  11. Stress relaxed nanoepitaxy GaN for growth of phosphor-free indium-rich nanostructures incorporated in apple-white LEDs

    Energy Technology Data Exchange (ETDEWEB)

    Soh, C.B.; Liu, W.; Ang, N.S.S.; Yong, A.M.; Lai, S.C.; Teng, J.H. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Chua, S.J. [Institute of Materials Research and Engineering, Agency for Science, Technology and Research, 3 Research Link, Singapore 117602 (Singapore); Singapore-MIT Alliance, 4 Engineering Drive 3, Singapore 117576 (Singapore)

    2010-06-15

    Phosphor-free apple-white light emitting diodes (LEDs) have been fabricated using dual stacked InGaN/GaN multiple quantum wells (MQWs) comprising a lower set of long wavelength emitting indium rich nanostructures incorporated in MQWs with an upper set of cyan-green emitting MQWs. The LEDs were grown on nano-epitaxial lateral overgrown (ELO) GaN template formed by regrowth of GaN over SiO{sub 2} film patterned using an anodic alumina oxide mask with holes of {proportional_to}125 nm diameter and a period of 250 nm. The MQWs grown on the nano-ELO GaN templates show stronger photoluminescence intensity and a higher activation energy for their peak emission. A minimal shift in the electroluminescence (EL) spectra with higher injection current applied for LEDs grown on ELO-GaN compared to conventional GaN template, suggests a reduction in strain of the quantum well layers on the nano-ELO GaN template. An enhancement in the light extraction efficiency is also achieved with multiple scattering via the embedded SiO{sub 2} mask. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  12. Electronic and optical device applications of hollow cathode plasma assisted atomic layer deposition based GaN thin films

    International Nuclear Information System (INIS)

    Bolat, Sami; Tekcan, Burak; Ozgit-Akgun, Cagla; Biyikli, Necmi; Okyay, Ali Kemal

    2015-01-01

    Electronic and optoelectronic devices, namely, thin film transistors (TFTs) and metal–semiconductor–metal (MSM) photodetectors, based on GaN films grown by hollow cathode plasma-assisted atomic layer deposition (PA-ALD) are demonstrated. Resistivity of GaN thin films and metal-GaN contact resistance are investigated as a function of annealing temperature. Effect of the plasma gas and postmetallization annealing on the performances of the TFTs as well as the effect of the annealing on the performance of MSM photodetectors are studied. Dark current to voltage and responsivity behavior of MSM devices are investigated as well. TFTs with the N 2 /H 2 PA-ALD based GaN channels are observed to have improved stability and transfer characteristics with respect to NH 3 PA-ALD based transistors. Dark current of the MSM photodetectors is suppressed strongly after high-temperature annealing in N 2 :H 2 ambient

  13. Quasi van der Waals epitaxy of copper thin film on single-crystal graphene monolayer buffer

    Science.gov (United States)

    Lu, Zonghuan; Sun, Xin; Washington, Morris A.; Lu, Toh-Ming

    2018-03-01

    Quasi van der Waals epitaxial growth of face-centered cubic Cu (~100 nm) thin films on single-crystal monolayer graphene is demonstrated using thermal evaporation at an elevated substrate temperature of 250 °C. The single-crystal graphene was transferred to amorphous (glass) and crystalline (quartz) SiO2 substrates for epitaxy study. Raman analysis showed that the thermal evaporation method had minimal damage to the graphene lattice during the Cu deposition. X-ray diffraction and electron backscatter diffraction analyses revealed that both Cu films are single-crystal with (1 1 1) out-of-plane orientation and in-plane Σ3 twin domains of 60° rotation. The crystallinity of the SiO2 substrates has a negligible effect on the Cu crystal orientation during the epitaxial growth, implying the strong screening effect of graphene. We also demonstrate the epitaxial growth of polycrystalline Cu on a commercial polycrystalline monolayer graphene consisting of two orientation domains offset 30° to each other. It confirms that the crystal orientation of the epitaxial Cu film follows that of graphene, i.e. the Cu film consists of two orientation domains offset 30° to each other when deposited on polycrystalline graphene. Finally, on the contrary to the report in the literature, we show that the direct current and radio frequency flip sputtering method causes significant damage to the graphene lattice during the Cu deposition process, and therefore neither is a suitable method for Cu epitaxial growth on graphene.

  14. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Higuchi, Jumpei, E-mail: higuchi@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Sato, Yoichi [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2011-09-30

    NiFe epitaxial films are prepared on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211){sub bcc} and Cr(100){sub bcc} underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  15. NiFe epitaxial films with hcp and fcc structures prepared on bcc-Cr underlayers

    International Nuclear Information System (INIS)

    Higuchi, Jumpei; Ohtake, Mitsuru; Sato, Yoichi; Kirino, Fumiyoshi; Futamoto, Masaaki

    2011-01-01

    NiFe epitaxial films are prepared on Cr(211) bcc and Cr(100) bcc underlayers grown hetero-epitaxially on MgO single-crystal substrates by ultra-high vacuum rf magnetron sputtering. The film growth behavior and the crystallographic properties are studied by reflection high energy electron diffraction and pole figure X-ray diffraction. Metastable hcp-NiFe(11-bar 00) and hcp-NiFe(112-bar 0) crystals respectively nucleate on Cr(211) bcc and Cr(100) bcc underlayers, where the hcp-NiFe crystals are stabilized through hetero-epitaxial growth. The hcp-NiFe(11-bar 00) crystal is a single-crystal with the c-axis parallel to the substrate surface, whereas the hcp-NiFe(112-bar 0) crystal is a bi-crystal with the respective c-axes lying in plane and perpendicular each other. With increasing the film thickness, the hcp structure in the NiFe films starts to transform into more stable fcc structure by atomic displacement parallel to the hcp(0001) close packed plane. The resulting films consist of hcp and fcc crystals.

  16. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  17. Optical investigation of strain in Si-doped GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-06-25

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E{sub 2} mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5{times}10{sup 18}cm{sup {minus}3} both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. {copyright} 2001 American Institute of Physics.

  18. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  19. Effect of annealing on metastable shallow acceptors in Mg-doped GaN layers grown on GaN substrates

    OpenAIRE

    Pozina, Galia; Hemmingsson, Carl; Paskov, Plamen P.; Bergman, Peder; Monemar, Bo; Kawashima, T.; Amano, H.; Akasaki, I.; Usui, A.

    2008-01-01

    Mg-doped GaN layers grown by metal-organic vapor phase epitaxy on GaN substrates produced by the halide vapor phase technique demonstrate metastability of the near-band-gap photoluminescence (PL). The acceptor bound exciton (ABE) line possibly related to the C acceptor vanishes in as-grown samples within a few minutes under UV laser illumination. Annealing activates the more stable Mg acceptors and passivates C acceptors. Consequently, only the ABE line related to Mg is dominant in PL spectra...

  20. GaN Nanowire Arrays for High-Output Nanogenerators

    KAUST Repository

    Huang, Chi-Te

    2010-04-07

    Three-fold symmetrically distributed GaN nanowire (NW) arrays have been epitaxially grown on GaN/sapphire substrates. The GaN NW possesses a triangular cross section enclosed by (0001), (2112), and (2112) planes, and the angle between the GaN NW and the substrate surface is ∼62°. The GaN NW arrays produce negative output voltage pulses when scanned by a conductive atomic force microscope in contact mode. The average of piezoelectric output voltage was about -20 mV, while 5-10% of the NWs had piezoelectric output voltages exceeding -(0.15-0.35) V. The GaN NW arrays are highly stable and highly tolerate to moisture in the atmosphere. The GaN NW arrays demonstrate an outstanding potential to be utilized for piezoelectric energy generation with a performance probably better than that of ZnO NWs. © 2010 American Chemical Society.

  1. Thin film evolution equations from (evaporating) dewetting liquid layers to epitaxial growth

    International Nuclear Information System (INIS)

    Thiele, U

    2010-01-01

    In the present contribution we review basic mathematical results for three physical systems involving self-organizing solid or liquid films at solid surfaces. The films may undergo a structuring process by dewetting, evaporation/condensation or epitaxial growth, respectively. We highlight similarities and differences of the three systems based on the observation that in certain limits all of them may be described using models of similar form, i.e. time evolution equations for the film thickness profile. Those equations represent gradient dynamics characterized by mobility functions and an underlying energy functional. Two basic steps of mathematical analysis are used to compare the different systems. First, we discuss the linear stability of homogeneous steady states, i.e. flat films, and second the systematics of non-trivial steady states, i.e. drop/hole states for dewetting films and quantum-dot states in epitaxial growth, respectively. Our aim is to illustrate that the underlying solution structure might be very complex as in the case of epitaxial growth but can be better understood when comparing the much simpler results for the dewetting liquid film. We furthermore show that the numerical continuation techniques employed can shed some light on this structure in a more convenient way than time-stepping methods. Finally we discuss that the usage of the employed general formulation does not only relate seemingly unrelated physical systems mathematically, but does allow as well for discussing model extensions in a more unified way.

  2. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  3. Microstructure and magnetic properties of FeCo epitaxial thin films grown on MgO single-crystal substrates

    International Nuclear Information System (INIS)

    Shikada, Kouhei; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    FeCo epitaxial films were prepared on MgO(100), MgO(110), and MgO(111) substrates by ultrahigh vacuum molecular beam epitaxy. FeCo thin films with (100), (211), and (110) planes parallel to the substrate surface grow on respective MgO substrates. FeCo/MgO interface structures are studied by high-resolution cross-sectional transmission electron microscopy and the epitaxial growth mechanism is discussed. Atomically sharp boundaries are recognized between the FeCo thin films and the MgO substrates where misfit dislocations are introduced in the FeCo thin films presumably to decrease the lattice misfits. Misfit dislocations are observed approximately every 9 and 1.4 nm in FeCo thin film at the FeCo/MgO(100) and the FeCo/MgO(110) interfaces, respectively. X-ray diffraction analysis indicates that the lattice spacing measured parallel to the single-crystal substrate surfaces are in agreement within 0.1% with those of the respective bulk values of Fe 50 Co 50 alloy crystal, showing that the FeCo film strain is very small. The magnetic anisotropies of these epitaxial films basically reflect the magnetocrystalline anisotropy of bulk FeCo alloy crystal

  4. Barrier inhomogeneities limited current and 1/f noise transport in GaN based nanoscale Schottky barrier diodes

    Science.gov (United States)

    Kumar, Ashutosh; Heilmann, M.; Latzel, Michael; Kapoor, Raman; Sharma, Intu; Göbelt, M.; Christiansen, Silke H.; Kumar, Vikram; Singh, Rajendra

    2016-01-01

    The electrical behaviour of Schottky barrier diodes realized on vertically standing individual GaN nanorods and array of nanorods is investigated. The Schottky diodes on individual nanorod show highest barrier height in comparison with large area diodes on nanorods array and epitaxial film which is in contrast with previously published work. The discrepancy between the electrical behaviour of nanoscale Schottky diodes and large area diodes is explained using cathodoluminescence measurements, surface potential analysis using Kelvin probe force microscopy and 1ow frequency noise measurements. The noise measurements on large area diodes on nanorods array and epitaxial film suggest the presence of barrier inhomogeneities at the metal/semiconductor interface which deviate the noise spectra from Lorentzian to 1/f type. These barrier inhomogeneities in large area diodes resulted in reduced barrier height whereas due to the limited role of barrier inhomogeneities in individual nanorod based Schottky diode, a higher barrier height is obtained. PMID:27282258

  5. Thermal Annealing induced relaxation of compressive strain in porous GaN structures

    KAUST Repository

    Ben Slimane, Ahmed

    2012-01-01

    The effect of annealing on strain relaxation in porous GaN fabricated using electroless chemical etching is presented. The Raman shift of 1 cm-1 in phonon frequency of annealed porous GaN with respect to as-grown GaN corresponds to a relaxation of compressive strain by 0.41 ± 0.04 GPa. The strain relief promises a marked reduction in threading dislocation for subsequent epitaxial growth.

  6. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    International Nuclear Information System (INIS)

    Krockenberger, Y.

    2006-01-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  7. Epitaxial thin film growth and properties of unconventional oxide superconductors. Cuprates and cobaltates

    Energy Technology Data Exchange (ETDEWEB)

    Krockenberger, Y.

    2006-07-01

    The discovery of high-temperature superconductors has strongly driven the development of suited thin film fabrication methods of complex oxides. One way is the adaptation of molecular beam epitaxy (MBE) for the growth of oxide materials. Another approach is the use of pulsed laser deposition (PLD) which has the advantage of good stoichiometry transfer from target to the substrate. Both techniques are used within this thesis. Epitaxial thin films of new materials are of course needed for future applications. In addition, the controlled synthesis of thin film matter which can be formed far away from thermal equilibrium allows for the investigation of fundamental physical materials properties. (orig.)

  8. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  9. van der Waals epitaxy of SnS film on single crystal graphene buffer layer on amorphous SiO2/Si

    Science.gov (United States)

    Xiang, Yu; Yang, Yunbo; Guo, Fawen; Sun, Xin; Lu, Zonghuan; Mohanty, Dibyajyoti; Bhat, Ishwara; Washington, Morris; Lu, Toh-Ming; Wang, Gwo-Ching

    2018-03-01

    Conventional hetero-epitaxial films are typically grown on lattice and symmetry matched single crystal substrates. We demonstrated the epitaxial growth of orthorhombic SnS film (∼500 nm thick) on single crystal, monolayer graphene that was transferred on the amorphous SiO2/Si substrate. Using X-ray pole figure analysis we examined the structure, quality and epitaxy relationship of the SnS film grown on the single crystal graphene and compared it with the SnS film grown on commercial polycrystalline graphene. We showed that the SnS films grown on both single crystal and polycrystalline graphene have two sets of orientation domains. However, the crystallinity and grain size of the SnS film improve when grown on the single crystal graphene. Reflection high-energy electron diffraction measurements show that the near surface texture has more phases as compared with that of the entire film. The surface texture of a film will influence the growth and quality of film grown on top of it as well as the interface formed. Our result offers an alternative approach to grow a hetero-epitaxial film on an amorphous substrate through a single crystal graphene buffer layer. This strategy of growing high quality epitaxial thin film has potential applications in optoelectronics.

  10. Mg doping of GaN grown by plasma-assisted molecular beam epitaxy under nitrogen-rich conditions

    International Nuclear Information System (INIS)

    Zhang Meng; Bhattacharya, Pallab; Guo Wei; Banerjee, Animesh

    2010-01-01

    Acceptor doping of GaN with Mg during plasma-assisted molecular beam epitaxy, under N-rich conditions and a relatively high growth temperature of 740 deg. C, was investigated. The p-doping level steadily increases with increasing Mg flux. The highest doping level achieved, determined from Hall measurements, is 2.1x10 18 cm -3 . The corresponding doping efficiency and hole mobility are ∼4.9% and 3.7 cm 2 /V s at room temperature. Cross-sectional transmission electron microscopy and photoluminescence measurements confirm good crystalline and optical quality of the Mg-doped layers. An InGaN/GaN quantum dot light emitting diode (λ peak =529 nm) with p-GaN contact layers grown under N-rich condition exhibits a low series resistance of 9.8 Ω.

  11. The impact of SiC substrate treatment on the heteroepitaxial growth of GaN by plasma assisted MBE

    Energy Technology Data Exchange (ETDEWEB)

    Brown, A.S.; Kim, T.H.; Choi, S.; Morse, M.; Wu, P. [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States); Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, and INSTM via Orabona 4 -70126, Bari (Italy)

    2005-11-01

    We report on the impact of the preparation of the Si-face 4H-SiC(0001){sub Si} substrate using a Ga flash-off process on the epitaxial growth of GaN by plasma-assisted molecular beam epitaxy. The nucleation, as well as the resultant structural and morphological properties of GaN grown directly on 4H-SiC(0001){sub Si} are strongly influenced by the chemical and morphological modifications of the SiC surface induced by the Ga flash-off process. Herein we describe the impact of the specific concentration of Ga incident on the surface (quantified in terms of monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The residual oxygen at the SiC surface, unintentional SiC nitridation and the formation of cubic GaN grains during the initial nucleation stage, are all reduced when a 2 ML Ga flash is used. All of the above factors result in structural improvement of the GaN epitaxial layers. The correlation between the SiC surface modification, the initial nucleation stage, and the GaN epitaxial layer structural quality has been articulated using x-ray photoelectron spectroscopy, X-ray diffraction, atomic force microscopy and spectroscopic ellipsometry data. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Synchrotron X-ray studies of epitaxial ferroelectric thin films and nanostructures

    Science.gov (United States)

    Klug, Jeffrey A.

    The study of ferroelectric thin films is a field of considerable scientific and technological interest. In this dissertation synchrotron x-ray techniques were applied to examine the effects of lateral confinement and epitaxial strain in ferroelectric thin films and nanostructures. Three materials systems were investigated: laterally confined epitaxial BiFeO3 nanostructures on SrTiO3 (001), ultra-thin commensurate SrTiO 3 films on Si (001), and coherently strained films of BaTiO3 on DyScO3 (110). Epitaxial films of BiFeO3 were deposited by radio frequency magnetron sputtering on SrRuO3 coated SrTiO 3 (001) substrates. Laterally confined nanostructures were fabricated using focused ion-beam processing and subsequently characterized with focused beam x-ray nanodiffraction measurements with unprecedented spatial resolution. Results from a series of rectangular nanostructures with lateral dimensions between 500 nm and 1 mum and a comparably-sized region of the unpatterned BiFeO3 film revealed qualitatively similar distributions of local strain and lattice rotation with a 2-3 times larger magnitude of variation observed in those of the nanostructures compared to the unpatterned film. This indicates that lateral confinement leads to enhanced variation in the local strain and lattice rotation fields in epitaxial BiFeO3 nanostructures. A commensurate 2 nm thick film of SrTiO3 on Si was characterized by the x-ray standing wave (XSW) technique to determine the Sr and Ti cation positions in the strained unit cell in order to verify strain-induced ferroelectricity in SrTiO3/Si. A Si (004) XSW measurement at 10°C indicated that the average Ti displacement from the midpoint between Sr planes was consistent in magnitude to that predicted by a density functional theory (DFT) calculated ferroelectric structure. The Ti displacement determined from a 35°C measurement better matched a DFT-predicted nonpolar structure. The thin film extension of the XSW technique was employed to

  13. Polarity in GaN and ZnO: Theory, measurement, growth, and devices

    Science.gov (United States)

    Zúñiga-Pérez, Jesús; Consonni, Vincent; Lymperakis, Liverios; Kong, Xiang; Trampert, Achim; Fernández-Garrido, Sergio; Brandt, Oliver; Renevier, Hubert; Keller, Stacia; Hestroffer, Karine; Wagner, Markus R.; Reparaz, Juan Sebastián; Akyol, Fatih; Rajan, Siddharth; Rennesson, Stéphanie; Palacios, Tomás; Feuillet, Guy

    2016-12-01

    The polar nature of the wurtzite crystalline structure of GaN and ZnO results in the existence of a spontaneous electric polarization within these materials and their associated alloys (Ga,Al,In)N and (Zn,Mg,Cd)O. The polarity has also important consequences on the stability of the different crystallographic surfaces, and this becomes especially important when considering epitaxial growth. Furthermore, the internal polarization fields may adversely affect the properties of optoelectronic devices but is also used as a potential advantage for advanced electronic devices. In this article, polarity-related issues in GaN and ZnO are reviewed, going from theoretical considerations to electronic and optoelectronic devices, through thin film, and nanostructure growth. The necessary theoretical background is first introduced and the stability of the cation and anion polarity surfaces is discussed. For assessing the polarity, one has to make use of specific characterization methods, which are described in detail. Subsequently, the nucleation and growth mechanisms of thin films and nanostructures, including nanowires, are presented, reviewing the specific growth conditions that allow controlling the polarity of such objects. Eventually, the demonstrated and/or expected effects of polarity on the properties and performances of optoelectronic and electronic devices are reported. The present review is intended to yield an in-depth view of some of the hot topics related to polarity in GaN and ZnO, a fast growing subject over the last decade.

  14. Synthesis and characteristics of sword-like GaN nanorods clusters through ammoniating Ga2O3 thin films

    International Nuclear Information System (INIS)

    Xue Chengshane; Tian Deheng; Zhuang Huizhao; Zhang Xiaokai; Wu Yuxin; Liu Yi'an; He Jianting; Ai Yujie

    2006-01-01

    Sword-like GaN nanorods have been successfully synthesized by ammoniating Ga 2 O 3 thin films deposited on Si substrate by magnetron sputtering. The GaN nanorods have been characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM) and selected area electron diffraction (SAED). SEM images show that sword-like GaN nanorods take on radial structure. The XRD and SAED analyses have identified that the nanorods are pure hexagonal GaN with single crystalline wurtzite structure. The HRTEM images indicate that the nanorods are well crystallized and nearly free from defects

  15. Magnetic Field Enhanced Superconductivity in Epitaxial Thin Film WTe2.

    Science.gov (United States)

    Asaba, Tomoya; Wang, Yongjie; Li, Gang; Xiang, Ziji; Tinsman, Colin; Chen, Lu; Zhou, Shangnan; Zhao, Songrui; Laleyan, David; Li, Yi; Mi, Zetian; Li, Lu

    2018-04-25

    In conventional superconductors an external magnetic field generally suppresses superconductivity. This results from a simple thermodynamic competition of the superconducting and magnetic free energies. In this study, we report the unconventional features in the superconducting epitaxial thin film tungsten telluride (WTe 2 ). Measuring the electrical transport properties of Molecular Beam Epitaxy (MBE) grown WTe 2 thin films with a high precision rotation stage, we map the upper critical field H c2 at different temperatures T. We observe the superconducting transition temperature T c is enhanced by in-plane magnetic fields. The upper critical field H c2 is observed to establish an unconventional non-monotonic dependence on temperature. We suggest that this unconventional feature is due to the lifting of inversion symmetry, which leads to the enhancement of H c2 in Ising superconductors.

  16. Polarization Raman spectroscopy of GaN nanorod bundles

    International Nuclear Information System (INIS)

    Tite, T.; Lee, C. J.; Chang, Y.-M.

    2010-01-01

    We performed polarization Raman spectroscopy on single wurtzite GaN nanorod bundles grown by plasma-assisted molecular beam epitaxy. The obtained Raman spectra were compared with those of GaN epilayer. The spectral difference between the GaN nanorod bundles and epilayer reveals the relaxation of Raman selection rules in these GaN nanorod bundles. The deviation of polarization-dependent Raman spectroscopy from the prediction of Raman selection rules is attributed to both the orientation of the crystal axis with respect to the polarization vectors of incident and scattered light and the structural defects in the merging boundary of GaN nanorods. The presence of high defect density induced by local strain at the merging boundary was further confirmed by transmission electron microscopy. The averaged defect interspacing was estimated to be around 3 nm based on the spatial correlation model.

  17. Chemically stabilized epitaxial wurtzite-BN thin film

    Science.gov (United States)

    Vishal, Badri; Singh, Rajendra; Chaturvedi, Abhishek; Sharma, Ankit; Sreedhara, M. B.; Sahu, Rajib; Bhat, Usha; Ramamurty, Upadrasta; Datta, Ranjan

    2018-03-01

    We report on the chemically stabilized epitaxial w-BN thin film grown on c-plane sapphire by pulsed laser deposition under slow kinetic condition. Traces of no other allotropes such as cubic (c) or hexagonal (h) BN phases are present. Sapphire substrate plays a significant role in stabilizing the metastable w-BN from h-BN target under unusual PLD growth condition involving low temperature and pressure and is explained based on density functional theory calculation. The hardness and the elastic modulus of the w-BN film are 37 & 339 GPa, respectively measured by indentation along direction. The results are extremely promising in advancing the microelectronic and mechanical tooling industry.

  18. Plasma-assisted molecular beam epitaxy of (11-22)-oriented 3-nitrides

    International Nuclear Information System (INIS)

    Lahourcade, L.

    2009-10-01

    This work reports on the molecular-beam epitaxial growth of (1122)-oriented semi-polar nitride semiconductors using m-sapphire substrates. The (1122) crystallographic orientation is predefined by AlN deposition on m-sapphire under N excess. On top of this AlN buffer layer, undoped or Si-doped two-dimensional GaN(1122) films are formed under Ga-rich conditions, with a stabilized Ga-excess ad-layer of about 1.05±0.10 ML. In contrast, Mg tends to segregate on the GaN surface, inhibiting the self-regulated Ga excess film. Nevertheless, uniform Mg incorporation can be obtained, and p-type conductivity was achieved. GaN/AlN quantum wells are synthesized by deposition of the binary compounds under the above-described conditions. In the case of GaN/AlN quantum dots, the three-dimensional transition is induced by a growth interruption under vacuum. The reduction of the internal electric field in GaN/AlN nano-structures is confirmed by the blue shift of the photoluminescence spectrum and by the short photoluminescence decay times measured at low temperature. These results are consistent with theoretical calculations of the electronic structure. (author)

  19. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  20. X-ray absorption and emission study of amorphous and nanocrystalline GaN films containing buried N2

    International Nuclear Information System (INIS)

    Ruck, B.J.; Koo, A.; Budde, F.; Granville, S.; Trodahl, H.J.

    2004-01-01

    Full text: It has been predicted that amorphous gallium nitride (a-GaN) may possess a well-defined wide band gap, and is thus a potential substitute for the more expensive crystalline form used in short wavelength optoelectronic devices. Experimental investigations of disordered GaN have lent support to this prediction, but the picture is complicated because the properties of the amorphous state are not unique, and instead depend on the exact nature of the disordered structure. We have pioneered a novel ion-assisted growth technique that produces GaN films with a microstructure that ranges from nanocrystalline, with crystallite size of order 3 nm, to fully amorphous, depending on the exact growth conditions. This presentation will give an overview of our research into the properties of disordered GaN, including characterization of the physical structure of the films and their electronic energy levels, and also their photoconductive response. In particular I will focus on synchrotron radiation studies of samples with a range of different microstructures. X-ray absorption spectroscopy (XAS) and x-ray emission spectroscopy (XES) provide particularly powerful tools for examining a sample's empty and filled electronic energy levels, respectively. The details of the absorption and emission processes make it possible to obtain atom-specific information and to investigate the symmetry of the electronic levels. An example of the information obtained is shown. The thin solid curve shows XAS data, which is a measure of the nitrogen /7-projected density of unfilled electronic states in this nanocrystalline GaN sample. The thick solid curve shows XES data from the same sample, which provides complementary information about the occupied valence band states. Although the spectral features are broader in fully amorphous films than in nanocrystalline samples, a well-defined band gap exists in both cases with magnitude similar to that of crystalline GaN. There are additional feature

  1. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Perret, Edith [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; University of Fribourg, Department of Physics and Fribourg Center for Nanomaterials, Chemin du Musée 3, CH-1700 Fribourg, Switzerland; Xu, Dongwei [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Highland, M. J. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Stephenson, G. B. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Zapol, P. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Fuoss, P. H. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Munkholm, A. [Munkholm Consulting, Mountain View, California 94043, USA; Thompson, Carol [Department of Physics, Northern Illinois University, DeKalb, Illinois 60115, USA

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.

  2. Structural characterization of metastable hcp-Ni thin films epitaxially grown on Au(100) single-crystal underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Ni(1120) epitaxial thin films with hcp structure were prepared on Au(100) single-crystal underlayers at 100 deg. C by ultra high vacuum molecular beam epitaxy. The detailed film structure is studied by in situ reflection high energy electron diffraction, x-ray diffraction, and transmission electron microscopy. The hcp-Ni film consists of two types of variants whose c-axes are rotated around the film normal by 90 deg. each other. An atomically sharp boundary is recognized between the film and the underlayer, where misfit dislocations are introduced. Presence of such dislocations seems to relieve the strain caused by the lattice mismatch between the film and the underlayer.

  3. Doping of GaN by ion implantation: Does It Work?

    International Nuclear Information System (INIS)

    Suvkhanov, A.; Wu, W.; Price, K.; Parikh, N.; Irene, E.; Hunn, J.; Thomson, D.; Davis, R.F.; Krasnobaev, L.

    1998-04-01

    Epitaxially grown GaN by metal organic chemical vapor deposition (MOCVD) on SiC were implanted with 100 keV Si + (for n-type) and 80 keV Mg + (for p-type) with various fluences from 1 x 10 12 to 7 x 10 15 ions/cm 2 at liquid nitrogen temperature (LT), room temperature (RT), and 700 C (HT). High temperature (1,200 C and 1,500 C) annealing was carried out after capping the GaN with epitaxial AlN by MOCVD to study damage recovery. Samples were capped by a layer of AlN in order to protect the GaN surface during annealing. Effects of implant temperature, damage and dopant activation are critically studied to evaluate a role of ion implantation in doping of GaN. The damage was studied by Rutherford Backscattering/Channeling, spectroscopic ellipsometry and photoluminescence. Results show dependence of radiation damage level on temperature of the substrate during implantation: implantations at elevated temperatures up to 550 C decrease the lattice disorder; hot implants above 550 C can not be useful in doping of GaN due to nitrogen loss from the surface. SE measurements have indicated very high sensitivity to the implantation damage. PL measurements at LT of 80 keV Mg + (5 x 10 14 cm 2 ) implanted and annealed GaN showed two peaks: one ∼ 100 meV and another ∼ 140 meV away from the band edge

  4. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  5. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya

    2017-05-10

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  6. Liquid phase epitaxial growth of heterostructured hierarchical MOF thin films

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Spanopoulos, Ioannis; Trikalitis, Pantelis N.; Eddaoudi, Mohamed

    2017-01-01

    Precise control of epitaxial growth of MOF-on-MOF thin films, for ordered hierarchical tbo-type structures is demonstrated. The heterostructured MOF thin film was fabricated by successful sequential deposition of layers from two different MOFs. The 2-periodic layers, edge-transitive 4,4-square lattices regarded as supermolecular building layers, were commendably cross-linked using a combination of inorganic/organic and organic pillars.

  7. Epitaxial Gd2O3 on GaN and AlGaN: a potential candidate for metal oxide semiconductor based transistors on Si for high power application

    Science.gov (United States)

    Ghosh, Kankat; Das, S.; Khiangte, K. R.; Choudhury, N.; Laha, Apurba

    2017-11-01

    We report structural and electrical properties of hexagonal Gd2O3 grown epitaxially on GaN/Si (1 1 1) and AlGaN/GaN/Si(1 1 1) virtual substrates. GaN and AlGaN/GaN heterostructures were grown on Si(1 1 1) substrates by plasma assisted molecular beam epitaxy (PA-MBE), whereas the Gd2O3 layer was grown by the pulsed laser ablation (PLA) technique. Initial structural characterizations show that Gd2O3 grown on III-nitride layers by PLA, exhibit a hexagonal structure with an epitaxial relationship as {{≤ft[ 0 0 0 1 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 0 0 0 1 \\right]}GaN} and {{≤ft[ 1 \\bar{1} 0 0 \\right]}G{{d2}{{O}3}}}||{{≤ft[ 1 \\bar{1} 0 0 \\right]}GaN} . X-ray photoelectron measurements of the valence bands revealed that Gd2O3 exhibits band offsets of 0.97 eV and 0.4 eV, for GaN and Al0.3Ga0.7N, respectively. Electrical measurements such as capacitance-voltage and leakage current characteristics further confirm that epi-Gd2O3 on III-nitrides could be a potential candidate for future metal-oxide-semiconductor (MOS)-based transistors also for high power applications in radio frequency range.

  8. Effect of III/V ratio on the polarity of AlN and GaN layers grown in the metal rich growth regime on Si(111) by plasma assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Agrawal, Manvi; Dharmarasu, Nethaji; Radhakrishnan, K.; Pramana, Stevin Snellius

    2015-01-01

    Wet chemical etching, reflection high energy electron diffraction, scanning electron microscope and convergent beam electron diffraction have been employed to study the polarities of AlN and the subsequently grown GaN as a function of metal flux in the metal rich growth regime. Both AlN and GaN exhibited metal polarity in the intermediate growth conditions. However, in the droplet growth regime, the polarity of AlN and GaN were N polar and Ga polar, respectively. It was observed that Ga polar GaN could be obtained on both Al and N polar AlN. AlGaN/GaN high electron mobility transistor (HEMT) heterostructure exhibiting hall mobility of 900 cm 2 V -1 s -1 and sheet carrier density of 1.2 × 10 13 cm -2 was demonstrated using N polar AlN which confirmed Ga polarity of GaN. Al metal flux was likely to play an important role in controlling the polarity of AlN and determining the polarity of the subsequent GaN grown on Si(111) by plasma assisted molecular beam epitaxy (PA-MBE). (author)

  9. Ferroelectric and piezoelectric properties of epitaxial PZT films and devices on silicon

    NARCIS (Netherlands)

    Nguyen, Duc Minh

    2010-01-01

    In this thesis, the integration of lead zirconate titanate Pb(Zr,Ti)O3 (PZT) thin films into piezoelectric microelectromechanical systems (MEMS) based on silicon is studied. In these structures, all epitaxial oxide layers (thin film/electrode/buffer-layer(s)) were deposited by pulsed laser

  10. Characterization of GaN/AlGaN epitaxial layers grown by ...

    Indian Academy of Sciences (India)

    GaN and AlGaN epitaxial layers are grown by a metalorganic chemical ... reported by introducing annealing of the GaN layer in nitrogen [5], Fe doping [6], .... [2] Y F Wu, S M Wood, R P Smith, S Sheppard, S T Allen, P Parikh and J Milligan,.

  11. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  12. Growth of Sr2CrReO6 epitaxial thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Orna, J.; Morellon, L.; Algarabel, P.A.; Pardo, J.A.; Magen, C.; Varela, M.; Pennycook, S.J.; De Teresa, J.M.; Ibarra, M.R.

    2010-01-01

    We report the growth, structural, magnetic, and electrical transport properties of epitaxial Sr 2 CrReO 6 thin films. We have succeeded in depositing films with a high crystallinity and a relatively large cationic order in a narrow window of growth parameters. The epitaxy relationship is Sr 2 CrReO 6 (SCRO) (0 0 1) [1 0 0]-parallel SrTiO 3 (STO) (0 0 1) [1 1 0] as determined by high-resolution X-ray diffraction and scanning transmission electron microscopy (STEM). Typical values of saturation magnetization of M S (300 K)=1 μ B /f.u. and ρ (300 K)=2.8 mΩ cm have been obtained in good agreement with previous published results in sputtered epitaxial thin films. We estimate that the antisite defects concentration in our thin films is of the order of 14%, and the measured Curie temperature is T C =481(2) K. We believe these materials be of interest as electrodes in spintronic devices.

  13. Influence of the carrier Gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN

    Energy Technology Data Exchange (ETDEWEB)

    Rozhavskaya, M. M., E-mail: MRozhavskaya@gmail.com; Lundin, V. V.; Zavarin, E. E.; Troshkov, S. I.; Brunkov, P. N.; Tsatsulnikov, A. F. [Russian Academy of Sciences, Ioffe Physical-Technical Institute (Russian Federation)

    2013-03-15

    The influence of the carrier gas, trimethylgallium flow, and growth time on the character of the selective epitaxy of GaN in stripe windows oriented along the crystallographic direction Left-Pointing-Angle-Bracket 11-bar00 Right-Pointing-Angle-Bracket GaN for various widths of the mask between the stripes is studied. It is shown that the addition of nitrogen in the reactor atmosphere leads to changes in the form of the stripes in the case of wide (40 {mu}m) mask from a rectangular form restricted by a {l_brace}1 1-bar20{r_brace} lateral face to a trapezoidal form restricted by a {l_brace}1 1-bar22{r_brace} lateral face. It is also shown that during growth in the nitrogen-hydrogen mixture, the gallium flow starts to considerably affect the form of the growing stripes. It is shown that the process is significantly unstable, which leads to a noticeable variation in the form type as the transverse section of the stripe increases.

  14. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.; Capellini, G. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); Niermann, T.; Lehmann, M. [Technische Universität Berlin, Institut für Optik und Atomare Physik, Straße des 17. Juni 135, 10623 Berlin (Germany); Thapa, S. B.; Haeberlen, M.; Storck, P. [SILTRONIC AG, Hanns-Seidel-Platz 4, 81737 München (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); BTU Cottbus, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  15. Preparation and structural characterization of FeCo epitaxial thin films on insulating single-crystal substrates

    International Nuclear Information System (INIS)

    Nishiyama, Tsutomu; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    FeCo epitaxial films were prepared on MgO(111), SrTiO 3 (111), and Al 2 O 3 (0001) single-crystal substrates by ultrahigh vacuum molecular beam epitaxy. The effects of insulating substrate material on the film growth process and the structures were investigated. FeCo(110) bcc films grow on MgO substrates with two type domains, Nishiyama-Wassermann (NW) and Kurdjumov-Sachs (KS) relationships. On the contrary, FeCo films grown on SrTiO 3 and Al 2 O 3 substrates include FeCo(111) bcc crystal in addition to the FeCo(110) bcc crystals with NW and KS relationships. The FeCo(111) bcc crystal consists of two type domains whose orientations are rotated around the film normal by 180 deg. each other. The out-of-plane and the in-plane lattice spacings of FeCo(110) bcc and FeCo(111) bcc crystals formed on the insulating substrates are in agreement with those of the bulk Fe 50 Co 50 (at. %) crystal with small errors ranging between +0.2% and +0.4%, showing that the strains in the epitaxial films are very small.

  16. Strain induced room temperature ferromagnetism in epitaxial magnesium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Zhenghe; Kim, Ki Wook [Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nori, Sudhakar; Lee, Yi-Fang; Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Kumar, D. [Department of Mechanical Engineering, North Carolina A & T State University, Greensboro, North Carolina 27411 (United States); Wu, Fan [Princeton Institute for the Science and Technology of Materials (PRISM), Princeton University, Princeton, New Jersey 08540 (United States); Prater, J. T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States)

    2015-10-28

    We report on the epitaxial growth and room-temperature ferromagnetic properties of MgO thin films deposited on hexagonal c-sapphire substrates by pulsed laser deposition. The epitaxial nature of the films has been confirmed by both θ-2θ and φ-scans of X-ray diffraction pattern. Even though bulk MgO is a nonmagnetic insulator, we have found that the MgO films exhibit ferromagnetism and hysteresis loops yielding a maximum saturation magnetization up to 17 emu/cc and large coercivity, H{sub c} = 1200 Oe. We have also found that the saturation magnetization gets enhanced and that the crystallization degraded with decreased growth temperature, suggesting that the origin of our magnetic coupling could be point defects manifested by the strain in the films. X-ray (θ-2θ) diffraction peak shift and strain analysis clearly support the presence of strain in films resulting from the presence of point defects. Based on careful investigations using secondary ion mass spectrometer and X-ray photoelectron spectroscopy studies, we have ruled out the possibility of the presence of any external magnetic impurities. We discuss the critical role of microstructural characteristics and associated strain on the physical properties of the MgO films and establish a correlation between defects and magnetic properties.

  17. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  18. Growth and characterization of InAlN layers nearly lattice-matched to GaN

    International Nuclear Information System (INIS)

    Manuel, J.M.; Morales, F.M.; Lozano, J.G.; Garcia, R.; Lim, T.; Kirste, L.; Aidam, R.; Ambacher, O.

    2011-01-01

    A set of InxAl1-xN films lattice-matched (LM) to GaN/sapphire substrates were grown by molecular beam epitaxy (MBE) and studied using X-ray diffraction and transmission electron microscopy with the aim of implementing barrier and channels in high electron mobility transistors (HEMTs). Although all InAlN epilayers grow pseudomorphic to GaN, two sublayers with different compositions formed when a direct deposition onto the bare GaN buffer was carried out. On the other hand, heterostructures having single-layered In∝0.18Al∝0.82N are achieved when a spacer consisting of an AlN interlayer or an AlN/GaN/AlN stack is placed between the InAlN and the buffer. These spacers not only yield a better compositional and structural homogeneity of the InAlN, but also improve electrical properties with respect to HEMT applications. Compared to one single AlN interlayer, the use of a triple AlN/GaN/AlN multilayer further improves the structural quality of the InAlN film (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  20. Influence of metallic surface states on electron affinity of epitaxial AlN films

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Advanced Materials and Devices Division, CSIR-National Physical Laboratory, Dr. K.S. Krishnan Marg, New Delhi110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2017-06-15

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6–1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2–3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  1. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  2. Optimum Er concentration for in situ doped GaN visible and infrared luminescence

    International Nuclear Information System (INIS)

    Lee, D. S.; Heikenfeld, J.; Steckl, A. J.; Hommerich, U.; Seo, J. T.; Braud, A.; Zavada, J.

    2001-01-01

    GaN thin films have been doped with varying Er concentrations (0.01--10 at.%) during molecular-beam-epitaxy growth. As expected, the visible and infrared (IR) emissions, from photoluminescence (PL) and electroluminescence (EL), are a strong function of Er concentration. We report on the determination of an optimum Er doping level for PL and EL intensity. Secondary ion mass spectroscopy and Rutherford backscattering measurements showed that the Er concentration in GaN increased exponentially with Er cell temperature. PL and EL intensity of green emission at 537 and 558 nm, due to Er 4f--4f inner shell transitions, exhibited a maximum at ∼1 at.% Er. IR PL intensity at 1.54 μm, due to another Er transition, revealed the same maximum for ∼1 at.% Er concentration. PL lifetime measurements at 537 nm showed that samples with Er concentration <1 at.% had a lifetime of ∼5 μs. For Er concentration ≥1 at.%, the lifetime decreased rapidly to values below 1 μs. This concentration quenching is believed to be due to a combination of Er cross relaxation and energy transfer to GaN defects, eventually followed by precipitation. This conclusion is supported by x-ray diffraction measurements. As a result, we have determined that the optimum Er doping concentration into GaN is ∼1 at.%. Copyright 2001 American Institute of Physics

  3. Understanding the Room Temperature Ferromagnetism in GaN Nanowires with Pd Doping

    International Nuclear Information System (INIS)

    Manna, S; De, S K

    2011-01-01

    We report the first synthesis and characterization of 4d transition metal palladium-doped GaN nanowires (NWs). Room temperature ferromagnetism has been observed in high quality Vapor Liquid Solid (VLS) epitaxy grown undoped n-type GaN nanowires. It was proposed that this type of magnetism is due to defects which are not observed in Bulk GaN because of large formation energy of defects in bulk GaN. Here we have successfully doped 4d transition metal Pd in GaN NWs. We find fairly strong and long-range ferromagnetic coupling between Pd substituted for Ga in GaN . The results suggest that 4d metals such as Pd may also be considered as candidates for ferromagnetic dopants in semiconductors.

  4. Defect analysis in GaN films of HEMT structure by cross-sectional cathodoluminescence

    Science.gov (United States)

    Isobe, Yasuhiro; Hung, Hung; Oasa, Kohei; Ono, Tasuku; Onizawa, Takashi; Yoshioka, Akira; Takada, Yoshiharu; Saito, Yasunobu; Sugiyama, Naoharu; Tsuda, Kunio; Sugiyama, Toru; Mizushima, Ichiro

    2017-06-01

    Defect analysis of GaN films in high electron mobility transistor (HEMT) structures by cross-sectional cathodoluminescence (X-CL) is demonstrated as a useful technique for improving the current collapse of GaN-HEMT devices, and the relationship between crystal quality and device characteristics is also investigated. The crystal quality of intrinsic-GaN (i-GaN) and carbon-doped GaN produced clearly different peak intensities of blue luminescence (BL), yellow luminescence (YL), and band-edge emission (BE), which is independently detected by X-CL. Current collapse in GaN-HEMT devices is found to be determined by the BL/BE and YL/BE ratios at the top of the i-GaN layer, which is close to the channel. Moreover, the i-GaN thickness required in order to minimize the BL/BE and YL/BE ratios and the thickness dependency of GaN for minimizing the BL/BE and YL/BE ratios depending on the growth conditions can be evaluated by X-CL. However, there is no correlation between current collapse in GaN-HEMT devices and the YL/BE ratio by conventional photoluminescence because HEMT devices consist of multiple GaN layers and the YL signal is detected from the carbon-doped GaN layer. Thus, the X-CL analysis method is a useful technique for device design in order to suppress current collapse.

  5. Microstructures of GaN1-xPx layers grown on (0001) GaN substrates by gas source molecular beam epitaxy

    Science.gov (United States)

    Seong, Tae-Yeon; Bae, In-Tae; Choi, Chel-Jong; Noh, D. Y.; Zhao, Y.; Tu, C. W.

    1999-03-01

    Transmission electron microscope (TEM), transmission electron diffraction (TED), and synchrotron x-ray diffraction (XRD) studies have been performed to investigate microstructural behavior of gas source molecular beam epitaxial GaN1-xPx layers grown on (0001) GaN/sapphire at temperatures (Tg) in the range 500-760 °C. TEM, TED, and XRD results indicate that the samples grown at Tg⩽600 °C undergo phase separation resulting in a mixture of GaN-rich and GaP-rich GaNP with zinc-blende structure. However, the samples grown at Tg⩾730 °C are found to be binary zinc-blende GaN(P) single crystalline materials. As for the 500 °C layer, the two phases are randomly oriented and distributed, whereas the 600 °C layer consists of phases that are elongated and inclined by 60°-70° clockwise from the [0001]α-GaN direction. The samples grown at Tg⩾730 °C are found to consist of two types of microdomains, namely, GaN(P)I and GaN(P)II; the former having twin relation to the latter.

  6. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    Science.gov (United States)

    Sandstrom, R. L.; Giess, E. A.; Gallagher, W. J.; Segmuller, A.; Cooper, E. I.

    1988-11-01

    It is demonstrated that lanthanum gallate (LaGaO3) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa2Cu3O(7-x), can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant and low dielectric losses. Epitaxial YBa2Cu3O(7-x) films grown on LaGaO3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K.

  7. Magnetotransport investigations of single- and heterostructure epitaxial films of IV/VI-semiconductors

    International Nuclear Information System (INIS)

    Ambrosch, K.-E.

    1985-01-01

    Lead salts are small gap semiconductors that are used for infrared detectors and lasers. PbMnTe and PbEuTe are semimagnetic semiconductors. Magnetotransport properties of epitaxial films and epitaxial heterostructures (PbTe / PbSnTe) are investigated. Epitaxial films of PbSnTe, PbMnTe and PbEuTe have been used for Shubnikov de Haas - experiments in tilted magnetic fields. This method allows the quantitative determination of the electric carrier distribution with respect to the crystal directions. The nonequal distribution is caused by strain effects that are more important for PbMnTe than for PbSnTe and PbEuTe. Magnetoresistance experiments show a deviation from cubic symmetry that leads to the same results for the carrier distribution as the Shubnikov de Haas effect. Magnetoresistance experiments performed with PbTe / PbSnTe heterostructures show no megnetoresistance if the magnetic field is in plane with the layers. The difference of the magnetoresistance for single films and heterostructures is explained by 'quasitwodimensional' carriers. Shubnikov de Haas experiments performed on heterostructures as a function of the tilt angle of the magnetic field show different behaviour compared to that of single films. Using additional information about effective masses and strain it was possible to distinguish between 'two-' and 'threedimensional' electronic systems. The distribution of carriers in single films and heterostructures has been determined by means of magnetotransport experiments. The results are explained by strain effects of the crystal lattice. In addition heterostructures show a 'quasitwodimensional' behaviour caused by interaction of their layers. (Author)

  8. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  9. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  10. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  11. Preparation of YBa2Cu3O7-δ epitaxial thin films by pulsed ion-beam evaporation

    International Nuclear Information System (INIS)

    Sorasit, S.; Yoshida, G.; Suzuki, T.; Suematsu, H.; Jiang, W.; Yatsui, K.

    2001-01-01

    Thin films of YBa 2 Cu 3 O 7-δ (Y-123) grown epitaxially have been successfully deposited by ion-beam evaporation (IBE). The c-axis oriented YBa 2 Cu 3 O 7-δ thin films were successfully deposited on MgO and SrTiO 3 substrates. The Y-123 thin films which were prepared on the SrTiO 3 substrates were confirmed to be epitaxially grown, by X-ray diffraction analysis. The instantaneous deposition rate of the Y-123 thin films was estimated as high as 4 mm/s. (author)

  12. Novel oxide buffer approach for GaN integration on Si(111) platform through Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bi-layer

    Energy Technology Data Exchange (ETDEWEB)

    Tarnawska, Lidia

    2012-12-19

    Motivation: Preparation of GaN virtual substrates on large-scale Si wafers is intensively pursued as a cost-effective approach for high power/high frequency electronics (HEMT's etc.) and optoelectronic applications (LED, LASER). However, the growth of high quality GaN layers on Si is hampered by several difficulties mainly related to a large lattice mismatch (-17%) and a huge difference in the thermal expansion coefficient (56%). As a consequence, GaN epitaxial layers grown on Si substrates show a high number of defects (threading dislocations etc.), which severely deteriorate the overall quality of the GaN films. Additionally, due to the different thermal expansion coefficients of the substrate and the film, um-thick GaN layers crack during post-growth cooling. To solve these integration problems, different semiconducting (e.g. AlN, GaAs, ZnO, HfN) and insulating (e.g. Al{sub 2}O{sub 3}, MgO, LiGaO{sub 2}) buffer layers, separating the Si substrate from the GaN film, are applied. Goal: In this thesis, a novel buffer approach for the integration of GaN on Si is proposed and investigated. The new approach employs Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} bilayer templates as a step-graded buffer to reduce the lattice mismatch between GaN and the Si(111) substrate. According to the bulk crystal lattices, since the Y{sub 2}O{sub 3} has an in-plane lattice misfit of -2% to Si, Sc{sub 2}O{sub 3} -7% to Y{sub 2}O{sub 3}, the lattice misfit between GaN and the substrate can be theoretically reduced by about 50% from -17% (GaN/Si) to -8% (GaN/Sc{sub 2}O{sub 3}). Experimental: The GaN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) heterostructures are prepared in a multichamber molecular beam epitaxy system on 4 inch Si(111) wafers. In order to obtain complete information on the structural quality of the oxide buffer as well as the GaN layer, synchrotron- and laboratory-based X-ray diffraction, transmission electron microscopy and photoluminescence measurements are performed. The

  13. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    International Nuclear Information System (INIS)

    Morse, M.; Wu, P.; Choi, S.; Kim, T.H.; Brown, A.S.; Losurdo, M.; Bruno, G.

    2006-01-01

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy

  14. Structural and optical characterization of GaN heteroepitaxial films on SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Morse, M. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: michael.morse@duke.edu; Wu, P. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Choi, S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Kim, T.H. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States); Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States); Brown, A.S. [Department of Electrical and Computer Engineering, Duke University, 128 Hudson Hall, Durham, NC (United States) and Department of Physics, Duke University, 128 Hudson Hall, Durham, NC (United States)]. E-mail: abrown@ee.duke.edu; Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy); Bruno, G. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona, 4-70126 Bari (Italy)

    2006-10-31

    We have estimated the threading dislocation density and type via X-ray diffraction and Williamson-Hall analysis to elicit qualitative information directly related to the electrical and optical quality of GaN epitaxial layers grown by PAMBE on 4H- and 6H-SiC substrates. The substrate surface preparation and buffer choice, specifically: Ga flashing for SiC oxide removal, controlled nitridation of SiC, and use of AlN buffer layers all impact the resultant screw dislocation density, but do not significantly influence the edge dislocation density. We show that modification of the substrate surface strongly affects the screw dislocation density, presumably due to impact on nucleation during the initial stages of heteroepitaxy.

  15. Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part1 By A. Pavolotsky, and Advanced Technologies for Heterodyne Radio Astronomy Instrumentation - Part2 By V. Desmaris

    Science.gov (United States)

    Desmaris, Vincent

    2018-01-01

    We present the advanced micro/nano technological engineering at the atomic level producing state-of-the-art epitaxial NbN thin-films on GaN buffer layers. Furthermore, we report the outstanding performance of the hot electron bolometers fabricated on epitaxial NbN thin films on GaN buffer layers. Finally we present advanced passive devices such as waveguide hybrids, IF hybrids and combiners for the realization of heterodyne THz receivers.

  16. Exciton Emission from Bare and Alq3/Gold Coated GaN Nanorods

    Science.gov (United States)

    Mohammadi, Fatemesadat; Kuhnert, Gerd; Hommel, Detlef; Schmitzer, Heidrun; Wagner, Hans-Peter

    We study the excitonic and impurity related emission in bare and aluminum quinoline (Alq3)/gold coated wurtzite GaN nanorods by temperature-dependent time-integrated (TI) and time-resolved (TR) photoluminescence (PL). The GaN nanorods were grown by molecular beam epitaxy. Alq3 as well as Alq3/gold covered nanorods were synthesized by organic molecular beam deposition. In the near-band edge region a donor-bound-exciton (D0X) emission is observed at 3.473 eV. Another emission band at 3.275 eV reveals LO-phonon replica and is attributed to a donor-acceptor-pair (DAP) luminescence. TR PL traces at 20 K show a nearly biexponential decay for the D0X with lifetimes of approximately 180 and 800 ps for both bare and Alq3 coated nanorods. In GaN nanorods which were coated with an Alq3 film and subsequently with a 10 nm thick gold layer we observe a PL quenching of D0X and DAP band and the lifetimes of the D0X transition shorten. The quenching behaviour is partially attributed to the energy-transfer from free excitons and donor-bound-excitons to plasmon oscillations in the gold layer.

  17. Self-regulated growth of LaVO3 thin films by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Zhang, Hai-Tian; Engel-Herbert, Roman; Dedon, Liv R.; Martin, Lane W.

    2015-01-01

    LaVO 3 thin films were grown on SrTiO 3 (001) by hybrid molecular beam epitaxy. A volatile metalorganic precursor, vanadium oxytriisopropoxide (VTIP), and elemental La were co-supplied in the presence of a molecular oxygen flux. By keeping the La flux fixed and varying the VTIP flux, stoichiometric LaVO 3 films were obtained for a range of cation flux ratios, indicating the presence of a self-regulated growth window. Films grown under stoichiometric conditions were found to have the largest lattice parameter, which decreased monotonically with increasing amounts of excess La or V. Energy dispersive X-ray spectroscopy and Rutherford backscattering measurements were carried out to confirm film compositions. Stoichiometric growth of complex vanadate thin films independent of cation flux ratios expands upon the previously reported self-regulated growth of perovskite titanates using hybrid molecular beam epitaxy, thus demonstrating the general applicability of this growth approach to other complex oxide materials, where a precise control over film stoichiometry is demanded by the application

  18. Epitaxy, thin films and superlattices

    International Nuclear Information System (INIS)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au)

  19. Epitaxy, thin films and superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Jagd Christensen, Morten

    1997-05-01

    This report is the result of structural investigations of 3d transition metal superlattices consisting of Fe/V, Cr/Mn, V/Mn and Fe/Mn, and a structural and magnetic study of a series of Ho/Pr alloys. The work includes preparation and characterization of substrates as well as growth of thin films and Fe/V superlattices by molecular beam epitaxy, including in-situ characterization by reflection high energy electron diffraction and Auger electron spectroscopy. Structural characterization has been done by x-ray diffraction and neutron diffraction. The x-ray diffraction experiments have been performed on the rotating copper anode at Risoe, and at synchrotron facilities in Hamburg and Brookhaven, and the neutron scattering was done at the Danish research reactor DR3 at Risoe. In addition to longitudinal scans, giving information about the structural parameters in the modulation direction, non-specular scans were also performed. This type of scans gives information about in-plane orientation and lattice parameters. From the analysis, structural information is obtained about lattice parameters, epitaxial strain, coherence lengths and crystallographic orientation for the superlattice systems, except Fe/Mn superlattices, which could not be modelled. For the Ho/Pr alloys, x-ray magnetic scattering was performed, and the crystal and magnetic structure was investigated. (au) 14 tabs.; 58 ills., 96 refs.

  20. Effect of diffraction and film-thickness gradients on wafer-curvature measurements of thin-film stress

    International Nuclear Information System (INIS)

    Breiland, W.G.; Lee, S.R.; Koleske, D.D.

    2004-01-01

    When optical measurements of wafer curvature are used to determine thin-film stress, the laser beams that probe the sample are usually assumed to reflect specularly from the curved surface of the film and substrate. Yet, real films are not uniformly thick, and unintended thickness gradients produce optical diffraction effects that steer the laser away from the ideal specular condition. As a result, the deflection of the laser in wafer-curvature measurements is actually sensitive to both the film stress and the film-thickness gradient. We present a Fresnel-Kirchhoff optical diffraction model of wafer-curvature measurements that provides a unified description of these combined effects. The model accurately simulates real-time wafer-curvature measurements of nonuniform GaN films grown on sapphire substrates by vapor-phase epitaxy. During thin-film growth, thickness gradients cause the reflected beam to oscillate asymmetrically about the ideal position defined by the stress-induced wafer curvature. This oscillating deflection has the same periodicity as the reflectance of the growing film, and the deflection amplitude is a function of the film-thickness gradient, the mean film thickness, the wavelength distribution of the light source, the illuminated spot size, and the refractive indices of the film and substrate. For typical GaN films grown on sapphire, misinterpretation of these gradient-induced oscillations can cause stress-measurement errors that approach 10% of the stress-thickness product; much greater errors occur in highly nonuniform films. Only transparent films can exhibit substantial gradient-induced deflections; strongly absorbing films are immune

  1. The preparation of Zn-ferrite epitaxial thin film from epitaxial Fe3O4:ZnO multilayers by ion beam sputtering deposition

    International Nuclear Information System (INIS)

    Su, Hui-Chia; Dai, Jeng-Yi; Liao, Yen-Fa; Wu, Yu-Han; Huang, J.C.A.; Lee, Chih-Hao

    2010-01-01

    A new method to grow a well-ordered epitaxial ZnFe 2 O 4 thin film on Al 2 O 3 (0001) substrate is described in this work. The samples were made by annealing the ZnO/Fe 3 O 4 multilayer which was grown with low energy ion beam sputtering deposition. Both the Fe 3 O 4 and ZnO layers were found grown epitaxially at low temperature and an epitaxial ZnFe 2 O 4 thin film was formed after annealing at 1000 o C. X-ray diffraction shows the ZnFe 2 O 4 film is grown with an orientation of ZnFe 2 O 4 (111)//Al 2 O 3 (0001) and ZnFe 2 O 4 (1-10)//Al 2 O 3 (11-20). X-ray absorption spectroscopy studies show that Zn 2+ atoms replace the tetrahedral Fe 2+ atoms in Fe 3 O 4 during the annealing. The magnetic properties measured by vibrating sample magnetometer show that the saturation magnetization of ZnFe 2 O 4 grown from ZnO/Fe 3 O 4 multilayer reaches the bulk value after the annealing process.

  2. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    Science.gov (United States)

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  3. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa.

    1994-01-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author)

  4. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa

    1994-11-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author).

  5. Tunable band gap in epitaxial ferroelectric Ho(Mn,Ga)O{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Daesu; Noh, Tae Won, E-mail: twnoh@snu.ac.kr [Center for Correlated Electron Systems, Institute for Basic Science, Seoul 151-742 (Korea, Republic of); Department of Physics and Astronomy, Seoul National University, Seoul 151-742 (Korea, Republic of); Choi, Woo Seok [Department of Physics, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2016-05-09

    Ferroelectrics have recently attracted attention as a new class of materials for use in optical and photovoltaic devices. We studied the electronic properties in epitaxially stabilized ferroelectric hexagonal Ho(Mn{sub 1−x}Ga{sub x})O{sub 3} (x = 0, 0.33, 0.67, and 1) thin films. Our films exhibited systematic changes in electronic structures, such as bandgap and optical transitions, according to the Ga concentration. In particular, the bandgap increased systematically from 1.4 to 3.2 eV, including the visible light region, with increasing Ga concentration from x = 0 to 1. These systematic changes, attributed to lattice parameter variations in epitaxial Ho(Mn{sub 1−x}Ga{sub x})O{sub 3} films, should prove useful for the design of optoelectronic devices based on ferroelectrics.

  6. A comparative study of transport properties in polycrystalline and epitaxial chromium nitride films

    KAUST Repository

    Duan, X. F.; Mi, Wenbo; Guo, Zaibing; Bai, Haili

    2013-01-01

    Polycrystalline CrNx films on Si(100) and glass substrates and epitaxial CrNx films on MgO(100) substrates were fabricated by reactive sputtering with different nitrogen gas flow rates (fN2). With the increase of fN2, a lattice phase transformation

  7. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  8. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Advanced in-situ control for III-nitride RF power device epitaxy

    Science.gov (United States)

    Brunner, F.; Zettler, J.-T.; Weyers, M.

    2018-04-01

    In this contribution, the latest improvements regarding wafer temperature measurement on 4H-SiC substrates and, based on this, of film thickness and composition control of GaN and AlGaN layers in power electronic device structures are presented. Simultaneous pyrometry at different wavelengths (950 nm and 405 nm) reveal the advantages and limits of the different temperature measurement approaches. Near-UV pyrometry gives a very stable wafer temperature signal without oscillations during GaN growth since the semi-insulating 4H-SiC substrate material becomes opaque at temperatures above 550 °C at the wavelength of 405 nm. A flat wafer temperature profile across the 100 mm substrate diameter is demonstrated despite a convex wafer shape at AlGaN growth conditions. Based on the precise assignment of wafer temperature during MOVPE we were able to improve the accuracy of the high-temperature n-k database for the materials involved. Consequently, the measurement accuracy of all film thicknesses grown under fixed temperature conditions improved. Comparison of in situ and ex situ determined layer thicknessess indicate an unintended etching of the topmost layer during cool-down. The details and limitations of real-time composition analysis for lower Al-content AlGaN barrier layers during transistor device epitaxy are shown.

  10. Lanthanum gallate substrates for epitaxial high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Sandstrom, R.L.; Giess, E.A.; Gallagher, W.J.; Segmueller, A.; Cooper, E.I.; Chisholm, M.F.; Gupta, A.; Shinde, S.; Laibowitz, R.B.

    1988-01-01

    We demonstrate that lanthanum gallate (LaGaO 3 ) has considerable potential as an electronic substrate material for high-temperature superconducting films. It provides a good lattice and thermal expansion match to YBa 2 Cu 3 O/sub 7-//sub x/, can be grown in large crystal sizes, is compatible with high-temperature film processing, and has a reasonably low dielectric constant (ε≅25) and low dielectric losses. Epitaxial YBa 2 Cu 3 O/sub 7-//sub x/ films grown on LaGaO 3 single-crystal substrates by three techniques have zero resistance between 87 and 91 K

  11. Resistance switching in epitaxial SrCoOx thin films

    Science.gov (United States)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-08-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO2.5) and conducting perovskite (SrCoO3-δ) depending on the oxygen content. The current-voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoOx thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO2.5.

  12. Resistance switching in epitaxial SrCoOx thin films

    International Nuclear Information System (INIS)

    Tambunan, Octolia T.; Parwanta, Kadek J.; Acharya, Susant K.; Lee, Bo Wha; Jung, Chang Uk; Kim, Yeon Soo; Park, Bae Ho; Jeong, Huiseong; Park, Ji-Yong; Cho, Myung Rae; Park, Yun Daniel; Choi, Woo Seok; Kim, Dong-Wook; Jin, Hyunwoo; Lee, Suyoun; Song, Seul Ji; Kang, Sung-Jin; Kim, Miyoung; Hwang, Cheol Seong

    2014-01-01

    We observed bipolar switching behavior from an epitaxial strontium cobaltite film grown on a SrTiO 3 (001) substrate. The crystal structure of strontium cobaltite has been known to undergo topotactic phase transformation between two distinct phases: insulating brownmillerite (SrCoO 2.5 ) and conducting perovskite (SrCoO 3−δ ) depending on the oxygen content. The current–voltage characteristics of the strontium cobaltite film showed that it could have a reversible insulator-to-metal transition triggered by electrical bias voltage. We propose that the resistance switching in the SrCoO x thin film could be related to the topotactic phase transformation and the peculiar structure of SrCoO 2.5

  13. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose; Ahn, Sung-Jin; Crumlin, Ethan; Orikasa, Yuki; Biegalski, Michael D.; Christen, Hans M.; Shao-Horn, Yang

    2010-01-01

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  14. Catalytic Activity Enhancement for Oxygen Reduction on Epitaxial Perovskite Thin Films for Solid-Oxide Fuel Cells

    KAUST Repository

    la O', Gerardo Jose

    2010-06-22

    Figure Presented The active ingredient: La0.8Sr 0.2CoO3-δ (LSC) epitaxial thin films are prepared on (001 )-oriented yttria-stabilized zirconia (YSZ) single crystals with a gadolinium-doped ceria (GDC) buffer layer (see picture). The LSC epitaxial films exhibit better oxygen reduction kinetics than bulk LSC. The enhanced activity is attributed in part to higher oxygen nonstoichiometry. © 2010 Wiley-VCH Verlag GmbH & Co. KCaA, Weinheim.

  15. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1−xN Buffer Layer

    Directory of Open Access Journals (Sweden)

    Chang-Ju Lee

    2017-07-01

    Full Text Available The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded AlxGa−xN buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded AlxGa1−xN buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10−2 A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  16. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  17. Flexoelectricity induced increase of critical thickness in epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Zhou Hao; Hong Jiawang; Zhang Yihui; Li Faxin; Pei Yongmao; Fang Daining

    2012-01-01

    Flexoelectricity describes the coupling between polarization and strain/stress gradients in insulating crystals. In this paper, using the Landau-Ginsburg-Devonshire phenomenological approach, we found that flexoelectricity could increase the theoretical critical thickness in epitaxial BaTiO 3 thin films, below which the switchable spontaneous polarization vanishes. This increase is remarkable in tensile films while trivial in compressive films due to the electrostriction caused decrease of potential barrier, which can be easily destroyed by the flexoelectricity, between the ferroelectric state and the paraelectric state in tensile films. In addition, the films are still in a uni-polar state even below the critical thickness due to the flexoelectric effect.

  18. Flexoelectricity induced increase of critical thickness in epitaxial ferroelectric thin films

    Energy Technology Data Exchange (ETDEWEB)

    Zhou Hao [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Hong Jiawang; Zhang Yihui [Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China); Li Faxin [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Pei Yongmao, E-mail: peiym@pku.edu.cn [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Fang Daining, E-mail: fangdn@pku.edu.cn [State Key Laboratory for Turbulence and Complex Systems, College of Engineering, Peking University, Beijing 100871 (China); Department of Engineering Mechanics, Tsinghua University, Beijing 100084 (China)

    2012-09-01

    Flexoelectricity describes the coupling between polarization and strain/stress gradients in insulating crystals. In this paper, using the Landau-Ginsburg-Devonshire phenomenological approach, we found that flexoelectricity could increase the theoretical critical thickness in epitaxial BaTiO{sub 3} thin films, below which the switchable spontaneous polarization vanishes. This increase is remarkable in tensile films while trivial in compressive films due to the electrostriction caused decrease of potential barrier, which can be easily destroyed by the flexoelectricity, between the ferroelectric state and the paraelectric state in tensile films. In addition, the films are still in a uni-polar state even below the critical thickness due to the flexoelectric effect.

  19. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  20. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  1. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  2. Effects of substrate temperature and Cu underlayer thickness on the formation of SmCo5(0001) epitaxial thin films

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    SmCo 5 (0001) epitaxial thin films were prepared on Cu(111) underlayers heteroepitaxially grown on Al 2 O 3 (0001) single-crystal substrates by molecular beam epitaxy. The effects of substrate temperature and Cu underlayer thickness on the crystallographic properties of SmCo 5 (0001) epitaxial films were investigated. The Cu atoms of underlayer diffuse into the SmCo 5 film and substitute the Co sites in SmCo 5 structure forming an alloy compound of Sm(Co,Cu) 5 . The ordered phase formation is enhanced with increasing the substrate temperature and with increasing the Cu underlayer thickness. The Cu atom diffusion into the SmCo 5 film is assisting the formation of Sm(Co,Cu) 5 ordered phase.

  3. Growth of CrTe thin films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Sreenivasan, M.G.; Hou, X.J.; Teo, K.L.; Jalil, M.B.A.; Liew, T.; Chong, T.C.

    2006-01-01

    We report the growth of Cr 1-δ Te films on (100) GaAs substrates using ZnTe buffer layers by solid-source molecular-beam epitaxial technique. RHEED patterns indicate a clear structural change during the initial stages of deposition. Temperature-dependent magnetization results reveal that different NiAs-related phases of Cr 1-δ Te can be obtained at different substrate temperatures. By varying the film thickness, a metastable zinc blende structure of CrTe could be obtained at lower substrate temperature

  4. Superconductivity of Rock-Salt Structure LaO Epitaxial Thin Film.

    Science.gov (United States)

    Kaminaga, Kenichi; Oka, Daichi; Hasegawa, Tetsuya; Fukumura, Tomoteru

    2018-06-06

    We report a superconducting transition in a LaO epitaxial thin film with the superconducting transition onset temperature ( T c ) at around 5 K. This T c is higher than those of other lanthanum monochalcogenides and opposite to their chemical trend: T c = 0.84, 1.02, and 1.48 K for LaX (X = S, Se, Te), respectively. The carrier control resulted in a dome-shaped T c as a function of electron carrier density. In addition, the T c was significantly sensitive to epitaxial strain in spite of the highly symmetric crystal structure. This rock-salt superconducting LaO could be a building block to design novel superlattice superconductors.

  5. Van der Waals epitaxy of functional MoO{sub 2} film on mica for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Chun-Hao [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Lin, Jheng-Cyuan [Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Liu, Heng-Jui; Do, Thi Hien [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Zhu, Yuan-Min; Zhan, Qian [School of Materials Science and Engineering, University of Science and Technology Beijing, Beijing 100083 (China); Ha, Thai Duy; Juang, Jenh-Yih [Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China); He, Qing [Department of Physics, Durham University, Durham DH1 3LE (United Kingdom); Arenholz, Elke [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Chiu, Po-Wen, E-mail: pwchiu@ee.nthu.edu.tw [Department of Electrical Engineering, National Tsing Hua University, 30013 Hsinchu, Taiwan (China); Institute of Atomic and Molecular Sciences, Academia Sinica, Taipei 10617, Taiwan (China); Chu, Ying-Hao, E-mail: yhc@nctu.edu.tw [Department of Materials Science and Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan (China); Institute of Physics, Academia Sinica, Taipei 11529, Taiwan (China); Department of Electrophysics, National Chiao Tung University, Hsinchu 30010, Taiwan (China)

    2016-06-20

    Flexible electronics have a great potential to impact consumer electronics and with that our daily life. Currently, no direct growth of epitaxial functional oxides on commercially available flexible substrates is possible. In this study, in order to address this challenge, muscovite, a common layered oxide, is used as a flexible substrate that is chemically similar to typical functional oxides. We fabricated epitaxial MoO{sub 2} films on muscovite via pulsed laser deposition technique. A combination of X-ray diffraction and transmission electron microscopy confirms van der Waals epitaxy of the heterostructures. The electrical transport properties of MoO{sub 2} films are similar to those of the bulk. Flexible or free-standing MoO{sub 2} thin film can be obtained and serve as a template to integrate additional functional oxide layers. Our study demonstrates a remarkable concept to create flexible electronics based on functional oxides.

  6. Synthesis and characterization of a liquid Eu precursor (EuCppm2) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Mitchell, Brandon; Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf; Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru; Ofuchi, Hironori; Honma, Tetsuo; Fujiwara, Yasufumi

    2017-01-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu 2+ to Eu 3+ could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  7. Structure and functional properties of epitaxial PBZRxTI1-xO3 films

    NARCIS (Netherlands)

    Vergeer, Kurt

    2017-01-01

    The work described in this thesis is focused on the characterization and understanding of epitaxial, clamped, dense PbZrxTi1-xO3 (PZT) films. A thermodynamic model is developed, which is used to simulate properties of clamped PZT films throughout this work. The free energy equations for single- and

  8. Fluorination of an epitaxial YBaCuO thin film with controlled oxygen vacancies

    Energy Technology Data Exchange (ETDEWEB)

    Perrin, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Pena, O. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Mokhtari, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Thivet, C. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Guilloux-Viry, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Perrin, A. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France)); Sergent, M. (Lab. de Chimie du Solide et Inorganique Moleculaire, Univ. de Rennes 1, 35 (France))

    1993-05-10

    An intentionally oxygen-deficient thin film, epitaxially grown in-situ on a (100) MgO substrate by laser ablation at 750 C under a low pressure oxygen atmosphere, has been treated under NF[sub 3] diluted in N[sub 2] at temperatures not exceeding 280 C. During the fluorination process the epitaxy of the thin film is maintained; its Tc onset progressively increases from 54 K up to 85.6 K and the width of the inductive transition is narrow at the end of treatment (1.2 K). These results are discussed and compared to those obtained during the fluorination of oxygen-deficient YBa[sub 2]Cu[sub 3]O[sub x] ceramics. (orig.)

  9. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  10. In-plane microwave dielectric properties of paraelectric barium strontium titanate thin films with anisotropic epitaxy

    Science.gov (United States)

    Simon, W. K.; Akdogan, E. K.; Safari, A.; Bellotti, J. A.

    2005-08-01

    In-plane dielectric properties of ⟨110⟩ oriented epitaxial (Ba0.60Sr0.40)TiO3 thin films in the thickness range from 25-1200nm have been investigated under the influence of anisotropic epitaxial strains from ⟨100⟩ NdGaO3 substrates. The measured dielectric properties show strong residual strain and in-plane directional dependence. Below 150nm film thickness, there appears to be a phase transition due to the anisotropic nature of the misfit strain relaxation. In-plane relative permittivity is found to vary from as much as 500-150 along [11¯0] and [001] respectively, in 600nm thick films, and from 75 to 500 overall. Tunability was found to vary from as much as 54% to 20% in all films and directions, and in a given film the best tunability is observed along the compressed axis in a mixed strain state, 54% along [11¯0] in the 600nm film for example.

  11. Temperature-dependent polarized luminescence of exciton polaritons in a ZnO film

    Energy Technology Data Exchange (ETDEWEB)

    Toropov, A.A.; Nekrutkina, O.V.; Shubina, T.V. [Ioffe Physico-Technical Institute, Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Gruber, Th.; Kirchner, C. [Department of Semiconductor Physics, Ulm University, 89081 Ulm (Germany); Waag, A. [Institute of Semiconductor Technology, Braunschweig Technical University, 38106 Braunschweig (Germany); Karlsson, K.F.; Monemar, B. [Linkoeping University, 581 83 Linkoeping (Sweden)

    2005-02-01

    We report on the studies of linearly polarized photoluminescence (PL) in a (0001) oriented ZnO epitaxial film, grown by metal organic chemical vapor deposition on a GaN template. The emission of mixed longitudinal-transverse exciton polariton modes was observed up to 130 K that evidences polaritonic nature of the excitonic spectrum up to this elevated temperature. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Strain quantification in epitaxial thin films

    International Nuclear Information System (INIS)

    Cushley, M

    2008-01-01

    Strain arising in epitaxial thin films can be beneficial in some cases but devastating in others. By altering the lattice parameters, strain may give a thin film properties hitherto unseen in the bulk material. On the other hand, heavily strained systems are prone to develop lattice defects in order to relieve the strain, which can cause device failure or, at least, a decrease in functionality. Using convergent beam electron diffraction (CBED) and high-resolution transmission electron microscopy (HRTEM), it is possible to determine local strains within a material. By comparing the results from CBED and HRTEM experiments, it is possible to gain a complete view of a material, including the strain and any lattice defects present. As well as looking at how the two experimental techniques differ from each other, I will also look at how results from different image analysis algorithms compare. Strain in Si/SiGe samples and BST/SRO/MgO capacitor structures will be discussed.

  13. Study of Charge Carrier Transport in GaN Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Kuokstis, Edmundas; Meskauskaite, Dovile; Pavlov, Jevgenij; Reklaitis, Ignas

    2016-01-01

    Capacitor and Schottky diode sensors were fabricated on GaN material grown by hydride vapor phase epitaxy and metal-organic chemical vapor deposition techniques using plasma etching and metal deposition. The operational characteristics of these devices have been investigated by profiling current transients and by comparing the experimental regimes of the perpendicular and parallel injection of excess carrier domains. Profiling of the carrier injection location allows for the separation of the bipolar and the monopolar charge drift components. Carrier mobility values attributed to the hydride vapor phase epitaxy (HVPE) GaN material have been estimated as μe = 1000 ± 200 cm2/Vs for electrons, and μh = 400 ± 80 cm2/Vs for holes, respectively. Current transients under injection of the localized and bulk packets of excess carriers have been examined in order to determine the surface charge formation and polarization effects. PMID:28773418

  14. Bi-epitaxial YBa2Cu3Ox Thin Films on Tilted-axes NdGaO3 Substrates with CeO2 Seeding Layer

    International Nuclear Information System (INIS)

    Mozhaev, P B; Mozhaeva, J E; Jacobsen, C S; Hansen, J Bindslev; Bdikin, I K; Luzanov, V A; Kotelyanskii, I M; Zybtsev, S G

    2006-01-01

    Bi-epitaxial YBa 2 Cu 3 O x (YBCO) thin films with out-of-plane tilt angle in the range 18 - 27 0 were manufactured using pulsed laser deposition on NdGaO 3 tilted-axes substrates with CeO 2 seeding layers. The YBCO thin film orientation over the seeding layer depended on deposition conditions. Removal of the seeding layer from part of the substrate surface by ionbeam etching resulted in formation of a bi-epitaxial thin film with different c-axis orientation of two parts of the film. The bi-epitaxial film orientation and structure were studied using X-ray diffraction techniques, and surface morphology was observed with atomic force microscope (AFM). Photolithography and ion-beam etching techniques were used for patterning bi-epitaxial thin films. Electrical characterization of the obtained structures was performed

  15. Coercive force features in stressed epitaxial ferrite-garnet films

    International Nuclear Information System (INIS)

    Dubinko, S.V.; Nedviga, A.S.; Vishnevskij, V.G.; Shaposhnikov, A.N.; Yagupov, V.S.; Nesteruk, A.G.; Prokopov, A.R.

    2005-01-01

    One has investigated into effect of a relative mismatching of periods of lattices of a film and of a substrate within 0.5-0.85% range on behavior of the coercive force of (Bi, Sm, Lu, Ca) 3 (Fe, Sc, Ga, Al) 5 O 12 composition ferrite garnet epitaxial films (FGEF) synthesized at (111) orientation gadolinium-gallium garnet substrates. One has revealed that the FGEF coercive force at increase of the relative mismatching of periods of lattices of a film and of a substrate increases at first, while when reaching the maximum value it begins to decrease. The coercive force maximum value is shown to result from the periodical localized stresses. The period of the localized stresses is determined by the value of mismatching of periods of lattices of a film and of a substrate [ru

  16. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    Energy Technology Data Exchange (ETDEWEB)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH[sub 4] discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures [<=] 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH[sub 4] partial pressure (PCH[sub 4]) and stoichiometric composition can only be obtained in a narrow PCH[sub 4] range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T[sub s]=850 degrees C and PCH[sub 4]=0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO[sub 2] layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 [mu]A cm[sup -2], a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor structures were fabricated by thermally grown SiO[sub 2] on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10[sup 11] cm[sup -2] eV[sup -1]. Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V.

  17. 3C-SiC epitaxial films deposited by reactive magnetron sputtering: Growth, characterization and device development

    International Nuclear Information System (INIS)

    Wahab, Qamar ul.

    1994-01-01

    Epitaxial 3C-SiC films were grown on silicon substrates by reactive magnetron sputtering of pure Si target in a mixed Ar-CH 4 discharges. Films were grown on Si(001), and 4 degrees off-oriented (001) substrates. Epitaxial 3C-SiC films with sharp interface to Si substrates have been grown at substrate temperatures ≤ 900 degrees C. Above 900 degrees C interfacial reaction starts resulting in a rough SiC/Si interface. The carbon content as well as the crystalline structure was also found to be strongly dependent on CH 4 partial pressure (PCH 4 ) and stoichiometric composition can only be obtained in a narrow PCH 4 range. Films grown on Si(001) substrates contained anti domain boundaries as evident by cross-sectional transmission electron microscopy (XTEM). Films grown on (111)-oriented substrates were epitaxial at 850 degrees C but contained double positioning domains as determined by X-ray diffraction analysis and XTEM. High quality films were obtained on 4 degrees off-oriented Si(001) substrates at T s =850 degrees C and PCH 4 =0.6 mTorr. Films grown on off-oriented substrates showed atomically sharp interface to Si and also a smooth top surface. SiO 2 layer grown on such films showed atomically sharp oxide/film interface. Also the growth of epitaxial Si films on top of SiC films was realized. Au-Schottky diodes fabricated on (001)-oriented 3C-SiC films showed good rectification with a leakage current density = 4 μA cm -2 , a breakdown voltage of -15 V, an ideality factor of 1.27 and a barrier height of 1.04 eV. Metal oxide semiconductor (MOS) structures were fabricated by thermally grown SiO 2 on (111)-oriented SiC films. The capacitance-voltage measurements showed the accumulation, depletion and deep depletion region in the C-V curve. The interface trap densities were 3-7 x 10 11 cm -2 eV -1 . Finally 3C-SiC/Si heterojunction diodes processed showed good rectification and the diode had a breakdown at -110 V. 59 refs, figs, tabs

  18. Molecular beam epitaxy of GaN(0001) utilizing NH3 and/or NH+x ions: Growth kinetics and defect structure

    International Nuclear Information System (INIS)

    Lee, N.; Powell, R.C.; Kim, Y.; Greene, J.E.

    1995-01-01

    Gas-source molecular beam epitaxy (GS-MBE), utilizing Ga and NH 3 , and reactive-ion MBE (RIMBE), incorporating both thermal NH 3 and low-energy NH + x ions, were used to grow single crystal GaN(0001) layers on Al 2 O 3 (0001) at temperatures T s between 700 and 850 degree C with deposition rates of 0.2--0.5 μm h -1 . The RIMBE experiments were carried out with incident NH + x /Ga flux ratios J NH + x /J Ga =1.9--3.2 and NH + x acceleration energies E NH + x =45--90 eV. Plan-view and cross-sectional transmission electron microscopy analyses showed that the primary defects in the GS-MBE films were threading dislocations having either pure edge or mixed edge/screw characteristics with Burgers vectors bar b=1/3 left-angle 2 bar 1 bar 10 right-angle, basal-plane stacking faults with displacement vectors bar R=1/6 left-angle 02 bar 23 right-angle, and prismatic stacking faults with bar R=1/2 left-angle bar 1101 right-angle. In the case of RIMBE films, no stacking faults or residual ion-induced defects were observed with E NH + x =45 eV and T s ≥800 degree C. However, increasing E NH + x to ≥60 eV at T s =800 degree C gave rise to the formation of residual ion-induced point-defect clusters observable by transmission electron microscopy (TEM). Increasing T s to 850 degree C with E NH + x ≥60 eV resulted in the ion-induced defects aggregating to form interstitial basal and prismatic dislocation loops, whose number densities depended upon the ion flux, with Burgers vectors 1/2 left-angle 0001 right-angle and 1/3 left-angle 2 bar 1 bar 10 right-angle, respectively. (Abstract Truncated)

  19. High-rate deposition of epitaxial layers for efficient low-temperature thin film epitaxial silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Oberbeck, L.; Schmidt, J.; Wagner, T.A.; Bergmann, R.B. [Stuttgart Univ. (Germany). Inst. of Physical Electronics

    2001-07-01

    Low-temperature deposition of Si for thin-film solar cells has previously been hampered by low deposition rates and low material quality, usually reflected by a low open-circuit voltage of these solar cells. In contrast, ion-assisted deposition produces Si films with a minority-carrier diffusion length of 40 {mu}m, obtained at a record deposition rate of 0.8 {mu}m/min and a deposition temperature of 650{sup o}C with a prebake at 810{sup o}C. A thin-film Si solar cell with a 20-{mu}m-thick epitaxial layer achieves an open-circuit voltage of 622 mV and a conversion efficiency of 12.7% without any light trapping structures and without high-temperature solar cell process steps. (author)

  20. Squid measurement of the Verwey transition on epitaxial (1 0 0) magnetite thin films

    International Nuclear Information System (INIS)

    Dediu, V.; Arisi, E.; Bergenti, I.; Riminucci, A.; Solzi, M.; Pernechele, C.; Natali, M.

    2007-01-01

    We report results on epitaxial magnetite (Fe 3 O 4 ) thin films grown by electron beam ablation on (1 0 0) MgAl 2 O 4 substrates. At 120 K magnetite undergoes a structural and electronic transition, the so-called Verwey transition, at which magnetic and conducting properties of the material change. We observed the Verwey transition on epitaxial films with a thickness of 50 nm by comparing zero-field cooling (ZFC) and field cooling (FC) curves measured with a superconducting quantum interference device (SQUID) magnetometer. Observation of the Verwey transition by SQUID measurements in the films is sign of their high crystalline quality. Room temperature ferromagnetism has also been found by magneto-optical Kerr rotation (MOKE) and confirmed by SQUID measurements, with a hysteresis loop showing a coercive field of hundreds of Oe

  1. Strain Induced Magnetism in SrRuO3 Epitaxial Thin Films

    Energy Technology Data Exchange (ETDEWEB)

    Grutter, A.; Wong, F.; Arenholz, E.; Liberati, M.; Suzuki, Y.

    2010-01-10

    Epitaxial SrRuO{sub 3} thin films were grown on SrTiO{sub 3}, (LaAlO{sub 3}){sub 0.3}(SrAlO{sub 3}){sub 0.7} and LaAlO{sub 3} substrates inducing different biaxial compressive strains. Coherently strained SrRuO{sub 3} films exhibit enhanced magnetization compared to previously reported bulk and thin film values of 1.1-1.6 {micro}{sub B} per formula unit. A comparison of (001) and (110) SrRuO{sub 3} films on each substrate indicates that films on (110) oriented have consistently higher saturated moments than corresponding (001) films. These observations indicate the importance of lattice distortions in controlling the magnetic ground state in this transitional metal oxide.

  2. Diamagnetism to ferromagnetism in Sr-substituted epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu; Prater, John T. [Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Punugupati, Sandhyarani; Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-04-04

    We report on the ferromagnetic-like behavior in otherwise diamagnetic BaTiO{sub 3} (BTO) thin films upon doping with non-magnetic element Sr having the composition Ba{sub 0.4}Sr{sub 0.6}TiO{sub 3} (BST). The epitaxial integration of BST (∼800 nm) thick films on Si (100) substrate was achieved using MgO (40 nm) and TiN (20 nm) as buffer layers to prepare BST/MgO/TiN/Si (100) heterostructure by pulsed laser deposition. The c-axis oriented and cube-on-cube epitaxial BST is formed on Si (100) as evidenced by the in-plane and out-of-plane X-ray diffraction. All the deposited films are relaxed through domain matching epitaxy paradigm as observed from X-ray diffraction pattern and A{sub 1}TO{sub 3} mode (at 521.27 cm{sup −1}) of Raman spectra. As-deposited BST thin films reveal ferromagnetic-like properties, which persist up to 400 K. The magnetization decreases two-fold upon oxygen annealing. In contrast, as-deposited un-doped BTO films show diamagnetism. Electron spin resonance measurements reveal no evidence of external magnetic impurities. XRD and X-ray photoelectron spectroscopy spectra show significant changes influenced by Sr doping in BTO. The ferromagnetic-like behavior in BST could be due to the trapped electron donors from oxygen vacancies resulting from Sr-doping.

  3. Above room-temperature ferromagnetism of Mn delta-doped GaN nanorods

    International Nuclear Information System (INIS)

    Lin, Y. T.; Wadekar, P. V.; Kao, H. S.; Chen, T. H.; Chen, Q. Y.; Tu, L. W.; Huang, H. C.; Ho, N. J.

    2014-01-01

    One-dimensional nitride based diluted magnetic semiconductors were grown by plasma-assisted molecular beam epitaxy. Delta-doping technique was adopted to dope GaN nanorods with Mn. The structural and magnetic properties were investigated. The GaMnN nanorods with a single crystalline structure and with Ga sites substituted by Mn atoms were verified by high-resolution x-ray diffraction and Raman scattering, respectively. Secondary phases were not observed by high-resolution x-ray diffraction and high-resolution transmission electron microscopy. In addition, the magnetic hysteresis curves show that the Mn delta-doped GaN nanorods are ferromagnetic above room temperature. The magnetization with magnetic field perpendicular to GaN c-axis saturates easier than the one with field parallel to GaN c-axis

  4. Epitaxial YBa2Cu3O7 films on rolled-textured metals for high temperature superconducting applications

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Prouteau, C.

    1998-04-01

    The epitaxial growth of high temperature superconducting (HTS) films on rolled-textured metal represents a viable approach for long-length superconducting tapes. Epitaxial, 0.5 microm thick YBa 2 Cu 3 O 7 (YBCO) films with critical current densities, J c , greater than 1 MA/cm 2 have been realized on rolled-textured (001) Ni tapes with yttria-stabilized zirconia (YSZ)/CeO 2 oxide buffer layers. This paper describes the synthesis using pulsed-laser deposition (PLD) of epitaxial oxide buffer layers on biaxially-textured metal that comprise the so-called rolling-assisted biaxially-textured substrates (RABiTs trademark). The properties of the buffer and YBa 2 Cu 3 O 7 films on rolled-textured Ni are discussed, with emphasis given to the crystallographic and microstructural properties that determine the superconducting properties of these multilayer structures

  5. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Science.gov (United States)

    Xie, Yali; Zhan, Qingfeng; Shang, Tian; Yang, Huali; Wang, Baomin; Tang, Jin; Li, Run-Wei

    2017-05-01

    We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO) and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO) displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  6. Effect of epitaxial strain and lattice mismatch on magnetic and transport behaviors in metamagnetic FeRh thin films

    Directory of Open Access Journals (Sweden)

    Yali Xie

    2017-05-01

    Full Text Available We grew 80 nm FeRh films on different single crystals with various lattice constants. FeRh films on SrTiO3 (STO and MgO substrates exhibit an epitaxial growth of 45° in-plane structure rotation. In contrast, FeRh on LaAlO3 (LAO displays a mixed epitaxial growth of both 45° in-plane structure rotation and cube-on-cube relationships. Due to the different epitaxial growth strains and lattice mismatch values, the critical temperature for the magnetic phase transition of FeRh can be changed between 405 and 360 K. In addition, the external magnetic field can shift this critical temperature to low temperature in different rates for FeRh films grown on different substrates. The magnetoresistance appears a maximum value at different temperatures between 320 and 380 K for FeRh films grown on different substrates.

  7. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  8. Preparation of hcp-Ni(112-bar 0) epitaxial thin films on Au(100) single-crystal underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Ni epitaxial films with an hcp structure are successfully obtained on Au(100) single-crystal underlayers formed on MgO(100) substrates at temperatures lower than 300 0 C by molecular beam epitaxy. With increasing the substrate temperature, the volume ratio of more stable fcc phase inc r eases in the film. The Ni film prepared at 100 0 C consists primarily of hcp crystal with the (112-bar 0) plane parallel to the substrate surface coexisting with a small amount of fcc-Ni(100) crystal. The lattice constant of hcp-Ni crystal is determined as a = 0.249 nm, c = 0.398 nm, and c/a = 1.60.

  9. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films

    Energy Technology Data Exchange (ETDEWEB)

    Kaspar, Tiffany C.; Hong, Seungbum; Bowden, Mark E.; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R.; Comes, Ryan B.; Ramuhalli, Pradeep; Henager, Charles H.

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200°C due to the low Curie temperature of the piezoelectric material. High temperature piezoelectric materials such as La2Ti2O7 (LTO) would facilitate the development of high-temperature sensors if the piezoelectric coupling coefficient could be maximized. We have deposited epitaxial LTO films on SrTiO3(001), SrTiO3(110), and rutile TiO2(110) substrates by pulsed laser deposition, and show that the crystalline orientation of the LTO film, and thus its piezoelectric coupling direction, can be controlled by epitaxial matching to the substrate. The structure and phase purity of the films were investigated by x-ray diffraction and scanning transmission electron microscopy. To characterize the piezoelectric properties, piezoresponse force microscopy was used to measure the in-plane and out-of-plane piezoelectric coupling in the films. We find that the strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric crystalline direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO2(110) results in epitaxial La2/3TiO3, an orthorhombic perovskite of interest as a microwave dielectric material. La2/3TiO3 can be difficult to stabilize in bulk form, and epitaxial deposition has not been previously reported. These results confirm that control of the crystalline orientation of LTO-based materials can increase the out-of-plane strength of its piezoelectric coupling, which can be exploited in piezoelectric devices.

  10. Controllable factors affecting the epitaxial quality of LaCoO 3 films ...

    Indian Academy of Sciences (India)

    treatment temperature and a relatively rapid rate of heating can effectively preventnucleus from growing in other orientations, thereby improving the epitaxial quality of film. Besides, the choice of spin speed will directly affect the thickness and ...

  11. Epitaxial Fe16N2 thin film on nonmagnetic seed layer

    Science.gov (United States)

    Hang, Xudong; Zhang, Xiaowei; Ma, Bin; Lauter, Valeria; Wang, Jian-Ping

    2018-05-01

    Metastable α″ -Fe16N2 has attracted much interest as a candidate for rare-earth-free hard magnetic materials. We demonstrate that Fe16N2 thin films were grown epitaxially on Cr seed layers with MgO (001) substrates by facing-target sputtering. Good crystallinity with the epitaxial relation MgO (001 )[110 ] ∥ Cr (001 )[100 ] ∥ Fe16N2 (001 )[100 ] was obtained. The chemical order parameter, which quantifies the degree of N ordering in the Fe16N2 (the N-disordered phase is α' -Fe8N martensite), reaches 0.75 for Cr-seeded samples. Cr has a perfect lattice constant match with Fe16N2, and no noticeable strain can be assigned to Fe16N2. The intrinsic saturation magnetization of this non-strained Fe16N2 thin film at room temperature is determined to be 2.31 T by polarized neutron reflectometry and confirmed with vibrating sample magnetometry. Our work provides a platform to directly study the magnetic properties of high purity Fe16N2 films with a high order parameter.

  12. Dislocation-induced nanoparticle decoration on a GaN nanowire.

    Science.gov (United States)

    Yang, Bing; Yuan, Fang; Liu, Qingyun; Huang, Nan; Qiu, Jianhang; Staedler, Thorsten; Liu, Baodan; Jiang, Xin

    2015-02-04

    GaN nanowires with homoepitaxial decorated GaN nanoparticles on their surface along the radial direction have been synthesized by means of a chemical vapor deposition method. The growth of GaN nanowires is catalyzed by Au particles via the vapor-liquid-solid (VLS) mechanism. Screw dislocations are generated along the radial direction of the nanowires under slight Zn doping. In contrast to the metal-catalyst-assisted VLS growth, GaN nanoparticles are found to prefer to nucleate and grow at these dislocation sites. High-resolution transmission electron microscopy (HRTEM) analysis demonstrates that the GaN nanoparticles possess two types of epitaxial orientation with respect to the corresponding GaN nanowire: (I) [1̅21̅0]np//[1̅21̅0]nw, (0001)np//(0001)nw; (II) [1̅21̅3]np//[12̅10]nw, (101̅0)np//(101̅0)nw. An increased Ga signal in the energy-dispersive spectroscopy (EDS) profile lines of the nanowires suggests GaN nanoparticle growth at the edge surface of the wires. All the crystallographic results confirm the importance of the dislocations with respect to the homoepitaxial growth of the GaN nanoparticles. Here, screw dislocations situated on the (0001) plane provide the self-step source to enable nucleation of the GaN nanoparticles.

  13. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  14. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  15. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  16. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  17. Control of ion content and nitrogen species using a mixed chemistry plasma for GaN grown at extremely high growth rates >9 μm/h by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Gunning, Brendan P.; Clinton, Evan A.; Merola, Joseph J.; Doolittle, W. Alan; Bresnahan, Rich C.

    2015-10-01

    Utilizing a modified nitrogen plasma source, plasma assisted molecular beam epitaxy (PAMBE) has been used to achieve higher growth rates in GaN. A higher conductance aperture plate, combined with higher nitrogen flow and added pumping capacity, resulted in dramatically increased growth rates up to 8.4 μm/h using 34 sccm of N2 while still maintaining acceptably low operating pressure. It was further discovered that argon could be added to the plasma gas to enhance growth rates up to 9.8 μm/h, which was achieved using 20 sccm of N2 and 7.7 sccm Ar flows at 600 W radio frequency power, for which the standard deviation of thickness was just 2% over a full 2 in. diameter wafer. A remote Langmuir style probe employing the flux gauge was used to indirectly measure the relative ion content in the plasma. The use of argon dilution at low plasma pressures resulted in a dramatic reduction of the plasma ion current by more than half, while high plasma pressures suppressed ion content regardless of plasma gas chemistry. Moreover, different trends are apparent for the molecular and atomic nitrogen species generated by varying pressure and nitrogen composition in the plasma. Argon dilution resulted in nearly an order of magnitude achievable growth rate range from 1 μm/h to nearly 10 μm/h. Even for films grown at more than 6 μm/h, the surface morphology remained smooth showing clear atomic steps with root mean square roughness less than 1 nm. Due to the low vapor pressure of Si, Ge was explored as an alternative n-type dopant for high growth rate applications. Electron concentrations from 2.2 × 1016 to 3.8 × 1019 cm-3 were achieved in GaN using Ge doping, and unintentionally doped GaN films exhibited low background electron concentrations of just 1-2 × 1015 cm-3. The highest growth rates resulted in macroscopic surface features due to Ga cell spitting, which is an engineering challenge still to be addressed. Nonetheless, the dramatically enhanced growth rates demonstrate

  18. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  19. Magnetic x-ray dichroism in ultrathin epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Tobin, J.G.; Goodman, K.W. [Lawrence Berkeley National Lab., CA (United States); Cummins, T.R. [Univ. of Missouri, Rolla, MO (United States)] [and others

    1997-04-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction.

  20. Magnetic x-ray dichroism in ultrathin epitaxial films

    International Nuclear Information System (INIS)

    Tobin, J.G.; Goodman, K.W.; Cummins, T.R.

    1997-01-01

    The authors have used Magnetic X-ray Linear Dichroism (MXLD) and Magnetic X-ray Circular Dichroism (MXCD) to study the magnetic properties of epitaxial overlayers in an elementally specific fashion. Both MXLD and MXCD Photoelectron Spectroscopy were performed in a high resolution mode at the Spectromicroscopy Facility of the ALS. Circular Polarization was obtained via the utilization of a novel phase retarder (soft x-ray quarter wave plate) based upon transmission through a multilayer film. The samples were low temperature Fe overlayers, magnetic alloy films of NiFe and CoNi, and Gd grown on Y. The authors results include a direct comparison of high resolution angle resolved Photoelectron Spectroscopy performed in MXLD and MXCD modes as well as structural studies with photoelectron diffraction

  1. A modular designed ultra-high-vacuum spin-polarized scanning tunneling microscope with controllable magnetic fields for investigating epitaxial thin films.

    Science.gov (United States)

    Wang, Kangkang; Lin, Wenzhi; Chinchore, Abhijit V; Liu, Yinghao; Smith, Arthur R

    2011-05-01

    A room-temperature ultra-high-vacuum scanning tunneling microscope for in situ scanning freshly grown epitaxial films has been developed. The core unit of the microscope, which consists of critical components including scanner and approach motors, is modular designed. This enables easy adaptation of the same microscope units to new growth systems with different sample-transfer geometries. Furthermore the core unit is designed to be fully compatible with cryogenic temperatures and high magnetic field operations. A double-stage spring suspension system with eddy current damping has been implemented to achieve ≤5 pm z stability in a noisy environment and in the presence of an interconnected growth chamber. Both tips and samples can be quickly exchanged in situ; also a tunable external magnetic field can be introduced using a transferable permanent magnet shuttle. This allows spin-polarized tunneling with magnetically coated tips. The performance of this microscope is demonstrated by atomic-resolution imaging of surface reconstructions on wide band-gap GaN surfaces and spin-resolved experiments on antiferromagnetic Mn(3)N(2)(010) surfaces.

  2. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  3. Valence band offset of β-Ga2O3/wurtzite GaN heterostructure measured by X-ray photoelectron spectroscopy.

    Science.gov (United States)

    Wei, Wei; Qin, Zhixin; Fan, Shunfei; Li, Zhiwei; Shi, Kai; Zhu, Qinsheng; Zhang, Guoyi

    2012-10-10

    A sample of the β-Ga2O3/wurtzite GaN heterostructure has been grown by dry thermal oxidation of GaN on a sapphire substrate. X-ray diffraction measurements show that the β-Ga2O3 layer was formed epitaxially on GaN. The valence band offset of the β-Ga2O3/wurtzite GaN heterostructure is measured by X-ray photoelectron spectroscopy. It is demonstrated that the valence band of the β-Ga2O3/GaN structure is 1.40 ± 0.08 eV.

  4. Semipolar GaN grown on m-plane sapphire using MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, Tim; Netzel, Carsten; Weyers, Markus [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Kneissl, Michael [Ferdinand-Braun-Institut fuer Hoechstfrequenztechnik, Berlin (Germany); Institute of Solid State Physics, Technical University of Berlin (Germany)

    2008-07-01

    We have investigated the MOVPE growth of semipolar gallium nitride (GaN) films on (10 anti 1 0) m-plane sapphire substrates. Specular GaN films with a RMS roughness (10 x 10 {mu}m{sup 2}) of 15.2 nm were obtained and an arrowhead like structure aligned along[ anti 2 113] is prevailing. The orientation relationship was determined by XRD and yielded (212){sub GaN} parallel (10 anti 10){sub sapphire} and [anti 2113]{sub GaN} parallel [0001]{sub sapphire} as well as [anti 2113]{sub GaN} parallel [000 anti 1]{sub sapphire}. PL spectra exhibited near band edge emission accompanied by a strong basal plane stacking fault emission. In addition lower energy peaks attributed to prismatic plane stacking faults and donor acceptor pair emission appeared in the spectrum. With similar growth conditions also (1013) GaN films on m-plane sapphire were obtained. In the later case we found that the layer was twinned, crystallites with different c-axis orientation were present. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. GaN and ZnO nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Soekmen, Uensal; Behrends, Arne; Al-Suleiman, Mohamed Aid Mansur; Merzsch, Stephan; Li, Shunfeng; Bakin, Andrey; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, Technische Universitaet Braunschweig, Braunschweig (Germany); Laehnemann, Jonas; Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-10-15

    GaN and ZnO are both wide band gap semiconductors with interesting properties concerning optoelectronic and sensor device applications. Due to the lack or the high costs of native substrates, alternatives like sapphire, silicon, or silicon carbide are taken, but the resulting lattice and thermal mismatches lead to increased defect densities which reduce the material quality. In contrast, nanostructures with high aspect ratio have lower defect densities as compared to layers. In this work, we give an overview on our results achieved on both ZnO as well as GaN based nanorods. ZnO nanostructures were grown by a wet chemical approach as well as by VPT on different substrates - even on flexible polymers. To compare the growth results we analyzed the structures by XRD and PL and show possible device applications. The GaN nano- and microstructures were grown by metal organic vapor phase epitaxy either in a self-organized process or by selective area growth for a better control of shape and material composition. Finally we take a look onto possible device applications, presenting our attempts, e.g., to build LEDs based on GaN nanostructures. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  6. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  7. Preparation of hcp-Ni(112-bar 0) epitaxial thin films on Au(100) single-crystal underlayers

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2010-01-01

    Ni epitaxial films with an hcp structure are successfully obtained on Au(100) single-crystal underlayers formed on MgO(100) substrates at temperatures lower than 300 {sup 0}C by molecular beam epitaxy. With increasing the substrate temperature, the volume ratio of more stable fcc phase inc{sub r}eases in the film. The Ni film prepared at 100 {sup 0}C consists primarily of hcp crystal with the (112-bar 0) plane parallel to the substrate surface coexisting with a small amount of fcc-Ni(100) crystal. The lattice constant of hcp-Ni crystal is determined as a = 0.249 nm, c = 0.398 nm, and c/a = 1.60.

  8. Step-flow growth mode instability of N-polar GaN under N-excess

    International Nuclear Information System (INIS)

    Chèze, C.; Sawicka, M.; Siekacz, M.; Łucznik, B.; Boćkowski, M.; Skierbiszewski, C.; Turski, H.; Cywiński, G.; Smalc-Koziorowska, J.; Weyher, J. L.; Kryśko, M.

    2013-01-01

    GaN layers were grown on N-polar GaN substrates by plasma-assisted molecular beam epitaxy under different III/V ratios. Ga-rich conditions assure step-flow growth with atomically flat surface covered by doubly-bunched steps, as for Ga-polar GaN. Growth under N-excess however leads to an unstable step-flow morphology. Particularly, for substrates slightly miscut towards , interlacing fingers are covered by atomic steps pinned on both sides by small hexagonal pits. In contrast, a three-dimensional island morphology is observed on the Ga-polar equivalent sample. We attribute this result to lower diffusion barriers on N-polar compared to Ga-polar GaN under N-rich conditions

  9. Thickness periodicity in the auger line shape from epitaxial (111)Cu films

    Energy Technology Data Exchange (ETDEWEB)

    Namba, Y; Vook, R W; Chao, S S

    1981-01-01

    The 61 eV MMM Cu Auger line doublet was recorded in the derivative mode as a function of thickness for epitaxial (111)Cu films approximately 1500 angstrom thick. The overlap of the doublet lines makes it possible to define a measure of the doublet profile called the ''R-factor'' as a ratio of the peak-to-peak heights of the small overlap oscillation to that of the major oscillation. To within the experimental error, it was found that the R-factor varies with a periodicity of approximately one monoatomic layer as the film thickens. Since these films grow by a layer growth mechaniism, the surface topography varies periodically with the number of monolayers deposited, going from a smooth to a rough to a smooth, etc. surface. It is believed that the occurrence of such a periodicity implies that there is a difference in the electronic structure at the surface of the flat areas of the film from that at the edges of monolayer high, flat islands. The amplitude of the oscillation in R is interpreted to be a measure of the relative amounts of edge area compared to flat area. These results show that it is possible to use Auger electron spectroscopy to monitor surface topography and the electronic structure changes that accompany the topographical changes occurring when epitaxial films grow by a layer growth mechanism.

  10. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  11. Determination of carrier diffusion length in GaN

    Science.gov (United States)

    Hafiz, Shopan; Zhang, Fan; Monavarian, Morteza; Avrutin, Vitaliy; Morkoç, Hadis; Özgür, Ümit; Metzner, Sebastian; Bertram, Frank; Christen, Jürgen; Gil, Bernard

    2015-01-01

    Diffusion lengths of photo-excited carriers along the c-direction were determined from photoluminescence (PL) and cross-sectional cathodoluminescence (CL) measurements in p- and n-type GaN epitaxial layers grown on c-plane sapphire by metal-organic chemical vapor deposition. The investigated samples incorporate a 6 nm thick In0.15Ga0.85N active layer capped with either 500 nm p-GaN or 1500 nm n-GaN. The top GaN layers were etched in steps and PL from the InGaN active region and the underlying layers was monitored as a function of the top GaN thickness upon photo-generation near the surface region by above bandgap excitation. Taking into consideration the absorption in the top GaN layer as well as active and underlying layers, the diffusion lengths at 295 K and at 15 K were measured to be 93 ± 7 nm and 70 ± 7 nm for Mg-doped p-type GaN and 432 ± 30 nm and 316 ± 30 nm for unintentionally doped n-type GaN, respectively, at photogenerated carrier densities of 4.2 × 1018 cm-3 using PL spectroscopy. CL measurements of the unintentionally doped n-type GaN layer at much lower carrier densities of 1017 cm-3 revealed a longer diffusion length of 525 ± 11 nm at 6 K.

  12. Misfit strain-film thickness phase diagrams and related electromechanical properties of epitaxial ultra-thin lead zirconate titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Q.Y.; Mahjoub, R. [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia); Alpay, S.P. [Materials Science and Engineering Program and Institute of Materials Science, University of Connecticut, Storrs, CT 06269 (United States); Nagarajan, V., E-mail: nagarajan@unsw.edu.au [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia)

    2010-02-15

    The phase stability of ultra-thin (0 0 1) oriented ferroelectric PbZr{sub 1-x}Ti{sub x}O{sub 3} (PZT) epitaxial thin films as a function of the film composition, film thickness, and the misfit strain is analyzed using a non-linear Landau-Ginzburg-Devonshire thermodynamic model taking into account the electrical and mechanical boundary conditions. The theoretical formalism incorporates the role of the depolarization field as well as the possibility of the relaxation of in-plane strains via the formation of microstructural features such as misfit dislocations at the growth temperature and ferroelastic polydomain patterns below the paraelectric-ferroelectric phase transformation temperature. Film thickness-misfit strain phase diagrams are developed for PZT films with four different compositions (x = 1, 0.9, 0.8 and 0.7) as a function of the film thickness. The results show that the so-called rotational r-phase appears in a very narrow range of misfit strain and thickness of the film. Furthermore, the in-plane and out-of-plane dielectric permittivities {epsilon}{sub 11} and {epsilon}{sub 33}, as well as the out-of-plane piezoelectric coefficients d{sub 33} for the PZT thin films, are computed as a function of misfit strain, taking into account substrate-induced clamping. The model reveals that previously predicted ultrahigh piezoelectric coefficients due to misfit-strain-induced phase transitions are practically achievable only in an extremely narrow range of film thickness, composition and misfit strain parameter space. We also show that the dielectric and piezoelectric properties of epitaxial ferroelectric films can be tailored through strain engineering and microstructural optimization.

  13. Selected Energy Epitaxial Deposition and Low Energy Electron Microscopy of AlN, GaN and SiC Thin Films

    National Research Council Canada - National Science Library

    Davis, R

    1999-01-01

    The homoepitaxial growth of GaN(0001) layers was studied in situ and in real time using the low-energy electron microscope and ex situ using atomic force microscopy and transmission electron microscopy...

  14. Epitaxial growth of topological insulator Bi{sub 2}Se{sub 3} film on Si(111) with atomically sharp interface

    Energy Technology Data Exchange (ETDEWEB)

    Bansal, Namrata [Department of Electrical and Computer Engineering, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Kim, Yong Seung [Graphene Research Institute, Sejong University, Seoul 143-747 (Korea, Republic of); Edrey, Eliav; Brahlek, Matthew; Horibe, Yoichi [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Iida, Keiko; Tanimura, Makoto [Research Department, Nissan Arc, Ltd. Yokosuka, Kanagawa 237-0061 (Japan); Li Guohong; Feng Tian; Lee, Hang-Dong; Gustafsson, Torgny; Andrei, Eva [Department of Physics and Astronomy, Rutgers, State University of New Jersey, Piscataway, NJ 08854 (United States); Oh, Seongshik, E-mail: ohsean@physics.rutgers.edu [Department of Physics and Astronomy, Rutgers, the State University of New Jersey, Piscataway, NJ 08854 (United States)

    2011-10-31

    Atomically sharp epitaxial growth of Bi{sub 2}Se{sub 3} films is achieved on Si(111) substrate with molecular beam epitaxy. Two-step growth process is found to be a key to achieve interfacial-layer-free epitaxial Bi{sub 2}Se{sub 3} films on Si substrates. With a single-step high temperature growth, second phase clusters are formed at an early stage. On the other hand, with low temperature growth, the film tends to be disordered even in the absence of a second phase. With a low temperature initial growth followed by a high temperature growth, second-phase-free atomically sharp interface is obtained between Bi{sub 2}Se{sub 3} and Si substrate, as verified by reflection high energy electron diffraction (RHEED), transmission electron microscopy (TEM) and X-ray diffraction. The lattice constant of Bi{sub 2}Se{sub 3} is observed to relax to its bulk value during the first quintuple layer according to RHEED analysis, implying the absence of strain from the substrate. TEM shows a fully epitaxial structure of Bi{sub 2}Se{sub 3} film down to the first quintuple layer without any second phase or an amorphous layer.

  15. Epitaxial growth of "infinite layer” thin films and multilayers by rf magnetron sputtering

    OpenAIRE

    Fàbrega, L.; Koller, E.; Triscone, J. M.; Fischer, Ø.

    2017-01-01

    We report on the preparation and characterization of epitaxial ACuO2 (A = Sr, Ca, Ba) thin films and multilayers with the so- called infinite layer (IL) structure, by rf magnetron sputtering. Films and multilayers without Ba have a remarkable crystal quality, whereas those containing this large ion are often multiphased and unstable. In spite of the excellent crystalline quality of these samples, obtaining thin films having both IL structure and displaying superconducting properties has not s...

  16. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Van der Waals epitaxy of GaN-based light-emitting diodes on wet-transferred multilayer graphene film

    Science.gov (United States)

    Li, Yang; Zhao, Yun; Wei, Tongbo; Liu, Zhiqiang; Duan, Ruifei; Wang, Yunyu; Zhang, Xiang; Wu, QingQing; Yan, Jianchang; Yi, Xiaoyao; Yuan, Guodong; Wang, Junxi; Li, Jimin

    2017-08-01

    We experimentally investigated the possibility of using multilayer graphene to solve large mismatch problems between sapphire and nitride and further studied the effects of a multilayer graphene interlayer on the optical and electrical properties of LEDs. For the subsequent growth of 3-µm-thick GaN on AlN, multilayer graphene helps release stress and effectively removes cracks. In addition, multilayer graphene increases the diffraction of the substrate surface as determined from the increase in optical transmittance spectra in the wavelength range of 400-900 nm. Although the crystalline quality of GaN with multilayer graphene is slightly decreased, LEDs grown on multilayer graphene still show a higher output power than those grown on conventional sapphire. The present findings showed that the multilayer graphene layer is attractive as a potential substrate for the epitaxial growth of III-nitride to reduce stress and it could improve back light extraction as a rough layer to increase external quantum efficiency.

  18. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  19. Epitaxially influenced boundary layer model for size effect in thin metallic films

    International Nuclear Information System (INIS)

    Bazant, Zdenek P.; Guo Zaoyang; Espinosa, Horacio D.; Zhu Yong; Peng Bei

    2005-01-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films

  20. Epitaxially influenced boundary layer model for size effect in thin metallic films

    Science.gov (United States)

    Bažant, Zdeněk P.; Guo, Zaoyang; Espinosa, Horacio D.; Zhu, Yong; Peng, Bei

    2005-04-01

    It is shown that the size effect recently observed by Espinosa et al., [J. Mech. Phys. Solids51, 47 (2003)] in pure tension tests on free thin metallic films can be explained by the existence of a boundary layer of fixed thickness, located at the surface of the film that was attached onto the substrate during deposition. The boundary layer is influenced by the epitaxial effects of crystal growth on the dislocation density and texture (manifested by prevalent crystal plane orientations). This influence is assumed to cause significantly elevated yield strength. Furthermore, the observed gradual postpeak softening, along with its size independence, which is observed in short film strips subjected to pure tension, is explained by slip localization, originating at notch-like defects, and by damage, which can propagate in a stable manner when the film strip under pure tension is sufficiently thin and short. For general applications, the present epitaxially influenced boundary layer model may be combined with the classical strain-gradient plasticity proposed by Gao et al., [J. Mech. Phys. Solids 47, 1239 (1999)], and it is shown that this combination is necessary to fit the test data on both pure tension and bending of thin films by one and the same theory. To deal with films having different crystal grain sizes, the Hall-Petch relation for the yield strength dependence on the grain size needs to be incorporated into the combined theory. For very thin films, in which a flattened grain fills the whole film thickness, the Hall-Petch relation needs a cutoff, and the asymptotic increase of yield strength with diminishing film thickness is then described by the extension of Nix's model of misfit dislocations by Zhang and Zhou [J. Adv. Mater. 38, 51 (2002)]. The final result is a proposal of a general theory for strength, size effect, hardening, and softening of thin metallic films.

  1. Study of epitaxial YBa2Cu3Ox films

    International Nuclear Information System (INIS)

    Lee, S.G.; Chi, C.C.; Koren, G.; Gupta, A.; Segmuller, A.

    1990-01-01

    In this paper, the authors present a systematic study of epitaxial YBa 2 Cu 3 O x films laser ablated on Y-cut LiNbO 3 substrates. X-ray diffraction pattern indicates that the c-axis is perpendicular to the substrate plane and the (110) direction of the film is parallel to the (110) of the substrate with two domains with the (110) as a mirror plane. Resistivity of the film shows a typical metallic behavior in the normal state with a sharp transition at 92K. The effects of oxygen deficiency on the resistivity are also studied. Oxygen content is controlled by annealing the sample either in low oxygen pressure or in vacuum and estimated from the c-axis lattice parameter determined by X-ray diffraction. As oxygen is depleted gradually, the film resistivity shows metallic, semiconducting, and eventually insulating behaviors. Superconducting percolation phenomenon is observed for the semiconducting sample at low temperatures

  2. Pulsed laser deposition of epitaxial yttrium iron garnet films with low Gilbert damping and bulk-like magnetization

    Directory of Open Access Journals (Sweden)

    M. C. Onbasli

    2014-10-01

    Full Text Available Yttrium iron garnet (YIG, Y 3Fe5O12 films have been epitaxially grown on Gadolinium Gallium Garnet (GGG, Gd3Ga5O12 substrates with (100 orientation using pulsed laser deposition. The films were single-phase, epitaxial with the GGG substrate, and the root-mean-square surface roughness varied between 0.14 nm and 0.2 nm. Films with thicknesses ranging from 17 to 200 nm exhibited low coercivity (<2 Oe, near-bulk room temperature saturation moments (∼135 emu cm−3, in-plane easy axis, and damping parameters as low as 2.2 × 10−4. These high quality YIG thin films are useful in the investigation of the origins of novel magnetic phenomena and magnetization dynamics.

  3. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  4. Luminescence of highly excited nonpolar a-plane GaN and AlGaN/GaN multiple quantum wells

    International Nuclear Information System (INIS)

    Jursenas, S.; Kuokstis, E.; Miasojedovas, S.; Kurilcik, G.; Zukauskas, A.; Chen, C.Q.; Yang, J.W.; Adivarahan, V.; Asif Khan, M.

    2004-01-01

    Carrier recombination dynamics in polar and nonpolar GaN epilayers and GaN/AlGaN multiple quantum wells grown over sapphire substrates with a various crystallographic orientation were studied under high photoexcitation by 20 ps laser pulses. The transient luminescence featured a significant enhancement on nonradiative recombination of free carriers for nonpolar a-plane GaN epilayers compared to conventional c-plane samples. The epitaxial layer overgrowth technique was demonstrated to significantly improve the quality of nonpolar a-plane films. This was proved by more than 40-fold increase in luminescence decay time (430 ps compared to ≤ 10 ps in the ordinary a-plane epilayer). Under high-excitation regime, a complete screening of built-in electric field by free carriers in multiple quantum wells grown on c-plane and r-plane sapphire substrates was achieved. Under such high excitation, luminescence efficiency and carrier lifetime of multiple quantum wells were shown to be determined by the substrate quality. (author)

  5. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  6. Investigation of different mechanisms of GaN growth induced on AlN and GaN nucleation layers

    International Nuclear Information System (INIS)

    Tasco, V.; Campa, A.; Tarantini, I.; Passaseo, A.; Gonzalez-Posada, F.; Munoz, E.; Redondo-Cubero, A.; Lorenz, K.; Franco, N.

    2009-01-01

    The evolution of GaN growth on AlN and GaN nucleation layers is compared through morphological and structural analyses, including ion beam analysis. By using AlN nucleation layer grown at high temperature, improved crystalline quality is exhibited by 300 nm thin GaN epilayers. GaN (002) x-ray rocking curve as narrow as 168 arc sec and atomic-step surface morphology characterize such a thin GaN film on AlN. Defects are strongly confined into the first 50 nm of growth, whereas a fast laterally coherent growth is observed when increasing thickness, as an effect of high temperature AlN surface morphology and Ga adatom dynamics over this template

  7. Resistivity Effects of Cation Ordering in Highly-Doped La2-xSrxCu4 Epitaxial Thin Films

    Science.gov (United States)

    Burquest, Franklin; Marmol, Rodrigo; Cox, Nicholas; Nelson-Cheeseman, Brittany

    Highly-doped La2-xSrxCuO4 (LSCO) films (0.5 causes internal polar electrostatic forces, which have been shown to cause stretching of the apical oxygen bond in analogous epitaxial nickelate films. Thin film samples are grown concurrently to minimize extraneous effects on film structure and properties. Atomic force microscopy and x-ray reflectivity demonstrate that the films are single crystalline, epitaxial, and smooth. X-ray diffraction is used to measure the c-axis of the films as a function of doping and dopant cation ordering. Electrical transport data of the ordered samples is compared with transport data of conventional disordered cation samples. Preliminary data indicates significant differences in resistivity at both 300K and 10K between the cation-ordered and cation-disordered samples. This work indicates that dopant cation ordering within the layered cuprates could significantly modify the conduction mechanisms at play in these materials.

  8. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  9. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  10. Transformation behaviour of freestanding epitaxial Ni–Mn–Ga films

    International Nuclear Information System (INIS)

    Yeduru, S.R.; Backen, A.; Fähler, S.; Schultz, L.; Kohl, M.

    2013-01-01

    Highlights: ► The complex martensite microstructure of free-standing epitaxial Ni–Mn–Ga films. ► A two-stage transformation in the temperature range between 40 °C and 160 °C. ► Temperature dependent mechanical properties of free-standing Ni–Mn–Ga films. ► With increasing temperature, the twinning stress decreases due to thermal activation of twin boundaries. ► Large superplastic strain increases from about 10% at 110 °C to 14% at RT. -- Abstract: We analyze the transformation behaviour of a 2 μm thick epitaxial Ni–Mn–Ga film by combining temperature dependent measurements of magnetization, electrical resistance, X-ray diffraction (XRD) and tensile stress–strain characteristics. While the magnetization measurements hint for a simple austenite–martensite transformation below the Curie temperature at about 90 °C, resistivity measurements reveal a two-stage transformation in the temperature regimes (I) of 40–80 °C and (II) of 140–160 °C. XRD and pseudoplastic behaviour prove the presence of martensite well above the Curie point. The combination of four independent methods suggests that the transformation at (II) may originate from a weakly first order transformation followed by an intermartensitic transformation at (I). This interpretation is in line with the large superplastic strain observed for the tensile direction parallel to the [1 0 0] direction of the Ni–Mn–Ga unit cell. The strain increases from about 10% at 110 °C to 14% at room temperature suggesting an increase in tetragonal distortion

  11. GaN growth on silane exposed AlN seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz-Zepeda, F. [Posgrado en Fisica de Materiales, Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Km. 107 Carret, Tijuana-Ensenada, C.P. 22860, Ensenada, B.C. (Mexico); Contreras, O. [Centro de Ciencias de la Materia Condesada, Universidad Nacional Autonoma de Mexico, Apdo. Postal 356, C.P. 22800, Ensenada, B.C. (Mexico); Dadgar, A.; Krost, A. [Otto-von-Guericke-Universitaet Magdeburg, FNW-IEP, Universitaetsplatz 2, 39106 Magdeburg (Germany)

    2008-07-01

    The microstructure and surface morphology of GaN films grown on AlN seed layers exposed to silane flow has been studied by TEM and AFM. The epilayers were grown on silicon(111) substrates by MOCVD. The AlN seed layer surface was treated at different SiH{sub 4} exposure times prior to the growth of the GaN film. A reduction in the density of threading dislocations is observed in the GaN films and their surface roughness is minimized for an optimal SiH{sub 4} exposure time between 75-90 sec. At this optimal condition a step-flow growth mode of GaN film is predominant. The improvement of the surface and structure quality of the epilayers is observed to be related to an annihilation process of threading dislocations done by SiN{sub x} masking. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Dynamic nonlinearity in epitaxial BaTiO.sub.3./sub. films

    Czech Academy of Sciences Publication Activity Database

    Tyunina, Marina; Savinov, Maxim

    2016-01-01

    Roč. 94, č. 5 (2016), 1-6, č. článku 054109. ISSN 2469-9950 R&D Projects: GA ČR GA15-15123S Institutional support: RVO:68378271 Keywords : dynamic nonlinearity * epitaxial * BaTiO 3 films Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.836, year: 2016

  13. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    International Nuclear Information System (INIS)

    Alevli, Mustafa; Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi

    2016-01-01

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor

  14. Comparison of trimethylgallium and triethylgallium as “Ga” source materials for the growth of ultrathin GaN films on Si (100) substrates via hollow-cathode plasma-assisted atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Alevli, Mustafa, E-mail: mustafaalevli@marmara.edu.tr [Department of Physics, Marmara University, Göztepe Kadıköy, 34722 İstanbul (Turkey); Haider, Ali; Kizir, Seda; Leghari, Shahid A.; Biyikli, Necmi, E-mail: biyikli@unam.bilkent.edu.tr [Institute of Materials Science and Nanotechnology, Bilkent University, Bilkent, 06800 Ankara, Turkey and National Nanotechnology Research Center (UNAM), Bilkent University, Bilkent, 06800 Ankara (Turkey)

    2016-01-15

    GaN films grown by hollow cathode plasma-assisted atomic layer deposition using trimethylgallium (TMG) and triethylgallium (TEG) as gallium precursors are compared. Optimized and saturated TMG/TEG pulse widths were used in order to study the effect of group-III precursors. The films were characterized by grazing incidence x-ray diffraction, atomic force microscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. Refractive index follows the same trend of crystalline quality, mean grain, and crystallite sizes. GaN layers grown using TMG precursor exhibited improved structural and optical properties when compared to GaN films grown with TEG precursor.

  15. Epitaxial growth of rhenium with sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Oh, Seongshik [National Institute of Standards and Technology, Boulder, CO 80305 (United States) and Department of Physics, University of Illinois, Urbana, IL 61801 (United States)]. E-mail: soh@boulder.nist.gov; Hite, Dustin A. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Cicak, K. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Osborn, Kevin D. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); Simmonds, Raymond W. [National Institute of Standards and Technology, Boulder, CO 80305 (United States); McDermott, Robert [University of California, Santa Barbara, CA 93106 (United States); Cooper, Ken B. [University of California, Santa Barbara, CA 93106 (United States); Steffen, Matthias [University of California, Santa Barbara, CA 93106 (United States); Martinis, John M. [University of California, Santa Barbara, CA 93106 (United States); Pappas, David P. [National Institute of Standards and Technology, Boulder, CO 80305 (United States)

    2006-02-21

    We have grown epitaxial Rhenium (Re) (0001) films on {alpha}-Al{sub 2}O{sub 3} (0001) substrates using sputter deposition in an ultra high vacuum system. We find that better epitaxy is achieved with DC rather than with RF sputtering. With DC sputtering, epitaxy is obtained with the substrate temperatures above 700 deg. C and deposition rates below 0.1 nm/s. The epitaxial Re films are typically composed of terraced hexagonal islands with screw dislocations, and island size gets larger with high temperature post-deposition annealing. The growth starts in a three dimensional mode but transforms into two dimensional mode as the film gets thicker. With a thin ({approx}2 nm) seed layer deposited at room temperature and annealed at a high temperature, the initial three dimensional growth can be suppressed. This results in larger islands when a thick film is grown at 850 deg. C on the seed layer. We also find that when a room temperature deposited Re film is annealed to higher temperatures, epitaxial features start to show up above {approx}600 deg. C, but the film tends to be disordered.

  16. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn4N epitaxial thin film

    International Nuclear Information System (INIS)

    Shen, Xi; Shigematsu, Kei; Chikamatsu, Akira; Fukumura, Tomoteru; Hirose, Yasushi; Hasegawa, Tetsuya

    2014-01-01

    We report the electrical transport properties of ferrimagnetic Mn 4 N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn 4 N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m 3 , which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  17. Crystallography and Growth of Epitaxial Oxide Films for Fundamental Studies of Cathode Materials Used in Advanced Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Leonid A. Bendersky

    2017-05-01

    Full Text Available Li-ion battery systems, synthesized as epitaxial thin films, can provide powerful insights into their electrochemical processes. Crystallographic analysis shows that many important cathode oxides have an underlying similarity: their structures can be considered as different ordering schemes of Li and transition metal ions within a pseudo-cubic sublattice of oxygen anions arranged in a face-center cubic (FCC fashion. This oxygen sublattice is compatible with SrTiO3 and similar perovskite oxides, thus perovskites can be used as supporting substrates for growing epitaxial cathode films. The predicted epitaxial growth and crystallographic relations were experimentally verified for different oxide films deposited by pulsed laser deposition (PLD on SrTiO3 or SrRuO3/SrTiO3 of different orientations. The results based on cross-sectional high-resolution TEM of the following films are presented in the paper: (a trigonal LiCoO2; (b orthorhombic LiMnO2; (c monoclinic Li2MnO3; (d compositionally-complex monoclinic Li1.2Mn0.55Ni0.15Co0.1O2. All results demonstrated the feasibility of epitaxial growth for these materials, with the growth following the predicted cube-on-cube orientation relationship between the cubic and pseudo-cubic oxygen sublattices of a substrate and a film, respectively.

  18. Selective-area growth of GaN nanowires on SiO{sub 2}-masked Si (111) substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kruse, J. E.; Doundoulakis, G. [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Lymperakis, L. [Max-Planck-Institut für Eisenforschung, Max-Planck-Straße 1, 40237 Düsseldorf (Germany); Eftychis, S.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Department of Physics, University of Crete, P. O. Box 2208, 71003 Heraklion (Greece); Adikimenakis, A.; Tsagaraki, K.; Androulidaki, M.; Konstantinidis, G. [Institute of Electronic Structure and Laser, Foundation for Research and Technology–Hellas, N. Plastira 100, 70013 Heraklion (Greece); Olziersky, A.; Dimitrakis, P.; Ioannou-Sougleridis, V.; Normand, P. [Institute of Nanoscience and Nanotechnology, NCSR Demokritos, Patriarchou Grigoriou and Neapoleos 27, 15310 Aghia Paraskevi, Athens (Greece); Koukoula, T.; Kehagias, Th.; Komninou, Ph. [Department of Physics, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2016-06-14

    We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO{sub 2} mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A window diameter less than 50 nm and a window spacing larger than 500 nm can provide single nanowire nucleation in nearly all mask windows. The results are consistent with a Ga diffusion length on the silicon dioxide surface in the order of approximately 1 μm.

  19. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  20. The trap states in lightly Mg-doped GaN grown by MOVPE on a freestanding GaN substrate

    Science.gov (United States)

    Narita, Tetsuo; Tokuda, Yutaka; Kogiso, Tatsuya; Tomita, Kazuyoshi; Kachi, Tetsu

    2018-04-01

    We investigated traps in lightly Mg-doped (2 × 1017 cm-3) p-GaN fabricated by metalorganic vapor phase epitaxy (MOVPE) on a freestanding GaN substrate and the subsequent post-growth annealing, using deep level transient spectroscopy. We identified four hole traps with energy levels of EV + 0.46, 0.88, 1.0, and 1.3 eV and one electron trap at EC - 0.57 eV in a p-type GaN layer uniformly doped with magnesium (Mg). The Arrhenius plot of hole traps with the highest concentration (˜3 × 1016 cm-3) located at EV + 0.88 eV corresponded to those of hole traps ascribed to carbon on nitrogen sites in n-type GaN samples grown by MOVPE. In fact, the range of the hole trap concentrations at EV + 0.88 eV was close to the carbon concentration detected by secondary ion mass spectroscopy. Moreover, the electron trap at EC - 0.57 eV was also identical to the dominant electron traps commonly observed in n-type GaN. Together, these results suggest that the trap states in the lightly Mg-doped GaN grown by MOVPE show a strong similarity to those in n-type GaN, which can be explained by the Fermi level close to the conduction band minimum in pristine MOVPE grown samples due to existing residual donors and Mg-hydrogen complexes.

  1. Microstructure of Co/X (X=Cu,Ag,Au) epitaxial thin films grown on Al2O3(0001) substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Akita, Yuta; Futamoto, Masaaki; Kirino, Fumiyoshi

    2007-01-01

    Epitaxial thin films of Co/X (X=Cu,Ag,Au) were prepared on Al 2 O 3 (0001) substrates at substrate temperatures of 100 and 300 degree sign C by UHV molecular beam epitaxy. A complicated microstructure was realized for the epitaxial thin films. In-situ reflection high-energy electron diffraction observation has shown that X atoms of the buffer layer segregated to the surface during Co layer deposition, and it yielded a unique epitaxial granular structure. The structure consists of small Co grains buried in the X buffer layer, where both the magnetic small Co grains and the nonmagnetic X layer are epitaxially grown on the single crystal substrate. The structure varied depending on the X element and the substrate temperature. The crystal structure of Co grains is influenced by the buffer layer material and determined to be hcp and fcc structures for the buffer layer materials of Au and Cu, respectively

  2. Quantized dissipation and random telegraph voltage noise in epitaxial BiSrCaCuO thin films

    International Nuclear Information System (INIS)

    Jung, G.; Savo, B.; Vecchione, A.

    1993-01-01

    In this paper we report on the observation of correlated multiple-voltage RTN switching in high quality epitaxial BiSrCaCuO thin film. We ascribe the correlated noise to the quantization of flux flow dissipation in the film. (orig.)

  3. Epitaxial growth of ultra-thin NbN films on AlxGa1−xN buffer-layers

    International Nuclear Information System (INIS)

    Krause, S; Meledin, D; Desmaris, V; Pavolotsky, A; Belitsky, V; Rudziński, M; Pippel, E

    2014-01-01

    The suitability of Al x Ga 1−x N epilayers to deposit onto ultra-thin NbN films has been demonstrated for the first time. High quality single-crystal films with 5 nm thickness confirmed by high resolution transmission electron microscopy (HRTEM) have been deposited in a reproducible manner by means of reactive DC magnetron sputtering at elevated temperatures and exhibit critical temperatures (T c ) as high as 13.2 K and residual resistivity ratio (RRR) ∼1 on hexagonal GaN epilayers. On increasing the Al content x in the Al x Ga 1−x N epilayer above 20%, a gradual deterioration of T c to 10 K was observed. Deposition of NbN on bare silicon substrates served as a reference and comparison. Excellent spatial homogeneity of the fabricated films was confirmed by R(T) measurements of patterned micro-bridges across the entire film area. The superconducting properties of these films were further characterized by critical magnetic field and critical current measurements. It is expected that the employment of GaN material as a buffer-layer for the deposition of ultra-thin NbN films will prospectively benefit terahertz electronics, particularly hot electron bolometer (HEB) mixers. (paper)

  4. Thermoelastic Stress Field Investigation of GaN Material for Laser Lift-off Technique based on Finite Element Method

    International Nuclear Information System (INIS)

    Ting, Wang; Zhan-Zhong, Cui; Li-Xin, Xu

    2009-01-01

    The transient thermoelastic stress fields of GaN films is analyzed by the finite element method for the laser lift-off (LLO) technique. Stress distributions in GaN films irradiated by pulse laser with different energy densities as functions of time and depth are simulated. The results show that the high thermoelastic stress distributions in GaN films localize within about 1 μm below the GaN/Al 2 O 3 interface using proper laser parameters. It is also found that GaN films can avoid the thermal deformation because the maximum thermoelastic stress 4.28 GPa is much smaller than the yield strength of GaN 15GPa. The effects of laser beam dimension and the thickness of GaN films on stress distribution are also analyzed. The variation range of laser beam dimension as a function of the thickness of GaN films is simulated to keep the GaN films free of thermal deformation. LLO experiments are also carried out. GaN-based light-emitting diodes (LEDs) are separated from sapphire substrates using the parameters obtained from the simulation. Compared with devices before LLO, P–I–V measurements of GaN-based LEDs after LLO show that the electrical and optical characteristics improve greatly, indicating that no stress damage is brought to GaN films using proper parameters obtained by calculation during LLO

  5. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Braic, M.; Zoita, N.C.; Danila, M.; Grigorescu, C.E.A.; Logofatu, C.

    2015-01-01

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH 4 . The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC 0.84 thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH 4 . • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed

  6. Hetero-epitaxial growth of TiC films on MgO(001) at 100 °C by DC reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Braic, M. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Zoita, N.C., E-mail: cnzoita@inoe.ro [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Danila, M. [National Institute for Research and Development in Microtechnology, 126A Erou Iancu Nicolae Blvd., 077190 Bucharest (Romania); Grigorescu, C.E.A. [National Institute for Optoelectronics, 409 Atomistilor St., 077125 Magurele (Romania); Logofatu, C. [National Institute of Materials Physics, 105 bis Atomistilor St., 077125 Magurele (Romania)

    2015-08-31

    Hetero-epitaxial TiC thin films were deposited at 100 °C on MgO(001) by DC reactive magnetron sputtering in a mixture of Ar and CH{sub 4}. The 62 nm thick films were analyzed for elemental composition and chemical bonding by Auger electron spectroscopy, X-ray photoelectron spectroscopy and micro-Raman spectroscopy. The crystallographic structure investigation by high resolution X-ray diffraction revealed that the films consist of two layers: an interface partially strained epilayer with high crystalline quality, and a relaxed layer, formed by columnar grains, maintaining the epitaxial relationship with the substrate. The films presented smooth surfaces (RMS roughness ~ 0.55 nm), with circular equi-sized grains/crystallites, as observed by atomic force microscopy. The Hall measurements in Van der Pauw geometry revealed relatively high resistivity value ~ 620 μΩ cm, ascribed to electron scattering on interfaces, on grain boundaries and on different defects/dislocations. - Highlights: • Hetero-epitaxial TiC{sub 0.84} thin films were grown on MgO(001) at 100 °C by magnetron sputtering. • 62 nm thick films were synthesized by magnetron sputtering, using Ti, Ar and CH{sub 4}. • The film comprises a partially strained interface epilayer and a relaxed top layer. • Both layers preserve the epitaxial relationship with the substrate. • Low RMS surface roughness ~ 0.55 nm and grains with mean lateral size of ~ 38.5 nm were observed.

  7. Influence of layer thickness on the structure and the magnetic properties of Co/Pd epitaxial multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan); Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2012-03-15

    Co/Pd epitaxial multilayer films were prepared on Pd(111){sub fcc} underlayers hetero-epitaxially grown on MgO(111){sub B1} single-crystal substrates at room temperature by ultra-high vacuum RF magnetron sputtering. In-situ reflection high energy electron diffraction shows that the in-plane lattice spacing of Co on Pd layer gradually decreases with increasing the Co layer thickness, whereas that of Pd on Co layer remains unchanged during the Pd layer formation. The CoPd alloy phase formation is observed around the Co/Pd interface. The atomic mixing is enhanced for thinner Co and Pd layers in multilayer structure. With decreasing the Co and the Pd layer thicknesses and increasing the repetition number of Co/Pd multilayer film, stronger perpendicular magnetic anisotropy is observed. The relationships between the film structure and the magnetic properties are discussed. - Highlights: Black-Right-Pointing-Pointer Epitaxial Co/Pd multilayer films are prepared on Pd(111){sub fcc} underlayers. Black-Right-Pointing-Pointer Lattice strain in Co layer and CoPd-alloy formation are noted around the interface. Black-Right-Pointing-Pointer Magnetic property dependence on layer thickness is reported.

  8. Structure characterization of Pd/Co/Pd tri-layer films epitaxially grown on MgO single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tobari, Kousuke, E-mail: tobari@futamoto.elect.chuo-u.ac.jp; Ohtake, Mitsuru; Nagano, Katsumasa; Futamoto, Masaaki

    2011-09-30

    Pd/Co/Pd tri-layer films were prepared on MgO substrates of (001), (111), and (011) orientations at room temperature by ultra high vacuum rf magnetron sputtering. The detailed film structures around the Co/Pd and the Pd/Co interfaces are investigated by reflection high energy electron diffraction. Pd layers of (001){sub fcc}, (111){sub fcc}, and (011){sub fcc} orientations epitaxially grow on the respective MgO substrates. Strained fcc-Co(001) single-crystal layers are formed on the Pd(001){sub fcc} layers by accommodating the fairly large lattice mismatch between the Co and the Pd layers. On the Co layers,, Pd polycrystalline layers are formed. When Co films are formed on the Pd(111){sub fcc} and the Pd(011){sub fcc} layers, atomic mixing is observed around the Co/Pd interfaces and fcc-CoPd alloy phases are coexisting with Co crystals. The Co crystals formed on the Pd(111){sub fcc} layers consist of hcp(0001) + fcc(111) and Pd(111){sub fcc} epitaxial layers are formed on the Co layers. Co crystals epitaxially grow on the Pd(011){sub fcc} layers with two variants, hcp(11-bar 00) and fcc(111). On the Co layers, Pd(011){sub fcc} epitaxial layers are formed.

  9. The epitaxial growth and interfacial strain study of VO{sub 2}/MgF{sub 2} (001) films by synchrotron based grazing incidence X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Fan, L.L. [Key Laboratory for Advanced Technology in Environmental Protection of Jiangsu Province, Yancheng Institute of Technology, Yancheng 224051 (China); National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Chen, S. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Liu, Q.H. [Science and Technology on Electro-optical Information Security Control Laboratory, Tianjin 300300 (China); Liao, G.M.; Chen, Y.L.; Ren, H. [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China); Zou, C.W., E-mail: czou@ustc.edu.cn [National Synchrotron Radiation Laboratory, University of Science and Technology of China, Hefei 230029 (China)

    2016-09-05

    High quality VO{sub 2} films with different thickness were epitaxially grown on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. The evolution of interfacial strain was investigated by synchrotron based grazing incidence X-ray diffraction. By adjusting the incidence angles, the penetration depth of X-ray in VO{sub 2} film could be controlled and the thickness-depend lattice distortion in the epitaxial VO{sub 2} film was investigated. Due to the lattice mismatching, the pronounced tensile strain was observed in ultra-thin VO{sub 2} film. As the film thickness increasing, the interfacial strain relaxed gradually and became fully relaxed for thick VO{sub 2} films. Combined with the electric transport measurement, it was revealed that the phase transition temperature of ultra-thin VO{sub 2} film decreased greatly. The effect of interfacial strain induced phase transition modulation and the intrinsic mechanism was systematically discussed. - Highlights: • We prepared high quality VO{sub 2} epitaxial films on MgF{sub 2} (001) substrates by oxide molecular beam epitaxy method. • Synchrotron radiation grazing incidence X-ray diffraction was employed to detect evolution of strain along depth profile. • Based on a classic band structure model, the mechanism of strain controlled phase transition of VO{sub 2} was discussed.

  10. Oxygen adsorption and incorporation at irradiated GaN(0001) and GaN(0001¯) surfaces: First-principles density-functional calculations

    Science.gov (United States)

    Sun, Qiang; Selloni, Annabella; Myers, T. H.; Doolittle, W. Alan

    2006-11-01

    Density functional theory calculations of oxygen adsorption and incorporation at the polar GaN(0001) and GaN(0001¯) surfaces have been carried out to explain the experimentally observed reduced oxygen concentration in GaN samples grown by molecular beam epitaxy in the presence of high energy (˜10keV) electron beam irradiation [Myers , J. Vac. Sci. Technol. B 18, 2295 (2000)]. Using a model in which the effect of the irradiation is to excite electrons from the valence to the conduction band, we find that both the energy cost of incorporating oxygen impurities in deeper layers and the oxygen adatom diffusion barriers are significantly reduced in the presence of the excitation. The latter effect leads to a higher probability for two O adatoms to recombine and desorb, and thus to a reduced oxygen concentration in the irradiated samples, consistent with experimental observations.

  11. Synthesis and characterization of a liquid Eu precursor (EuCp{sup pm}{sub 2}) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Brandon, E-mail: bmitchell@wcupa.edu [Department of Physics, West Chester University, West Chester, PA, 19383 (United States); Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru [Kojundo Chemical Laboratory Co., Ltd., 5-1-28 Chiyoda, Sakado, Saitama, 350-0284 (Japan); Ofuchi, Hironori; Honma, Tetsuo [Japan Synchrotron Radiation Research Institute (JASRI/SPring-8), 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo, 679-5198 (Japan); Fujiwara, Yasufumi, E-mail: fujiwara@mat.eng.osaka-u.ac.jp [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan)

    2017-06-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu{sup 2+} to Eu{sup 3+} could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  12. Reactively sputtered epitaxial γ′-Fe4N films: Surface morphology, microstructure, magnetic and electrical transport properties

    KAUST Repository

    Mi, Wenbo; Guo, Zaibing; Feng, X. P.; Bai, Haili

    2013-01-01

    Epitaxial γ′-Fe4N films with (1 0 0) and (1 1 0) orientations have been fabricated by reactive sputtering; these films were characterized by X-ray θ-2θ and φ scans, pole figures and high-resolution transmission electron microscopy. The film surface

  13. Preparation and structure characterization of SmCo5(0001) epitaxial thin films grown on Cu(111) underlayers

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    SmCo 5 (0001) epitaxial films were prepared on Cu(111) single-crystal underlayers formed on Al 2 O 3 (0001) substrates at 500 deg. C. The nucleation and growth mechanism of (0001)-oriented SmCo 5 crystal on Cu(111) underlayer is investigated and a method to control the nucleation is proposed. The SmCo 5 epitaxial thin film formed directly on Cu underlayer consists of two types of domains whose orientations are rotated around the film normal by 30 deg. each other. By introducing a thin Co seed layer on the Cu underlayer, a SmCo 5 (0001) single-crystal thin film is successfully obtained. Nucleation of SmCo 5 crystal on Cu underlayer seems controllable by varying the interaction between the Cu underlayer and the SmCo 5 layer

  14. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  15. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  16. Epitaxial growth of bcc-FexCo100-x thin films on MgO(1 1 0) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nishiyama, Tsutomu; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2010-01-01

    Fe x Co 100-x (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe x Co 100-x film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe x Co 100-x crystals with very small errors less than ±0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe 50 Co 50 /MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  17. As-free pnictide LaNi{sub 1-x}Sb{sub 2} thin films grown by reactive molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Retzlaff, Reiner; Buckow, Alexander; Kurian, Jose; Alff, Lambert [Institute of Materials Science, Technische Universitaet Darmstadt, Petersenstr. 23, 64287 Darmstadt (Germany)

    2012-07-01

    We use reactive molecular beam epitaxy (RMBE) as synthesis technique for the search of arsenic free pnictide superconductors. Epitaxial thin films of LaNi{sub 1-x}Sb{sub 2} were grown on (100)MgO substrates from elemental sources by simultaneous evaporation of high purity La, Ni and Sb metals by e-gun. The LaNi{sub 1-x}Sb{sub 2} thin films grow epitaxially and are (00l) oriented with high crystalline quality, as evident from RHEED and X-Ray diffraction studies. The Ni deficient LaNi{sub 1-x}Sb{sub 2} thin films show metallic behavior with a room temperature resistivity of 110 {mu}{Omega} cm, while the stoichiometric compound is a semiconductor/insulator. The isostructural compound with Bi as pnictide shows a superconducting transition with a T{sub C}(0) of 3.1 K.

  18. Characterization of bicrystalline epitaxial LaNiO{sub 3} films fabricated on MgO (1 0 0) substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zheng Liang [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Zhu Jun [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)]. E-mail: junzhu@uestc.edu.cn; Zhang Ying [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Jiang Shuwen [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Yanrong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Huawei Xian [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China); Li Jinlong [School of Microelectronics and Solid-State Electronics, University of Electronics Science and Technology of China, Chengdu 610054 (China)

    2006-03-15

    A series of metallic LaNiO{sub 3} (LNO) thin films were deposited on MgO (1 0 0) substrates by pulsed laser deposition (PLD) under the oxygen pressure of 20 Pa at different substrate temperatures from 450 to 750 deg. C. X-ray diffraction (XRD) was used to characterize the crystal structure of LNO films. {theta}-2{theta} scans of XRD indicate that LNO film deposited at a substrate temperature of 700 deg. C has a high orientation of (l l 0). At other substrate temperatures, the LNO films have mixed phases of (l l 0) and (l 0 0). Furthermore, pole figure measurements show that LNO thin films, with the bicrystalline structure, were epitaxially deposited on MgO (1 0 0) substrates in the mode of LNO (1 1 0)//MgO (1 0 0) at 700 deg. C. Reflection high-energy electric diffraction (RHEED) and atomic force microscopy (AFM) were also performed to investigate the microstructure of LNO films with the high (l l 0) orientation. RHEED patterns clearly confirm this epitaxial relationship. An atomically smooth surface of LNO films at 700 deg. C was obtained. In addition, bicrystalline epitaxial LNO films, fabricated at 700 deg. C, present a excellent conductivity with a lower electrical resistivity of 300 {mu} {omega} cm. Thus, the obtained results indicate that bicystalline epitaxial LNO films could serve as a promising candidate of electrode materials for the fabrication of ferroelectric or dielectric films.

  19. Combinatorial screening of halide perovskite thin films and solar cells by mask-defined IR laser molecular beam epitaxy

    OpenAIRE

    Kawashima, Kazuhiro; Okamoto, Yuji; Annayev, Orazmuhammet; Toyokura, Nobuo; Takahashi, Ryota; Lippmaa, Mikk; Itaka, Kenji; Suzuki, Yoshikazu; Matsuki, Nobuyuki; Koinuma, Hideomi

    2017-01-01

    Abstract As an extension of combinatorial molecular layer epitaxy via ablation of perovskite oxides by a pulsed excimer laser, we have developed a laser molecular beam epitaxy (MBE) system for parallel integration of nano-scaled thin films of organic?inorganic hybrid materials. A pulsed infrared (IR) semiconductor laser was adopted for thermal evaporation of organic halide (A-site: CH3NH3I) and inorganic halide (B-site: PbI2) powder targets to deposit repeated A/B bilayer films where the thic...

  20. Epitaxial growth of fcc Ti films on Al(001) surfaces

    International Nuclear Information System (INIS)

    Saleh, A.A.; Shutthanandan, V.; Shivaparan, N.R.; Smith, R.J.; Tran, T.T.; Chambers, S.A.

    1997-01-01

    High-energy ion scattering (HEIS), x-ray photoelectron spectroscopy, and x-ray photoelectron diffraction (XPD) were used to study the growth of thin Ti films on Al(001) surfaces. The Al surface peak area in the backscattered ion spectrum of MeV He + ions, incident along the [00 bar 1] direction, was used to monitor the atomic structure of the Ti films during growth. An initial decrease in the area was observed indicating epitaxial film growth. This decrease continued up to a critical film thickness of about 5.5 ML, after which point the structure of the film changed. Titanium films 3, 5, and 9 ML thick were characterized using XPD in the same chamber. Both the HEIS and XPD results show that the Ti films grow with an fcc structure on Al(001). A tetragonal distortion of 2.4% in the fcc Ti film was measured using ions incident along the [10 bar 1] direction. Although there is a general similarity of fcc Ti growth on both Al(001) and Al(110), the submonolayer growth regime does show differences for the two surfaces. copyright 1997 The American Physical Society

  1. Laboratory Instrumentation Design Research for Scalable Next Generation Epitaxy: Non-Equilibrium Wide Application Epitaxial Patterning by Intelligent Control (NEW-EPIC). Volume 1. 3D Composition/Doping Control via Micromiror Patterned Deep UV Photodesorption: Revolutionary in situ Characterization/Control

    Science.gov (United States)

    2009-02-19

    34 (to be submitted to APL) " Positron Annihilation Spectroscopy of Annealed and As-grown Be-doped GaN" (to be submitted to APL - delayed by the...WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) 6. AUTHOR(S) DRS DOOLITTILE, FRAZIER, BURNHAM, PRITCHETT, BILLINGSLEY...NEXT GENERATION EPITAXY: NON-EQUILIBRIUM WIDE APPLICATION EPITAXIAL PATTERNING BY INTELLIGENT CONTROL (NEW-EPIC) VOLUME I 3D COMPOSITION/DOPING

  2. Surface impedance of epitaxial films Y-Ba-Cu-O in short wave region of range millimetric

    International Nuclear Information System (INIS)

    Vojnovskij, I.V.; Pustyl'nik, O.D.; Boguslavskij, Yu.M.; Shapovalov, A.P.

    1992-01-01

    Epitaxial Y-Ba-Cu-O films on MgO substrate with perfect crystal structure are obtained due to nonaxial magnetron HF-spraying. Temperature dependence of the surface impedance of the films within 66 and 134 GHz frequency is studied. The obtained value of residual surface resistance within 134 GHz frequency (60 mohm) confirms high quality of the films

  3. Epitaxial growth and properties of YBaCuO thin films

    International Nuclear Information System (INIS)

    Geerk, J.; Linker, G.; Meyer, O.

    1989-08-01

    The growth quality of YBaCuO thin films deposited by sputtering on different substrates (Al 2 O 3 , MgO, SrTiO 3 , Zr(Y)O 2 ) has been studied by X-ray diffraction and channeling experiments as a function of the deposition temperature. Besides the substrate orientation, the substrate temperature is the parameter determining whether films grow in c-, a-, (110) or mixed directions. Epitaxial growth correlates with high critical current values in the films of up to 5.5x10 6 A/cm 2 at 77 K. Ultrathin films with thicknesses down to 2 nm were grown revealing three-dimensional superconducting behaviour. Films on (100) SrTiO 3 of 9 nm thickness and below are partially strained indicating commensurate growth. From the analysis of the surface disorder 1 displaced Ba atom per Ba 2 Y row was obtained indicating that the disordered layer thickness is about 0.6 nm. Tunnel junctions fabricated on these films reveal gap-like structures near ±16 mV and ±30 mV. (orig.) [de

  4. Transmission electron microscopy of GaN based, doped semiconductor heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Pretorius, A.

    2006-07-01

    This thesis addresses the analysis of GaN based heterostructures with transmission electron microscopy (TEM). Basic properties of the material of interest are introduced in chapter 2. These include the structural and optical properties as well as an introduction to the growth methods used for the samples analysed in this work. In chapter 3 a brief theoretical treatment of TEM is given. As one main topic of this work is the determination of the In concentration in InGaN islands using strain state analysis, a detailed description of the method is given. Chapter 4 describes the results obtained for pyramidal defects present in metalorganic vapour phase epitaxy grown GaN:Mg with high dopant concentration. Based on the experimental results and the well established knowledge that GaN of inverted polarity is present inside the pyramidal defects, a variety of basal plane inversion domain boundary models was set up. From these models, HRTEM images were simulated using the multislice approach, followed by a quantitative comparison to experimentally obtained HRTEM images. Another focus of this work is the analysis of In{sub x}Ga{sub 1-x}N islands grown on GaN presented in chapter 5. Following a literature survey which describes different methods used to obtain In{sub x}Ga{sub 1-x}N islands, the first topic is the distinction of In{sub x}Ga{sub 1-x}N islands and metal droplets, which can form during growth. This is followed by the experimental results of molecular beam epitaxy and metalorganic vapour phase epitaxy grown In{sub x}Ga{sub 1-x}N island and quantum dot samples. (orig.)

  5. Microstructure of Co(112-bar 0) epitaxial thin films, grown on MgO(100) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nukaga, Yuri; Ohtake, Mitsuru; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: nukaga@futamoto.elect.chuo-u.ac.j [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2010-01-01

    Co(112-bar 0) epitaxial thin films with hcp structure were prepared on MgO(100) single-crystal substrates heated at 300 {sup 0}C by ultra high vacuum molecular beam epitaxy. The microstructure is investigated by employing X-ray diffraction and high-resolution transmission electron microscopy. The film consists of two types of domains whose c-axes are rotated around the film normal by 90{sup 0} each other. Stacking faults are observed for the film along the Co[0001] direction. An atomically sharp boundary is recognized between the film and the substrate, where some misfit dislocations are introduced in the film at the Co/MgO interface. Dislocations are also observed in the film up to 15 nm thickness from the interface. Presence of such stacking faults and misfit dislocations seem to relieve the strain caused by the lattice mismatch between the film and the substrate. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the film are in agreement within 0.5% and 0.1%, respectively, with those of the bulk hcp-Co crystal, suggesting the strain in the film is very small.

  6. Microstructure of Co(112-bar 0) epitaxial thin films, grown on MgO(100) single-crystal substrates

    International Nuclear Information System (INIS)

    Nukaga, Yuri; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    Co(112-bar 0) epitaxial thin films with hcp structure were prepared on MgO(100) single-crystal substrates heated at 300 0 C by ultra high vacuum molecular beam epitaxy. The microstructure is investigated by employing X-ray diffraction and high-resolution transmission electron microscopy. The film consists of two types of domains whose c-axes are rotated around the film normal by 90 0 each other. Stacking faults are observed for the film along the Co[0001] direction. An atomically sharp boundary is recognized between the film and the substrate, where some misfit dislocations are introduced in the film at the Co/MgO interface. Dislocations are also observed in the film up to 15 nm thickness from the interface. Presence of such stacking faults and misfit dislocations seem to relieve the strain caused by the lattice mismatch between the film and the substrate. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the film are in agreement within 0.5% and 0.1%, respectively, with those of the bulk hcp-Co crystal, suggesting the strain in the film is very small.

  7. N-polar InGaN-based LEDs fabricated on sapphire via pulsed sputtering

    OpenAIRE

    Kohei Ueno; Eiji Kishikawa; Jitsuo Ohta; Hiroshi Fujioka

    2017-01-01

    High-quality N-polar GaN epitaxial films with an atomically flat surface were grown on sapphire (0001) via pulsed sputtering deposition, and their structural and electrical properties were investigated. The crystalline quality of N-polar GaN improves with increasing film thickness and the full width at half maximum values of the x-ray rocking curves for 0002 and 101¯2 diffraction were 313 and 394 arcsec, respectively, at the film thickness of 6μm. Repeatable p-type doping in N-polar GaN films...

  8. Single orientation graphene synthesized on iridium thin films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Dangwal Pandey, A., E-mail: arti.pandey@desy.de; Grånäs, E.; Shayduk, R.; Noei, H.; Vonk, V. [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Krausert, K.; Franz, D.; Müller, P.; Keller, T. F.; Stierle, A., E-mail: andreas.stierle@desy.de [Deutsches Elektronen-Synchrotron (DESY), D-22607 Hamburg (Germany); Fachbereich Physik, Universität Hamburg, D-22607 Hamburg (Germany)

    2016-08-21

    Heteroepitaxial iridium thin films were deposited on (0001) sapphire substrates by means of molecular beam epitaxy, and subsequently, one monolayer of graphene was synthesized by chemical vapor deposition. The influence of the growth parameters on the quality of the Ir films, as well as of graphene, was investigated systematically by means of low energy electron diffraction, x-ray reflectivity, x-ray diffraction, Auger electron spectroscopy, scanning electron microscopy, and atomic force microscopy. Our study reveals (111) oriented iridium films with high crystalline quality and extremely low surface roughness, on which the formation of large-area epitaxial graphene is achieved. The presence of defects, like dislocations, twins, and 30° rotated domains in the iridium films is also discussed. The coverage of graphene was found to be influenced by the presence of 30° rotated domains in the Ir films. Low iridium deposition rates suppress these rotated domains and an almost complete coverage of graphene was obtained. This synthesis route yields inexpensive, air-stable, and large-area graphene with a well-defined orientation, making it accessible to a wider community of researchers for numerous experiments or applications, including those which use destructive analysis techniques or irreversible processes. Moreover, this approach can be used to tune the structural quality of graphene, allowing a systematic study of the influence of defects in various processes like intercalation below graphene.

  9. New phenomena in epitaxial growth: solid films on quasicrystalline substrates

    International Nuclear Information System (INIS)

    Fournee, V; Thiel, P A

    2005-01-01

    An overview is given of the research conducted in the field of solid film growth on quasiperiodic surfaces. An atomistic description of quasicrystalline surfaces is presented and discussed in relation to bulk structural models. The various systems for which thin film growth has been attempted so far are reviewed. Emphasis is placed on the nucleation mechanisms of the solid films, on their growth modes in relation to the nature of the deposited metals, on the possibility of intermixing or alloying at the interface and on the epitaxial relationships at the crystal-quasicrystal interfaces. We also describe situations where the deposited elements adopt a quasiperiodic structure, which opens up the possibility of extending our understanding of the relation between quasiperiodicity and the physical properties of such structurally and chemically complex solids. (topical review)

  10. Growth of GaN layers using Ga2O vapor obtained from Ga and H2O vapor

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    In this study, we performed growth of GaN layers using Ga 2 O vapor synthesized from Ga and H 2 O vapor. In this process, we employed H 2 O vapor instead of HCl gas in hydride vapor phase epitaxy (HVPE) to synthesize Ga source gas. In the synthesis reaction of Ga 2 O, a Ga 2 O 3 whisker formed and covered Ga, which impeded the synthesis reaction of Ga 2 O. The formation of the Ga 2 O 3 whisker was suppressed in H 2 ambient at high temperatures. Then, we adopted this process to supply a group III precursor and obtained an epitaxial layer. X-ray diffraction (XRD) measurement revealed that the epitaxial layer was single-crystalline GaN. Growth rate increased linearly with Ga 2 O partial pressure and reached 104 µm/h. (author)

  11. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  12. Suppression of concentration quenching of Er-related luminescence in Er-doped GaN

    International Nuclear Information System (INIS)

    Chen Shaoqiang; Tomita, Shigeo; Kudo, Hiroshi; Akimoto, Katsuhiro; Dierre, Benjamin; Lee, Woong; Sekiguchi, Takashi

    2010-01-01

    Erbium-doped GaN with different doping concentrations were grown by ammonia-source molecular beam epitaxy. The intra-4f-shell transitions related green luminescence were observed by both photoluminescence (PL) and cathodoluminescence (CL) measurements. It was found that concentration quenching of Er-related luminescence was observed in PL measurements while not in CL measurements. The different excitation and relaxation processes are suggested as the cause of the concentration quenching characteristics between PL and CL. The strong Er-related CL intensity in highly doped GaN demonstrates that high energy excitation is a promising approach to suppress the concentration quenching in Er-doped GaN.

  13. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  14. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  16. First-principles and thermodynamic analysis of trimethylgallium (TMG) decomposition during MOVPE growth of GaN

    Science.gov (United States)

    Sekiguchi, K.; Shirakawa, H.; Yamamoto, Y.; Araidai, M.; Kangawa, Y.; Kakimoto, K.; Shiraishi, K.

    2017-06-01

    We analyzed the decomposition mechanisms of trimethylgallium (TMG) used for the gallium source of GaN fabrication based on first-principles calculations and thermodynamic analysis. We considered two conditions. One condition is under the total pressure of 1 atm and the other one is under metal organic vapor phase epitaxy (MOVPE) growth of GaN. Our calculated results show that H2 is indispensable for TMG decomposition under both conditions. In GaN MOVPE, TMG with H2 spontaneously decomposes into Ga(CH3) and Ga(CH3) decomposes into Ga atom gas when temperature is higher than 440 K. From these calculations, we confirmed that TMG surely becomes Ga atom gas near the GaN substrate surfaces.

  17. Epitaxial growth of atomically flat gadolinia-doped ceria thin films by pulsed laser deposition

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Pryds, Nini; Schou, Jørgen

    2011-01-01

    Epitaxial growth of Ce0.8Gd0.2O2(CGO) films on (001) TiO2-terminated SrTiO3 substrates by pulsed laser deposition was investigated using in situ reflective high energy electron diffraction. The initial film growth shows a Stransky–Krastanov growth mode. However, this three-dimensional island...... formation is replaced by a two-dimensional island nucleation during further deposition, which results in atomically smooth CGO films. The obtained high-quality CGO films may be attractive for the electrolyte of solid-oxide fuel cells operating at low temperature....

  18. Metallic transport and large anomalous Hall effect at room temperature in ferrimagnetic Mn{sub 4}N epitaxial thin film

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Xi; Shigematsu, Kei [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Fukumura, Tomoteru [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Tokyo 113-0033 (Japan); CREST, Japan Science and Technology Agency (JST), Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), Kawasaki 213-0012 (Japan)

    2014-08-18

    We report the electrical transport properties of ferrimagnetic Mn{sub 4}N (001) epitaxial thin films grown by pulsed laser deposition on MgO (001) substrates. The Mn{sub 4}N thin films were tetragonally distorted with a ratio of out-of-plane to in-plane lattice constants of 0.987 and showed perpendicular magnetic anisotropy with an effective magnetic anisotropy constant of 0.16 MJ/m{sup 3}, which is comparable with that of a recently reported molecular-beam-epitaxy-grown film. The thin films exhibited metallic transport with a room temperature resistivity of 125 μΩ cm in addition to a large anomalous Hall effect with a Hall angle tangent of 0.023.

  19. Raman measurements of epitaxial YBa2Cu3O7-δ films

    International Nuclear Information System (INIS)

    Burns, G.; Dacol, F.H.; Gield, C.A.; Gupta, A.; Holtzberg, F.; Koren, G.; Laibowitz, R.; McGuire, T.R.; Segmuller, A.P.; Worthington, T.K.

    1990-01-01

    The authors report Raman measurements on good (high J c ) epitaxial YBa 2 Cu 3 O -δ (Y123) films (δ ∼ 0). The results are compared to those from oriented Y123 single crystals. The comparisons are made for superconducting δ ∼ 0 and semiconducting δ ∼ 1 materials

  20. Bulk photovoltaic effect in epitaxial (K, Nb) substituted BiFeO3 thin films

    Science.gov (United States)

    Agarwal, Radhe; Zheng, Fan; Sharma, Yogesh; Hong, Seungbum; Rappe, Andrew; Katiyar, Ram

    We studied the bulk photovoltaic effect in epitaxial (K, Nb) modified BiFeO3 (BKFNO) thin films using theoretical and experimental methods. Epitaxial BKFNO thin films were grown by pulsed laser deposition (PLD). First, we have performed first principles density function theory (DFT) using DFT +U method to calculate electronic band structure, including Hubbard-Ueff (Ueff =U-J) correction into Hamiltonian. The electronic band structure calculations showed a direct band gap at 1.9 eV and a defect level at 1.7 eV (in a 40 atom BKFNO supercell), sufficiently lower in comparison to the experimentally observed values. Furthermore, the piezoforce microscopy (PFM) measurements indicated the presence of striped polydomains in BKFNO thin films. Angle-resolved PFM measurements were also performed to find domain orientation and net polarization directions in these films. The experimental studies of photovoltaic effect in BKNFO films showed a short circuit current of 59 micro amp/cm2 and open circuit voltage of 0.78 V. We compared our experimental results with first principles shift current theory calculations of bulk photovoltaic effect (BPVE).The synergy between theory and experimental results provided a realization of significant role of BPVE in order to understand the photovoltaic mechanism in ferroelectrics.