WorldWideScience

Sample records for energy oxygen implantation

  1. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  2. Research on nitrogen implantation energy dependence of the properties of SIMON materials

    International Nuclear Information System (INIS)

    Zhang, E.X.; Sun, J.Y.; Chen, J.; Chen, M.; Zhang, Zh.X.; Li, N.; Zhang, G.Q.; Wang, X.

    2006-01-01

    With different implantation energies, nitrogen ions were implanted into SIMOX wafers in our work. And then the wafers were subsequently annealed to form separated by implantation of oxygen and nitrogen (SIMON) wafers. Secondary ion mass spectroscopy (SIMS) was used to observe the distribution of nitrogen and oxygen in the wafers. The result of electron paramagnetic resonance (EPR) was suggested by the dandling bonds densities in the wafers changed with N ions implantation energies. SIMON-based SIS capacitors were made. The results of the C-V test confirmed that the energy of nitrogen implantation affects the properties of the wafers, and the optimum implantation energy was determined

  3. Oxygen recoil implant from SiO2 layers into single-crystalline silicon

    International Nuclear Information System (INIS)

    Wang, G.; Chen, Y.; Li, D.; Oak, S.; Srivastav, G.; Banerjee, S.; Tasch, A.; Merrill, P.; Bleiler, R.

    2001-01-01

    It is important to understand the distribution of recoil-implanted atoms and the impact on device performance when ion implantation is performed at a high dose through surface materials into single crystalline silicon. For example, in ultralarge scale integration impurity ions are often implanted through a thin layer of screen oxide and some of the oxygen atoms are inevitably recoil implanted into single-crystalline silicon. Theoretical and experimental studies have been performed to investigate this phenomenon. We have modified the Monte Carlo ion implant simulator, UT-Marlowe (B. Obradovic, G. Wang, Y. Chen, D. Li, C. Snell, and A. F. Tasch, UT-MARLOWE Manual, 1999), which is based on the binary collision approximation, to follow the full cascade and to dynamically modify the stoichiometry of the Si layer as oxygen atoms are knocked into it. CPU reduction techniques are used to relieve the demand on computational power when such a full cascade simulation is involved. Secondary ion mass spectrometry (SIMS) profiles of oxygen have been carefully obtained for high dose As and BF 2 implants at different energies through oxide layers of various thicknesses, and the simulated oxygen profiles are found to agree very well with the SIMS data. [copyright] 2001 American Institute of Physics

  4. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation

  5. Structural and compositional characterization of X-cut LiNbO3 crystals implanted with high energy oxygen and carbon ions

    International Nuclear Information System (INIS)

    Bentini, G.G.; Bianconi, M.; Cerutti, A.; Chiarini, M.; Pennestri, G.; Sada, C.; Argiolas, N.; Bazzan, M.; Mazzoldi, P.; Guzzi, R.

    2005-01-01

    High energy implantation of medium-light elements such as oxygen and carbon was performed in X-cut LiNbO 3 single crystals in order to prepare high quality optical waveguides. The compositional and damage profiles, obtained by exploiting the secondary ion mass spectrometry and Rutherford back-scattering techniques respectively, were correlated to the structural properties measured by the high resolution X-ray diffraction. This study evidences the development of tensile strain induced by the ion implantation that can contribute to the decrease of the ordinary refractive index variation through the photo-elastic effect

  6. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  7. Implanted strontium titanate single crystals for energy storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Stoeber, Max; Cherkouk, Charaf; Walter, Juliane; Strohmeyer, Ralph; Leisegang, Tilmann; Meyer, Dirk Carl [TU Bergakademie, Freiberg (Germany); Schelter, Matthias; Zosel, Jens [Kurt Schwabe Institute, Meinsberg (Germany); Prucnal, Slawomir [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany)

    2016-07-01

    A rapid increase of the demand on efficient energy storage solutions requires new approaches beyond the Li-ion technology. In particular, metal-air batteries as well as solid-state fuel cells offer a great potential for high-energy-density storage devices. Since the efficiency of such devices is significantly limited by the activation of both the oxygen reduction reaction (ORR) and the ionic and electronic conductivities, an adequate porosity as well as a controlled doping are required. The ion implantation is a key technology to achieve this goal. In this work, p- and n-doped strontium titanate (SrTiO{sub 3}) single crystals were used as oxidic materials. The oxygen exchange kinetics as well as the structural changes of the SrTiO{sub 3} crystal surface induced by the ion implantation were investigated. On one hand, the depth profile of dopant concentration and dopant valence state were determined using sputtered X-ray photoelectron spectroscopy (XPS). On the other hand, the overall oxygen exchange kinetic of the implanted SrTiO{sub 3} crystal was quantitatively described by means of coulometric titration using Zirox system (ZIROX GmbH, Germany). Furthermore, the surface morphology of the samples was investigated using atomic force microscopy (AFM).

  8. Formation of oxygen-related defects enhanced by fluorine in BF{sub 2}{sup +}-implanted Si studied by a monoenergetic positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kawano, Takao; Nagai, Ryo; Umeda, Kazunori

    1995-12-01

    Defects in 25-keV BF{sub 2}{sup +}- or As{sup +}-implanted Si specimens were probed by a monoenergetic positron beam. For the As{sup +}-implanted specimen, the depth profile of defects was obtained from measurements of Doppler broadening profiles as a function of incident positron energy. The major species of the defects was identified as divacancies. For ion-implanted specimens after annealing treatment, oxygen-related defects were found to be formed. For the BF{sub 2}{sup +}-implanted specimen before annealing treatment, such defects were formed in the subsurface region, where oxygen atoms were implanted by recoil from oxide films. This was attributed to enhanced formation of oxygen-related defects by the presence of F atoms. (author)

  9. Oxygen and sodium plasma-implanted nickel-titanium shape memory alloy: A novel method to promote hydroxyapatite formation and suppress nickel leaching

    International Nuclear Information System (INIS)

    Chan, Y.L.; Yeung, K.W.K.; Lu, W.W.; Ngan, A.H.W.; Luk, K.D.K.; Chan, D.; Wu, S.L.; Liu, X.M.; Chu, Paul K.; Cheung, K.M.C.

    2007-01-01

    This study aims at modifying the surface bioactivity of NiTi by sodium and oxygen plasma immersion ion implantation (PIII). Sodium ions were implanted into oxygen plasma-implanted NiTi and untreated NiTi. X-ray photoelectron spectroscopy (XPS) revealed that more sodium was implanted into the oxygen pre-implanted sample in comparison with the untreated surface. Scanning electron microscopy (SEM) coupled with energy dispersive X-ray analysis (EDX) detected calcium and phosphorus rich deposits on both samples after immersion in simulated body fluids for 7 and 21 days. Inductively-coupled plasma mass spectrometry (ICPMS) conducted on the deposits dissolved in diluted hydrochloric acid showed more calcium on the oxygen PIII samples. The improved corrosion resistance of the oxygen PIII NiTi was retained after sodium PIII as evaluated by potentiodynamic polarization tests. Better spreading and proliferation of osteoblasts were also observed on the treated samples

  10. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  11. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  12. Formation of SIMOX–SOI structure by high-temperature oxygen implantation

    International Nuclear Information System (INIS)

    Hoshino, Yasushi; Kamikawa, Tomohiro; Nakata, Jyoji

    2015-01-01

    We have performed oxygen ion implantation in silicon at very high substrate-temperatures (⩽1000 °C) for the purpose of forming silicon-on-insulator (SOI) structure. We have expected that the high-temperature implantation can effectively avoids ion-beam-induced damages in the SOI layer and simultaneously stabilizes the buried oxide (BOX) and SOI-Si layer. Such a high-temperature implantation makes it possible to reduce the post-implantation annealing temperature. In the present study, oxygen ions with 180 keV are incident on Si(0 0 1) substrates at various temperatures from room temperature (RT) up to 1000 °C. The ion-fluencies are in order of 10"1"7–10"1"8 ions/cm"2. Samples have been analyzed by atomic force microscope, Rutherford backscattering, and micro-Raman spectroscopy. It is found in the AFM analysis that the surface roughness of the samples implanted at 500 °C or below are significantly small with mean roughness of less than 1 nm, and gradually increased for the 800 °C-implanted sample. On the other hand, a lot of dents are observed for the 1000 °C-implanted sample. RBS analysis has revealed that stoichiometric SOI-Si and BOX-SiO_2 layers are formed by oxygen implantation at the substrate temperatures of RT, 500, and 800 °C. However, SiO_2-BOX layer has been desorbed during the implantation. Raman spectra shows that the ion-beam-induced damages are fairly suppressed by such a high-temperatures implantation.

  13. Oxygen ion implantation induced microstructural changes and electrical conductivity in Bakelite RPC detector material

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, K. V. Aneesh, E-mail: aneesh1098@gmail.com; Ravikumar, H. B., E-mail: hbr@physics.uni-mysore.ac.in [Department of Studies in Physics, University of Mysore, Mysore-570006 (India); Ranganathaiah, C., E-mail: cr@physics.uni-mysore.ac.in [Govt. Research Centre, Sahyadri Educational Institutions, Mangalore-575007 (India); Kumarswamy, G. N., E-mail: kumy79@gmail.com [Department of Studies in Physics, Amrita Vishwa Vidyapeetham, Bangalore-560035 (India)

    2016-05-06

    In order to explore the structural modification induced electrical conductivity, samples of Bakelite Resistive Plate Chamber (RPC) detector materials were exposed to 100 keV Oxygen ion in the fluences of 10{sup 12}, 10{sup 13}, 10{sup 14} and 10{sup 15} ions/cm{sup 2}. Ion implantation induced microstructural changes have been studied using Positron Annihilation Lifetime Spectroscopy (PALS) and X-Ray Diffraction (XRD) techniques. Positron lifetime parameters viz., o-Ps lifetime and its intensity shows the deposition of high energy interior track and chain scission leads to the formation of radicals, secondary ions and electrons at lower ion implantation fluences (10{sup 12} to10{sup 14} ions/cm{sup 2}) followed by cross-linking at 10{sup 15} ions/cm{sup 2} fluence due to the radical reactions. The reduction in electrical conductivity of Bakelite detector material is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate implantation energy and fluence of Oxygen ion on polymer based Bakelite RPC detector material may reduce the leakage current, improves the efficiency, time resolution and thereby rectify the aging crisis of the RPC detectors.

  14. Influence of the residual oxygen in the plasma immersion ion implantation (PI3) processing of materials

    International Nuclear Information System (INIS)

    Ueda, M.; Silva, A.R.; Mello, Carina B.; Silva, G.; Reuther, H.; Oliveira, V.S.

    2011-01-01

    In this work, we investigated the effects of the contaminants present in the vacuum chamber of the PI3 system, in particular, the residual oxygen, which results in the formation of the oxide compounds on the surface and hence is responsible for the high implantation energies required to achieve reasonably thick treated layers. We used a mass spectrometer (RGA) with a quadruple filter to verify the composition of the residual vacuum and pressure of the elements present in the chamber. Initially we found a high proportion of residual oxygen in a vacuum with a pressure of 1 × 10 −3 Pa. Minimizing the residual oxygen percentage in about 80%, by efficient cleaning of the chamber walls and by improving the gas feeding process, we mitigated the formation of oxides during the PI3 process. Therefore we achieved a highly efficient PI3 processing obtaining implanted layers reaching about 50 nm, even in cases such as an aluminum alloy, where is very difficult to nitrogen implant at low energies. We performed nitrogen PI3 treatment of SS304 and Al7075 using pulses of only 3 kV and 15 × 10 −6 s at 1 kHz with an operating pressure of 1 Pa.

  15. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    Science.gov (United States)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  16. Electrical and crystallographic evaluation of SOS implanted with silicon and/or oxygen

    International Nuclear Information System (INIS)

    Yamamoto, Y.; Kobayashi, H.; Takahashi, T.; Inada, T.

    1985-01-01

    RBS and Hall measurements have revealed that the formation of an amorphous laer in SOS near in the Si/sapphire interface by oxygen implantation at 130 K followed by regrowth by thermal annealing above 800 0 C for 20 min in N 2 is effective in improving crystalline quality and Hall mobility as well as in increasing activation of implanted P. The temperature dependence of the mobility was measured. The mobility increased by 80% and 40% at 77 K and RT, respectively, after improvement in crystalline quality. The costly low temperature implantation of O can be replaced with dual implantation of Si and O; formation of an amorphous layer by Si implantation and Al gettering by oxygen implantation. (orig.)

  17. Report on the FY 1999 investigational survey on the activation of oxygen electrode by ion implantation; 1999 nendo ion chunyuho ni yoru sanso denkyoku no kasseika ni kansuru kenkyu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2000-03-01

    The oxygen electrode is important as the base electrode for water electrolysis and fuel cell, but to move it, overvoltage (activated energy) in addition to equilibrium voltage is necessary, which leads to the lowering of energy efficiency. By forming the active spot by ion implantation, the lowering of overvoltage was studied. The implantation of Ru{sup +} ion in Ruthenium dioxide thin film electrode reduced the oxygen generating overvoltage by 15-20mV. Even in the oxygen reduction, activity was also increased. The chemical composition of thin film does not change by ion implantation. The increase in activity is based on a physical change which is called the surface defect formation. The layer of ion implantation is composed of microcrystals, which is thought to contribute to the formation of any active spot. Ions were implanted in Pt electrode as a practical use material, and even in the oxygen reduction of Pt, a possibility of heightening activity by ion implantation was admitted even in the oxygen reduction of Pt. The generation of high activity oxygen by ion plantation and development of oxygen reduction electrode were established as one method as a rule. (NEDO)

  18. Direct synthesis of ultrathin SOI structure by extremely low-energy oxygen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp; Yachida, Gosuke; Inoue, Kodai; Toyohara, Taiga; Nakata, Jyoji [Department of mathematics and physics, Kanagawa University, 2946, Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2016-06-15

    We performed extremely low-energy {sup 16}O{sup +} implantation at 10 keV (R{sub p} ∼ 25 nm) followed by annealing aiming at directly synthesizing an ultrathin Si layer separated by a buried SiO{sub 2} layer in Si(001) substrates, and then investigated feasible condition of recrystallization and stabilization of the superficial Si and the buried oxide layer by significantly low temperature annealing. The elemental compositions were analyzed by Rutherford backscattering (RBS) and secondary ion mass spectroscopy (SIMS). The crystallinity of the superficial Si layer was quantitatively confirmed by ananlyzing RBS-channeling spectra. Cross-sectional morphologies and atomic configurations were observed by transmission electron microscope (TEM). As a result, we succeeded in directly synthesizing an ultrathin single-crystalline silicon layer with ≤20 nm thick separated by a thin buried stoichiometric SiO{sub 2} layer with ≤20 nm thick formed by extremely low-energy {sup 16}O{sup +} implantation followed by surprisingly low temperature annealing at 1050{sup ∘} C.

  19. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  20. Defect formation in oxygen- and boron- implanted MOS structures after gamma irradiation

    CERN Document Server

    Kaschieva, S; Skorupa, W

    2003-01-01

    The effect of gamma irradiation on the interface states of ion-implanted MOS structures is studied by means of the thermally stimulated charge method. 10-keV oxygen- or boron- (O sup + or B sup +) implanted samples are gamma-irradiated with sup 6 sup 0 Co. Gamma irradiation creates electron levels at the SiSiO sub 2 interface of the samples in a different way depending on the type of the previously implanted atoms (O sup + or B sup +). The results demonstrate that the concentration of the shallower levels (in the silicon band gap) of oxygen-implanted samples increases more effectively after gamma irradiation. The same irradiation conditions increase more intensively the concentration of the deeper levels (in the silicon band gap) of boron-implanted samples. (orig.)

  1. Effects of recoil-implanted oxygen on depth profiles of defects and annealing processes in P{sup +}-implanted Si studied using monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Moriya, Tsuyoshi; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Kitano, Tomohisa; Watanabe, Masahito; Kawano, Takao; Suzuki, Ryoichi; Ohdaira, Toshiyuki; Mikado, Tomohisa

    1996-04-01

    Effects of oxygen atoms recoiled from SiO{sub 2} films on depth profiles of defects and annealing processes in P{sup +}-implanted Si were studied using monoenergetic positron beams. For an epitaxial Si specimen, the depth profile of defects was found to be shifted toward the surface by recoil implantation of oxygen atoms. This was attributed to the formation of vacancy-oxygen complexes and a resultant decrease in the diffusion length of vacancy-type defects. The recoiled oxygen atoms stabilized amorphous regions introduced by P{sup +}-implantation, and the annealing of these regions was observed after rapid thermal annealing (RTA) at 700degC. For a Czochralski-grown Si specimen fabricated by through-oxide implantation, the recoiled oxygen atoms introduced interstitial-type defects upon RTA below the SiO{sub 2}/Si interface, and such defects were dissociated by annealing at 1000degC. (author)

  2. Surface modification effects of fluorine-doped tin dioxide by oxygen plasma ion implantation

    Science.gov (United States)

    Tang, Peng; Liu, Cai; Zhang, Jingquan; Wu, Lili; Li, Wei; Feng, Lianghuan; Zeng, Guanggen; Wang, Wenwu

    2018-04-01

    SnO2:F (FTO), as a kind of transparent conductive oxide (TCO), exhibits excellent transmittance and conductivity and is widely used as transparency electrodes in solar cells. It's very important to modifying the surface of FTO for it plays a critical role in CdTe solar cells. In this study, modifying effects of oxygen plasma on FTO was investigated systematically. Oxygen plasma treatment on FTO surface with ion accelerating voltage ranged from 0.4 kV to 1.6 kV has been processed. The O proportion of surface was increased after ion implantation. The Fermi level of surface measurement by XPS valance band spectra was lowered as the ion accelerating voltage increased to 1.2 kV and then raised as accelerating voltage was elevated to 1.6 kV. The work function measured by Kelvin probe force microscopy increased after ion implanting, and it was consistent with the variation of Fermi level. The change of energy band structure of FTO surface mainly originated from the surface composition variation. As FTO conduction was primarily due to oxyanion hole, the carrier was electron and its concentration was reduced while O proportion was elevated at the surface of FTO, as a result, the Fermi level lowered and the work function was enlarged. It was proved that oxygen plasma treatment is an effective method to modulate the energy band structure of the surface as well as other properties of FTO, which provides much more space for interface and surface modification and then photoelectric device performance promotion.

  3. Energy harvesting by implantable abiotically catalyzed glucose fuel cells

    Science.gov (United States)

    Kerzenmacher, S.; Ducrée, J.; Zengerle, R.; von Stetten, F.

    Implantable glucose fuel cells are a promising approach to realize an autonomous energy supply for medical implants that solely relies on the electrochemical reaction of oxygen and glucose. Key advantage over conventional batteries is the abundant availability of both reactants in body fluids, rendering the need for regular replacement or external recharging mechanisms obsolete. Implantable glucose fuel cells, based on abiotic catalysts such as noble metals and activated carbon, have already been developed as power supply for cardiac pacemakers in the late-1960s. Whereas, in vitro and preliminary in vivo studies demonstrated their long-term stability, the performance of these fuel cells is limited to the μW-range. Consequently, no further developments have been reported since high-capacity lithium iodine batteries for cardiac pacemakers became available in the mid-1970s. In recent years research has been focused on enzymatically catalyzed glucose fuel cells. They offer higher power densities than their abiotically catalyzed counterparts, but the limited enzyme stability impedes long-term application. In this context, the trend towards increasingly energy-efficient low power MEMS (micro-electro-mechanical systems) implants has revived the interest in abiotic catalysts as a long-term stable alternative. This review covers the state-of-the-art in implantable abiotically catalyzed glucose fuel cells and their development since the 1960s. Different embodiment concepts are presented and the historical achievements of academic and industrial research groups are critically reviewed. Special regard is given to the applicability of the concept as sustainable micro-power generator for implantable devices.

  4. Photoluminescence of Se-related oxygen deficient center in ion-implanted silica films

    International Nuclear Information System (INIS)

    Zatsepin, A.F.; Buntov, E.A.; Pustovarov, V.A.; Fitting, H.-J.

    2013-01-01

    The results of low-temperature time-resolved photoluminescence (PL) investigation of thin SiO 2 films implanted with Se + ions are presented. The films demonstrate an intensive PL band in the violet spectral region, which is attributed to the triplet luminescence of a new variant of selenium-related oxygen deficient center (ODC). The main peculiarity of the defect energy structure is the inefficient direct optical excitation. Comparison with spectral characteristics of isoelectronic Si-, Ge- and SnODCs show that the difference in electronic properties of the new center is related to ion size factor. It was established that the dominating triplet PL excitation under VUV light irradiation is related to the energy transfer from SiO 2 excitons. A possible model of Se-related ODC is considered. -- Highlights: • The low-temperature photoluminescence of thin SiO 2 films implanted with Se + ions was studied. • The 3.4 eV PL band was attributed to triplet luminescence of Se-related ODC. • The peculiarity of SeODC electronic properties is related to ion size factor. • The dominating VUV excitation of triplet PL is related to energy transfer from SiO 2 excitons. • A possible model of Se-related ODC is considered

  5. Modeling Stem/Progenitor Cell-Induced Neovascularization and Oxygenation Around Solid Implants

    KAUST Repository

    Jain, Harsh Vardhan

    2012-07-01

    Tissue engineering constructs and other solid implants with biomedical applications, such as drug delivery devices or bioartificial organs, need oxygen (O(2)) to function properly. To understand better the vascular integration of such devices, we recently developed a novel model sensor containing O(2)-sensitive crystals, consisting of a polymeric capsule limited by a nanoporous filter. The sensor was implanted in mice with hydrogel alone (control) or hydrogel embedded with mouse CD117/c-kit+ bone marrow progenitor cells in order to stimulate peri-implant neovascularization. The sensor provided local partial O(2) pressure (pO(2)) using noninvasive electron paramagnetic resonance signal measurements. A consistently higher level of peri-implant oxygenation was observed in the cell-treatment case than in the control over a 10-week period. To provide a mechanistic explanation of these experimental observations, we present in this article a mathematical model, formulated as a system of coupled partial differential equations, that simulates peri-implant vascularization. In the control case, vascularization is considered to be the result of a foreign body reaction, while in the cell-treatment case, adipogenesis in response to paracrine stimuli produced by the stem cells is assumed to induce neovascularization. The model is validated by fitting numerical predictions of local pO(2) to measurements from the implanted sensor. The model is then used to investigate further the potential for using stem cell treatment to enhance the vascular integration of biomedical implants. We thus demonstrate how mathematical modeling combined with experimentation can be used to infer how vasculature develops around biomedical implants in control and stem cell-treated cases.

  6. Modeling Stem/Progenitor Cell-Induced Neovascularization and Oxygenation Around Solid Implants

    KAUST Repository

    Jain, Harsh Vardhan; Moldovan, Nicanor I.; Byrne, Helen M.

    2012-01-01

    Tissue engineering constructs and other solid implants with biomedical applications, such as drug delivery devices or bioartificial organs, need oxygen (O(2)) to function properly. To understand better the vascular integration of such devices, we recently developed a novel model sensor containing O(2)-sensitive crystals, consisting of a polymeric capsule limited by a nanoporous filter. The sensor was implanted in mice with hydrogel alone (control) or hydrogel embedded with mouse CD117/c-kit+ bone marrow progenitor cells in order to stimulate peri-implant neovascularization. The sensor provided local partial O(2) pressure (pO(2)) using noninvasive electron paramagnetic resonance signal measurements. A consistently higher level of peri-implant oxygenation was observed in the cell-treatment case than in the control over a 10-week period. To provide a mechanistic explanation of these experimental observations, we present in this article a mathematical model, formulated as a system of coupled partial differential equations, that simulates peri-implant vascularization. In the control case, vascularization is considered to be the result of a foreign body reaction, while in the cell-treatment case, adipogenesis in response to paracrine stimuli produced by the stem cells is assumed to induce neovascularization. The model is validated by fitting numerical predictions of local pO(2) to measurements from the implanted sensor. The model is then used to investigate further the potential for using stem cell treatment to enhance the vascular integration of biomedical implants. We thus demonstrate how mathematical modeling combined with experimentation can be used to infer how vasculature develops around biomedical implants in control and stem cell-treated cases.

  7. Modeling Stem/Progenitor Cell-Induced Neovascularization and Oxygenation Around Solid Implants

    Science.gov (United States)

    Moldovan, Nicanor I.; Byrne, Helen M.

    2012-01-01

    Tissue engineering constructs and other solid implants with biomedical applications, such as drug delivery devices or bioartificial organs, need oxygen (O2) to function properly. To understand better the vascular integration of such devices, we recently developed a novel model sensor containing O2-sensitive crystals, consisting of a polymeric capsule limited by a nanoporous filter. The sensor was implanted in mice with hydrogel alone (control) or hydrogel embedded with mouse CD117/c-kit+ bone marrow progenitor cells in order to stimulate peri-implant neovascularization. The sensor provided local partial O2 pressure (pO2) using noninvasive electron paramagnetic resonance signal measurements. A consistently higher level of peri-implant oxygenation was observed in the cell-treatment case than in the control over a 10-week period. To provide a mechanistic explanation of these experimental observations, we present in this article a mathematical model, formulated as a system of coupled partial differential equations, that simulates peri-implant vascularization. In the control case, vascularization is considered to be the result of a foreign body reaction, while in the cell-treatment case, adipogenesis in response to paracrine stimuli produced by the stem cells is assumed to induce neovascularization. The model is validated by fitting numerical predictions of local pO2 to measurements from the implanted sensor. The model is then used to investigate further the potential for using stem cell treatment to enhance the vascular integration of biomedical implants. We thus demonstrate how mathematical modeling combined with experimentation can be used to infer how vasculature develops around biomedical implants in control and stem cell-treated cases. PMID:22224628

  8. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  9. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    Science.gov (United States)

    Kamioka, K.; Oga, T.; Izawa, Y.; Kuriyama, K.; Kushida, K.; Kinomura, A.

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 1020 cm-3) into ZnO is performed using a multiple-step energy. The high resistivity of ∼103 Ω cm in un-implanted samples remarkably decreased to ∼10-2 Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  10. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  11. Nuclear reaction analysis of Ge ion-implanted ZnO bulk single crystals: The evaluation of the displacement in oxygen lattices

    Energy Technology Data Exchange (ETDEWEB)

    Kamioka, K.; Oga, T.; Izawa, Y. [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kuriyama, K., E-mail: kuri@ionbeam.hosei.ac.jp [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kushida, K. [Department of Arts and Science, Osaka Kyouiku University, Kashiwara, Osaka 582-8582 (Japan); Kinomura, A. [National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki 305-8568 (Japan)

    2014-08-01

    The displacement of oxygen lattices in Ge ion-implanted ZnO bulk single crystals is studied by nuclear reaction analysis (NAR), photoluminescence (PL), and Van der Pauw methods. The Ge ion-implantation (net concentration: 2.6 × 10{sup 20} cm{sup −3}) into ZnO is performed using a multiple-step energy. The high resistivity of ∼10{sup 3} Ω cm in un-implanted samples remarkably decreased to ∼10{sup −2} Ω cm after implanting Ge-ion and annealing subsequently. NRA measurements of as-implanted and annealed samples suggest the existence of the lattice displacement of O atoms acting as acceptor defects. As O related defects still remain after annealing, these defects are not attributed to the origin of the low resistivity in 800 and 1000 °C annealed ZnO.

  12. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  13. Effects of O2 and H2O plasma immersion ion implantation on surface chemical composition and surface energy of poly vinyl chloride

    International Nuclear Information System (INIS)

    Zhang Wei; Chu, Paul K.; Ji Junhui; Zhang, Yihe; Jiang Zhimin

    2006-01-01

    Oxygen and water plasma immersion ion implantation (PIII) was used to modify poly vinyl chloride (PVC) to enhance oxygen-containing surface functional groups for more effective grafting. The modified surfaces were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflection Fourier transform infrared spectroscopy (ATR-FTIR), and contact angle measurements. Our experimental results show that both oxygen and water PIII can greatly improve the O to C ratios on the surface. The optimal plasma processing conditions differ for the two treatments. The hydrophilicity and surface energy of the plasma-implanted PVC are also improved significantly. Our results indicate that O 2 and H 2 O PIII increase both the polar and dispersion interactions and consequently the surface energy. It can be explained by the large amount of oxygen introduced to the surface and that many C-C bonds are transformed into more polar oxygen containing functional groups

  14. A novel self-aligned oxygen (SALOX) implanted SOI MOSFET device structure

    Science.gov (United States)

    Tzeng, J. C.; Baerg, W.; Ting, C.; Siu, B.

    The morphology of the novel self-aligned oxygen implanted SOI (SALOX SOI) [1] MOSFET was studied. The channel silicon of SALOX SOI was confirmed to be undamaged single crystal silicon and was connected with the substrate. Buried oxide formed by oxygen implantation in this SALOX SOI structure was shown by a cross section transmission electron micrograph (X-TEM) to be amorphous. The source/drain silicon on top of the buried oxide was single crystal, as shown by the transmission electron diffraction (TED) pattern. The source/drain regions were elevated due to the buried oxide volume expansion. A sharp silicon—silicon dioxide interface between the source/drain silicon and buried oxide was observed by Auger electron spectroscopy (AES). Well behaved n-MOS transistor current voltage characteristics were obtained and showed no I-V kink.

  15. Optical properties of oxygen-implanted CdS:O layers in terms of band anticrossing theory

    Energy Technology Data Exchange (ETDEWEB)

    Morozova, N. K., E-mail: MorozovaNK@mail.ru; Kanakhin, A. A.; Miroshnikova, I. N. [Moscow Power Engineering Institute, National Research University (Russian Federation); Galstyan, V. G. [Russian Academy of Sciences, Shubnikov Institute of Crystallography (Russian Federation)

    2013-08-15

    The microcathodoluminescence (MCL) and photoreflection spectra of CdS:O layers implanted with oxygen ions to 4 Multiplication-Sign 10{sup 20} cm{sup -3} are investigated. Used method of MCL spectroscopy yields information only about the implanted-layer volume. Exciton MCL spectra, which allow one to determine the concentration of dissolved oxygen in the CdS:O layers and the influence of deviation of the substrates from stoichiometry, are recorded. The homogeneity of the ion-implanted layers is studied by cathodoluminescence (CL) scanning electron microscopy. The relationship between light-emitting areas and the luminescence band at {approx}630 nm is established. The reason for enhancement of this band upon radiation annealing is revealed and its nature as the luminescence of F{sup +} centers in CdS is confirmed. New photoreflection spectroscopy data are obtained, which describe the specific behavioral features of oxygen on the layer surface as an isoelectronic impurity in highly mismatched alloys (HMAs). It is shown that sulfur completely bonds and removes oxygen from CdS:O. Oxygen-free CdS remains on the surface in the form of nanoparticles, the size of which depends on the oxygen concentration in the CdS:O layer bulk. The results obtained are in agreement with the predictions of band anticrossing theory.

  16. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  17. Microstructure and tribology of carbon, nitrogen, and oxygen implanted ferrous materials

    International Nuclear Information System (INIS)

    Williamson, D.L.

    1993-01-01

    Nitrogen, carbon, and oxygen ions have been implanted into ferrous materials under unusual conditions of elevated temperatures and very high dose rates. The tribological durabilities of the resulting surfaces are examined with a special type of pin-on-disc wear test apparatus and found in most cases to be dramatically improved compared to surfaces prepared with conventional implantation conditions. Near-surface microstructures and compositions are characterized after implantation and after wear testing by backscatter Moessbauer spectroscopy, X-ray diffraction, scanning electron microscopy, and Auger electron spectroscopy. These data provide evidence for the predominant mechanisms responsible for the observed sliding wear behavior induced by each of the three species. (orig.)

  18. Oxygen depth profiling in Kr+-implanted polycrystalline alpha titanium by means of 16O(α,α)16O resonance scattering

    International Nuclear Information System (INIS)

    Nsengiyumva, S.; Riviere, J.P.; Raji, A.T.; Comrie, C.M.; Britton, D.T.; Haerting, M.

    2011-01-01

    The 16 O(α,α) 16 O resonance scattering was applied to study the effects of ion implantation on the oxygen distribution in the near surface region of polycrystalline titanium implanted with 180 keV krypton ions at fluences, ranging between 1 x 10 14 and 5 x 10 15 Kr + /cm 2 . Two sample sets were chosen: as-received polycrystalline titanium discs rolled and annealed in half-hard condition which had a thick oxygen layer and similar samples in which this surface layer was removed by polishing. An increase of the mean oxygen concentration observed in both unpolished and polished samples at low fluence suggests a knock-on implantation of surface oxygen atoms. At high fluence, an overall decrease in the mean oxygen concentration and mean oxygen depth suggests an out-diffusion of near-surface oxygen atoms.

  19. High-Energy-Density Metal-Oxygen Batteries: Lithium-Oxygen Batteries vs Sodium-Oxygen Batteries.

    Science.gov (United States)

    Song, Kyeongse; Agyeman, Daniel Adjei; Park, Mihui; Yang, Junghoon; Kang, Yong-Mook

    2017-12-01

    The development of next-generation energy-storage devices with high power, high energy density, and safety is critical for the success of large-scale energy-storage systems (ESSs), such as electric vehicles. Rechargeable sodium-oxygen (Na-O 2 ) batteries offer a new and promising opportunity for low-cost, high-energy-density, and relatively efficient electrochemical systems. Although the specific energy density of the Na-O 2 battery is lower than that of the lithium-oxygen (Li-O 2 ) battery, the abundance and low cost of sodium resources offer major advantages for its practical application in the near future. However, little has so far been reported regarding the cell chemistry, to explain the rate-limiting parameters and the corresponding low round-trip efficiency and cycle degradation. Consequently, an elucidation of the reaction mechanism is needed for both lithium-oxygen and sodium-oxygen cells. An in-depth understanding of the differences and similarities between Li-O 2 and Na-O 2 battery systems, in terms of thermodynamics and a structural viewpoint, will be meaningful to promote the development of advanced metal-oxygen batteries. State-of-the-art battery design principles for high-energy-density lithium-oxygen and sodium-oxygen batteries are thus reviewed in depth here. Major drawbacks, reaction mechanisms, and recent strategies to improve performance are also summarized. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  1. Improved surface corrosion resistance of WE43 magnesium alloy by dual titanium and oxygen ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Ying [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Guosong; Lu, Qiuyuan [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Wu, Jun [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Xu, Ruizhen [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China); Yeung, Kelvin W.K., E-mail: wkkyeung@hku.hk [Department of Orthopaedics and Traumatology, The University of Hong Kong, Pokfulam, Hong Kong (China); Chu, Paul K., E-mail: paul.chu@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2013-02-01

    Magnesium alloys are potential biodegradable materials and have attracted much attention due to their outstanding biological performance and mechanical properties. However, their rapid degradation inside the human body cannot meet clinical needs. In order to improve the corrosion resistance, dual titanium and oxygen ion implantation is performed to modify the surface of the WE43 magnesium alloy. X-ray photoelectron spectroscopy is used to characterize the microstructures in the near surface layer and electrochemical impedance spectroscopy, potentiodynamic polarization, and immersion tests are employed to investigate the corrosion resistance of the implanted alloys in simulated body fluids. The results indicate that dual titanium and oxygen ion implantation produces a TiO{sub 2}-containing surface film which significantly enhances the corrosion resistance of WE43 magnesium alloy. Our data suggest a simple and practical means to improve the corrosion resistance of degradable magnesium alloys. - Highlights: ► Surface modification of WE43 magnesium alloy using dual ion implantation ► Dual Ti and O ion implantation produces a homogeneous TiO{sub 2}-containing surface film ► Significant improvement of the alloy corrosion resistance after the dual ion implantation.

  2. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  3. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  4. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  5. Characterization of silicon oxynitride films prepared by the simultaneous implantation of oxygen and nitrogen ions into silicon

    International Nuclear Information System (INIS)

    Hezel, R.; Streb, W.

    1985-01-01

    Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)

  6. Oxygen-implanted induced formation of oxide layer enhances blood compatibility on titanium for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Hung, Wei-Chiang [School of Oral Hygiene, Taipei Medical University, Taipei 110, Taiwan (China); Department of Dentistry, Taipei Medical University Hospital, Taipei 110, Taiwan (China); Chang, Fang-Mo [School of Dentistry, Taipei Medical University, Taipei 110, Taiwan (China); Yang, Tzu-Sen [Master Program in Graduate Institute of Nanomedicine and Medical Engineering, Taipei Medical University, Taipei 110, Taiwan (China); Ou, Keng-Liang [School of Dentistry, Taipei Medical University, Taipei 110, Taiwan (China); Research Center for Biomedical Implants and Microsurgery Devices, Taipei Medical University, Taipei 110, Taiwan (China); Department of Dentistry, Taipei Medical University-Shuang-Ho Hospital, Taipei 235, Taiwan (China); Lin, Che-Tong [School of Dentistry, Taipei Medical University, Taipei 110, Taiwan (China); Peng, Pei-Wen, E-mail: apon@tmu.edu.tw [School of Dental Technology, Taipei Medical University, Taipei 110, Taiwan (China)

    2016-11-01

    Titanium dioxide (TiO{sub 2}) layers were prepared on a Ti substrate by using oxygen plasma immersion ion implantation (oxygen PIII). The surface chemical states, structure, and morphology of the layers were studied using X-ray photoelectron spectroscopy, X-ray diffraction, Raman microscopy, atomic force microscopy and scanning electron microscope. The mechanical properties, such as the Young's modulus and hardness, of the layers were investigated using nanoindentation testing. The Ti{sup 4+} chemical state was determined to be present on oxygen-PIII-treated surfaces, which consisted of nanocrystalline TiO{sub 2} with a rutile structure. Compared with Ti substrates, the oxygen-PIII-treated surfaces exhibited decreased Young's moduli and hardness. Parameters indicating the blood compatibility of the oxygen-PIII-treated surfaces, including the clotting time and platelet adhesion and activation, were studied in vitro. Clotting time assays indicated that the clotting time of oxygen-PIII-treated surfaces was longer than that of the Ti substrate, which was associated with decreased fibrinogen adsorption. In conclusion, the surface characteristics and the blood compatibility of Ti implants can be modified and improved using oxygen PIII. - Highlights: • The Ti{sup 4+} chemical state was determined to be present on oxygen-PIII-treated surfaces. • The nanocrystalline TiO{sub 2} with a rutile structure was formed on titanium surfaces. • A nanoporous TiO{sub 2} layer in the rutile phase prepared using oxygen PIII treatment can be used to prolong blood clot formation.

  7. Effects of H-implantation energy on the optical stability of implanted usher films under photo-irradiation

    International Nuclear Information System (INIS)

    Awazu, K.; Yasui, H.; Kasamori, M.; Ichikawa, T.; Funada, Y.; Iwaki, M.

    1999-01-01

    A study has been made on the improvement of the optical stability of urushi films under optical irradiation using ion implantation. Ion implantation of hydrogen ions in urushi films was performed with a dose of 10 15 ions/cm 2 at ion energies ranging from 0.2 to 150 keV at room temperature. The photo-irradiation onto the urushi films was carried out at irradiation energies ranging from 40 to 400 MJ/m 2 . H-implantation onto urushi films is useful for improving the optical stability under photo-irradiation when the implantation energy is larger than 60 keV

  8. Effect of oxygen implantation on the electrochemical properties of palladium

    International Nuclear Information System (INIS)

    Fujihana, T.; Ueshima, M.; Takahashi, K.; Iwaki, M.

    1995-01-01

    Hydrogen presence in metals has significant effects on their properties. A stress caused by hydrogen migration leads to cracks in metals. The suppression of hydrogen incorporation for the protection of such hydrogen embrittlement is one of the most important subjects for industrial engineering. In contrast, the development of active materials for hydrogen absorption and desorption reactions is expected to make a potable storage of hydrogen which is clean and virtually inexhaustible fuel. The electrochemical properties of O + -implanted Pd measured by cyclic voltammetry in a 0.25 mol dm -3 H 2 SO 4 solution were investigated in relation to their composition and structure. Implantation of 16 O + was performed with doses between 10 17 and 10 18 ions cm -2 at 150 keV, and at nearly room temperature. SIMS, ERD combined with RBS, and XRD were used to analyze the composition depth profile and structure of O + -implanted Pd surface layers. The H atoms were accumulated with a gaussian distribution and carbon materials containing the solid solution of PdCx (x = 0.13--0.15) were also formed in the near surface layers during O + -implantation. The distribution of implanted oxygen changed from gaussian to trapezoidal as the dose increased, accompanied by the crystal growth of Pd(OH) 2 , and simultaneously, the amount of accumulated H atoms increased. The voltammetric measurements revealed that with an increase in the dose, the hydrogen absorption was suppressed at the early stage of sweep cycles, and at the final stage, the redox reaction of both hydrogen and Pd was activated. From these results, the authors propose that the carbon materials containing the PdCx formed during O + -implantation suppress the hydrogen absorption, and the metallic Pd like a Pd-black formed by the reduction of Pd(OH) 2 during voltammetric measurements causes the electrochemical activation of O + -implanted Pd

  9. Composition, structure and morphology of oxide layers formed on austenitic stainless steel by oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Anandan, C.; Rajam, K.S.

    2007-01-01

    Oxygen ions were implanted in to austenitic stainless steel by plasma immersion ion implantation at 400 deg. C. The implanted samples were characterized by XPS, GIXRD, micro-Raman, AFM, optical and scanning electron microscopies. XPS studies showed the presence of Fe in elemental, as Fe 2+ in oxide form and as Fe 3+ in the form of oxyhydroxides in the substrate. Iron was present in the oxidation states of Fe 2+ and Fe 3+ in the implanted samples. Cr and Mn were present as Cr 3+ and Mn 2+ , respectively, in both the substrate and implanted samples. Nickel remained unaffected by implantation. GIXRD and micro-Raman studies showed the oxide to be a mixture of spinel and corundum structures. Optical and AFM images showed an island structure on underlying oxide. This island structure was preserved at different thicknesses. Further, near the grain boundaries more oxide growth was found. This is explained on the basis of faster diffusion of oxygen in the grain boundary regions. Measurement of total hemispherical optical aborptance, α and emittance, ε of the implanted sample show that it has good solar selective properties

  10. Oxygen depth profiling in Kr{sup +}-implanted polycrystalline alpha titanium by means of {sup 16}O({alpha},{alpha}){sup 16}O resonance scattering

    Energy Technology Data Exchange (ETDEWEB)

    Nsengiyumva, S., E-mail: schadnse@hotmail.com [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa); Department of Physics and Electronics, Rhodes University, Grahamstown 6140 (South Africa); Department of Physics, Kigali Institute of Education, P.O. Box 5039 Kigali (Rwanda); Riviere, J.P. [Laboratoire de Physique des Materiaux UMR6630-CNRS, 86960 (France); Raji, A.T.; Comrie, C.M.; Britton, D.T.; Haerting, M. [Department of Physics, University of Cape Town, Rondebosch 7701 (South Africa)

    2011-07-15

    The {sup 16}O({alpha},{alpha}){sup 16}O resonance scattering was applied to study the effects of ion implantation on the oxygen distribution in the near surface region of polycrystalline titanium implanted with 180 keV krypton ions at fluences, ranging between 1 x 10{sup 14} and 5 x 10{sup 15} Kr{sup +}/cm{sup 2}. Two sample sets were chosen: as-received polycrystalline titanium discs rolled and annealed in half-hard condition which had a thick oxygen layer and similar samples in which this surface layer was removed by polishing. An increase of the mean oxygen concentration observed in both unpolished and polished samples at low fluence suggests a knock-on implantation of surface oxygen atoms. At high fluence, an overall decrease in the mean oxygen concentration and mean oxygen depth suggests an out-diffusion of near-surface oxygen atoms.

  11. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  12. Anti-corrosion performance of oxidized and oxygen plasma-implanted NiTi alloys

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu, Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys are useful orthopedic biomaterials on account of its super-elastic and shape memory properties. However, the problem associated with out-diffusion of harmful nickel ions in prolonged use inside the human body raises a critical safety concern. Titanium oxide films are deemed to be chemically inert and biocompatible and hence suitable to be the barrier layers to impede the leaching of Ni from the NiTi substrate to biological tissues and fluids. In the work reported in this paper, we compare the anti-corrosion efficacy of oxide films produced by atmospheric-pressure oxidation and oxygen plasma ion implantation. Our results show that the oxidized samples do not possess improved corrosion resistance and may even fare worse than the untreated samples. On the other hand, the plasma-implanted surfaces exhibit much improved corrosion resistance. Our work also shows that post-implantation annealing can further promote the anti-corrosion capability of the samples

  13. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  14. Subthreshold currents in CMOS transistors made on oxygen-implanted silicon

    International Nuclear Information System (INIS)

    Foster, D.J.

    1983-01-01

    Kinks have been observed in subthreshold current plots of mesa-shaped n-channel transistors made on oxygen-implanted silicon substrates. The kinks represent additional current flow and are due to overlapping fields from the gate electrode causing early corner inversion and to a Qsub(ss) side-wall effect. Subthreshold currents in n-channel transistors are dominated by the two effects which, as a consequence, reduce threshold voltages especially in narrow n-channel transistors. The subthreshold characteristics of p-channel transistors were not affected in the same way. (author)

  15. Electronic structure of xenon implanted with low energy in amorphous silicon

    International Nuclear Information System (INIS)

    Barbieri, P.F.; Landers, R.; Oliveira, M.H. de; Alvarez, F.; Marques, F.C.

    2007-01-01

    Electronic structure of Xe implanted in amorphous silicon (a-Si) films are investigated. Xe atoms were implanted with low energy by ion beam assisted deposition (IBAD) technique during growth of the a-Si films. The Xe implantation energy varied in the 0-300 eV energy range. X-ray photoelectron spectroscopy (XPS), X-ray Auger excited spectroscopy (XAES) and X-ray absorption spectroscopy (XAS) were used for investigating the Xe electronic structure. The Xe M 4 N 45 N 45 transitions were measured to extract the Auger parameter and to analyze the initial state and relaxation contributions. It was found that the binding energy variation is mainly due to initial state contribution. The relaxation energy variation also shows that the Xe trapped environment depends on the implantation energy. XAS measurements reveals that Xe atoms are dispersed in the a-Si matrix

  16. The effect of oxygen on segregation-induced redistribution of rare-earth elements in silicon layers amorphized by ion implantation

    International Nuclear Information System (INIS)

    Aleksandrov, O. V.

    2006-01-01

    A model of segregation-induced redistribution of impurities of rare-earth elements during solid-phase epitaxial crystallization of silicon layers amorphized by ion implantation is developed. This model is based on the assumption that a transition layer with a high mobility of atoms is formed at the interphase boundary on the side of a-Si; the thickness of this layer is governed by the diffusion length of vacancies in a-Si. The Er concentration profiles in Si implanted with both erbium and oxygen ions are analyzed in the context of the model. It shown that, in the case of high doses of implantation of rare-earth ions, it is necessary to take into account the formation of R m clusters (m = 4), where R denotes the atom of a rare-earth element, whereas, if oxygen ions are also implanted, formation of the complexes RO n (n = 3-6) should be taken into account; these complexes affect the transition-layer thickness and segregation coefficient

  17. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  18. Effect of low-oxygen-concentration layer on iron gettering capability of carbon-cluster ion-implanted Si wafer for CMOS image sensors

    Science.gov (United States)

    Onaka-Masada, Ayumi; Nakai, Toshiro; Okuyama, Ryosuke; Okuda, Hidehiko; Kadono, Takeshi; Hirose, Ryo; Koga, Yoshihiro; Kurita, Kazunari; Sueoka, Koji

    2018-02-01

    The effect of oxygen (O) concentration on the Fe gettering capability in a carbon-cluster (C3H5) ion-implanted region was investigated by comparing a Czochralski (CZ)-grown silicon substrate and an epitaxial growth layer. A high Fe gettering efficiency in a carbon-cluster ion-implanted epitaxial growth layer, which has a low oxygen region, was observed by deep-level transient spectroscopy (DLTS) and secondary ion mass spectroscopy (SIMS). It was demonstrated that the amount of gettered Fe in the epitaxial growth layer is approximately two times higher than that in the CZ-grown silicon substrate. Furthermore, by measuring the cathodeluminescence, the number of intrinsic point defects induced by carbon-cluster ion implantation was found to differ between the CZ-grown silicon substrate and the epitaxial growth layer. It is suggested that Fe gettering by carbon-cluster ion implantation comes through point defect clusters, and that O in the carbon-cluster ion-implanted region affects the formation of gettering sinks for Fe.

  19. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  20. Solar Energy Systems for Lunar Oxygen Generation

    Science.gov (United States)

    Colozza, Anthony J.; Heller, Richard S.; Wong, Wayne A.; Hepp, Aloysius F.

    2010-01-01

    An evaluation of several solar concentrator-based systems for producing oxygen from lunar regolith was performed. The systems utilize a solar concentrator mirror to provide thermal energy for the oxygen production process. Thermal energy to power a Stirling heat engine and photovoltaics are compared for the production of electricity. The electricity produced is utilized to operate the equipment needed in the oxygen production process. The initial oxygen production method utilized in the analysis is hydrogen reduction of ilmenite. Utilizing this method of oxygen production a baseline system design was produced. This baseline system had an oxygen production rate of 0.6 kg/hr with a concentrator mirror size of 5 m. Variations were performed on the baseline design to show how changes in the system size and process (rate) affected the oxygen production rate. An evaluation of the power requirements for a carbothermal lunar regolith reduction reactor has also been conducted. The reactor had a total power requirement between 8,320 to 9,961 W when producing 1000 kg/year of oxygen. The solar concentrator used to provide the thermal power (over 82 percent of the total energy requirement) would have a diameter of less than 4 m.

  1. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  2. Implantation of oxygen ions for the realization of SOS (silicon on insulator) structures: SIMOX

    International Nuclear Information System (INIS)

    Margail, J.

    1987-03-01

    Highdose oxygen implantation is becoming a serious candidate for SOI (silicon on insulator) structure realization. The fabrication condition study of these substrates allowed to show up the implantation and annealing parameter importance for microstructure, and particularly for crystal quality of silicon films. It has been shown that the use of high temperature annealings leads to high quality substrates: monocrystal silicon film without any precipitate, at the card scale; Si/Si O 2 interface formation. After annealing at 1340 O C, Hall mobilities have been measured in silicon film, and its residual doping is very low. First characteristics and performance of submicron CMOS circuits prooves the electric quality of these substrates [fr

  3. Subcutaneous Photovoltaic Infrared Energy Harvesting for Bio-Implantable Devices.

    Science.gov (United States)

    Moon, Eunseong; Blaauw, David; Phillips, Jamie D

    2017-05-01

    Wireless biomedical implantable devices on the mm-scale enable a wide range of applications for human health, safety, and identification, though energy harvesting and power generation are still looming challenges that impede their widespread application. Energy scavenging approaches to power biomedical implants have included thermal [1-3], kinetic [4-6], radio-frequency [7-11] and radiative sources [12-14]. However, the achievement of efficient energy scavenging for biomedical implants at the mm-scale has been elusive. Here we show that photovoltaic cells at the mm-scale can achieve a power conversion efficiency of more than 17 % for silicon and 31 % for GaAs under 1.06 μW/mm 2 infrared irradiation at 850 nm. Finally, these photovoltaic cells demonstrate highly efficient energy harvesting through biological tissue from ambient sunlight, or irradiation from infrared sources such as used in present-day surveillance systems, by utilizing the near infrared (NIR) transparency window between the 650 nm and 950 nm wavelength range [15-17].

  4. The examination of calcium ion implanted alumina with energy filtered transmission electron microscopy

    International Nuclear Information System (INIS)

    Hunt, E.M.; Hampikian, J.M.

    1997-01-01

    Ion implantation can be used to alter in the optical response of insulators through the formation of embedded nano-sized particles. Single crystal alumina has been implanted at ambient temperature with 50 keV Ca + to a fluence of 5 x 10 16 ions/cm 2 . Ion channeling, Knoop microhardness measurements, and transmission electron microscopy (TEM) indicate that the alumina surface layer was amorphized by the implant. TEM also revealed nano-sized crystals ∼7--8 nm in diameter. These nanocrystals are randomly oriented, and exhibit a face-centered cubic structure (FCC) with a lattice parameter of 0.409 nm ± 0.002 nm. The similarity between this crystallography and that of pure aluminum suggests that they are metallic aluminum nanocrystals with a slightly dilated lattice parameter, possibly due to the incorporation of a small amount of calcium. Energy-filtered transmission electron microscopy (EFTEM) provides an avenue by which to confirm the metallic nature of the aluminum involved in the nanocrystals. EFTEM has confirmed that the aluminum present in the particles is metallic in nature, that the particles are oxygen deficient in comparison with the matrix material and that the particles are deficient in calcium, and therefore not likely to be calcia. The particles thus appear to be FCC Al (possibly alloyed with a few percent Ca) with a lattice parameter of 0.409nm. A similar result was obtained for yttrium ion implantation into alumina

  5. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  6. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    Science.gov (United States)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  7. Oxygen-plasma-modified biomimetic nanofibrous scaffolds for enhanced compatibility of cardiovascular implants

    Directory of Open Access Journals (Sweden)

    Anna Maria Pappa

    2015-01-01

    Full Text Available Electrospun nanofibrous scaffolds have been extensively used in several biomedical applications for tissue engineering due to their morphological resemblance to the extracellular matrix (ECM. Especially, there is a need for the cardiovascular implants to exhibit a nanostructured surface that mimics the native endothelium in order to promote endothelialization and to reduce the complications of thrombosis and implant failure. Thus, we herein fabricated poly-ε-caprolactone (PCL electrospun nanofibrous scaffolds, to serve as coatings for cardiovascular implants and guide tissue regeneration. Oxygen plasma treatment was applied in order to modify the surface chemistry of the scaffold and its effect on cell attachment and growth was evaluated. The conditions of the surface modification were properly adjusted in order to define those conditions of the treatment that result in surfaces favorable for cell growth, while maintaining morphological integrity and mechanical behavior. Goniometry (contact angle measurements, scanning electron microscopy (SEM, atomic force microscopy (AFM, and X-ray photoelectron spectroscopy (XPS measurements were used to evaluate the morphological and chemical changes induced by the plasma treatment. Moreover, depth-sensing nanoindentation was performed to study the resistance of the plasma-treated scaffolds to plastic deformation. Lastly, the cell studies indicated that all scaffolds were cytocompatible, with the plasma-treated ones expressing a more pronounced cell viability and adhesion. All the above findings demonstrate the great potential of these biomimetic tissue-engineering constructs as efficient coatings for enhanced compatibility of cardiovascular implants.

  8. Comparative study of SOI/Si hybrid substrates fabricated using high-dose and low-dose oxygen implantation

    International Nuclear Information System (INIS)

    Dong Yemin; Chen Meng; Chen Jing; Wang Xiang; Wang Xi

    2004-01-01

    Hybrid substrates comprising both silicon-on-insulator (SOI) and bulk Si regions have been fabricated using the technique of patterned separation by implantation of oxygen (SIMOX) with high-dose (1.5 x 10 18 cm -2 ) and low-dose ((1.5-3.5) x 10 17 cm -2 ) oxygen ions, respectively. Cross-sectional transmission electron microscopy (XTEM) was employed to examine the microstructures of the resulting materials. Experimental results indicate that the SOI/Si hybrid substrate fabricated using high-dose SIMOX is of inferior quality with very large surface height step and heavily damaged transitions between the SOI and bulk regions. However, the quality of the SOI/Si hybrid substrate is enhanced dramatically by reducing the implant dose. The defect density in transitions is reduced considerably. Moreover, the expected surface height difference does not exist and the surface is exceptionally flat. The possible mechanisms responsible for the improvements in quality are discussed

  9. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  10. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  11. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  12. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  13. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  14. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  15. Surface layers in the 4A group metals with implanted silicon ions

    International Nuclear Information System (INIS)

    Kovneristyj, Yu.K.; Vavilova, V.V.; Krasnopevtsev, V.V.; Galkin, L.N.; Kudyshev, A.N.; Klechkovskaya, V.V.

    1987-01-01

    A study was made on the change of structure and phase composition of fine near the surface layers of 4A group metals (Hf, Zr, Ti) during ion Si implantation and successive thermal annealing at elevated temperatures. Implantation of Si + ions with 30 or 16 keV energy in Ti, Zr and Hf at room temperature results to amorphization of metal surface layer. The surface hafnium and titanium layer with implanted Si atoms due to interaction with residual atmosphere of oxygen turns during annealing at 870 K to amorphous solid solution of HfO 2m or TiO 2 with Si, preventing further metal oxidation; layers of amorphous alloy are characterized by thermal stability up to 1270 K. Oxidation of the surface amorphous layer in residual oxygen atmosphere and its crystallization in ZrO 2 take place in result of Zr annealing with implanted Si ions at temperature not exceeding 870 K. Similar phenomena are observed in the case of hafnium with implanted oxygen ions or small dose of silicon ions. Thermal stability of amorphous layers produced during ion implantation of Si in Ti, Zr and Hf corresponds to scale resistance of monolithic alloys in Ti-Si, Zr-Si and Hf-Si systems

  16. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  17. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  18. XPS studies of SiO2 surface layers formed by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Schulze, D.; Finster, J.

    1983-01-01

    SiO 2 surface layers of 160 nm thickness formed by 16 O + ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO 2 . There is no evidence for Si or SiO/sub x/ (0 2 and Si is similar to that of thin grown oxide layers. Only its thickness is somewhat larger than in thermal oxide

  19. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  20. Deuterium migration and trapping in uranium and uranium dioxide during D+ implantation

    International Nuclear Information System (INIS)

    Lewis, M.B.

    1980-01-01

    Uranium and UO 2 have been implanted with deuterium ions in the energy range 30-85 keV. Subsequently, the near surface regions (100-90000 Angstroem) of these samples were quantitatively profiled for deuterium oxygen using the method of ion beam microanalysis. Mean ranges and widths of the implanted ions were measured and compared with theoretical predictions. Fully oxidized samples were compared with those having only thin oxide films on their surfaces. While the deuterium appeared to migrate during its implantation in uranium, little or no migration appeared either during or after implantation in UO 2 . Further measurements suggest that thin surface oxide films strongly trap the deuterium migrating beneath the surface. It is suggested that the electronic energy loss of the ion beam lowers the effective activation energy for the formation of OD bonds near the target surface. (orig.)

  1. Circadian rhythm of energy expenditure and oxygen consumption.

    Science.gov (United States)

    Leuck, Marlene; Levandovski, Rosa; Harb, Ana; Quiles, Caroline; Hidalgo, Maria Paz

    2014-02-01

    This study aimed to evaluate the effect of continuous and intermittent methods of enteral nutrition (EN) administration on circadian rhythm. Thirty-four individuals, aged between 52 and 80 years, were fed through a nasoenteric tube. Fifteen individuals received a continuous infusion for 24 hours/d, and 19 received an intermittent infusion in comparable quantities, every 4 hours from 8:00 to 20:00. In each patient, 4 indirect calorimetric measurements were carried out over 24 hours (A: 7:30, B: 10:30, C: 14:30, and D: 21:30) for 3 days. Energy expenditure and oxygen consumption were significantly higher in the intermittent group than in the continuous group (1782 ± 862 vs 1478 ± 817 kcal/24 hours, P = .05; 257 125 vs 212 117 ml/min, P = .048, respectively). The intermittent group had higher levels of energy expenditure and oxygen consumption at all the measured time points compared with the continuous group. energy expenditure and oxygen consumption in both groups were significantly different throughout the day for 3 days. There is circadian rhythm variation of energy expenditure and oxygen consumption with continuous and intermittent infusion for EN. This suggests that only one indirect daily calorimetric measurement is not able to show the patient's true needs. Energy expenditure is higher at night with both food administration methods. Moreover, energy expenditure and oxygen consumption are higher with the intermittent administration method at all times.

  2. Positron annihilation investigation and nuclear reaction analysis of helium and oxygen-implanted zirconia

    International Nuclear Information System (INIS)

    Grynszpan, R.I.; Saude, S.; Anwand, W.; Brauer, G.

    2005-01-01

    Since irradiation affects in-service properties of zirconia, we investigated the fluence dependence on production and thermal stability of defects induced by helium and oxygen-ion implantation in single crystals of yttria-fully-stabilized zirconia. In either case, depth profiling by slow positron implantation spectroscopy (SPIS) detects a distribution of vacancy-type defects peaking at 60% of the projected ion range R p . Owing to the saturation of positron-trapping occurring for low fluences, which depends on the ion mass, we could estimate a critical size of clusters ranging from 0.4 to 1.6 nm. The lack of SPIS-evidence of an open-volume excess at R p is explained by the presence of over-pressurized gas bubbles. This assumption is confirmed by Nuclear Reaction Analysis of 3 He concentration profiles, which shows that helium remains partly trapped at R p , even after annealing above 400 o C

  3. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  4. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  5. FY 1998 annual report on the development of novel, high-activity oxygen electrode by ion-implantation; 1998 nendo ion chunyuho ni yoru shinkina kokassei sanso denkyoku no kaihatsu chosa hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-01

    An attempt has been made to develop an electrode material having high activity for oxygen generating reactions by ion-implantation, which is used to form the bulk defects (fine gaps at the atomic level) on the electrode surface, considered to serve as the active sites. It is found that implantation of the Co{sup +} or Zn{sup +} ion into a compound oxide electrode of Ti and Ru is accompanied by decreased overvoltage for oxygen generation by 50 to 100 mV. The Co{sup +} and Zn{sup +} ions, when implanted, cause damage of similar density in the thin film, decreasing its overvoltage to a similar extent, in spite of their different chemical properties, from which it is considered that the effect of ion implantation is not to change chemical properties of the film but to form a structural defect therein. A thin-film electrode of ruthenium dioxide, which is considered to be the oxygen generating electrode of the highest activity at present, is prepared and implanted with the Ru{sup +} ion, to observe the effect. The ion implantation also decreases the overvoltage by 50 to 70 mV, demonstrating its effect. The same principle is expected to be applicable to development of high-activity oxygen reducing electrode (electrode for fuel cell). (NEDO)

  6. Processing of Silver-Implanted Aluminum Nitride for Energy Harvesting Devices

    Science.gov (United States)

    Alleyne, Fatima Sierre

    One of the more attractive sources of green energy has roots in the popular recycling theme of other green technologies, now known by the term "energy scavenging." In its most promising conformation, energy scavenging converts cyclic mechanical vibrations in the environment or random mechanical pressure pulses, caused by sources ranging from operating machinery to human footfalls, into electrical energy via piezoelectric transducers. While commercial piezoelectrics have evolved to favor lead zirconate titanate (PZT) for its combination of superior properties, the presence of lead in these ceramic compounds raises resistance to their application in anything "green" due to potential health implications during their manufacturing, recycling, or in-service application, if leaching occurs. Therefore in this study we have pursued the application of aluminum nitride (AlN) as a non-toxic alternative to PZT, seeking processing pathways to augment the modest piezoelectric performance of AlN and exploit its compatibility with complementary-metal-oxide semiconductor (CMOS) manufacturing. Such piezoelectric transducers have been categorized as microelectromechanical systems (MEMS), which despite more than a decade of research in this field, is plagued by delamination at the electrode/piezoelectric interface. Consequently the electric field essential to generate and sustain the piezoelectric response of these devices is lost, resulting in device failure. Working on the hypothesis that buried conducting layers can both mitigate the delamination problem and generate sufficient electric field to engage the operation of resonator devices, we have undertaken a study of silver ion implantation to experimentally assess its feasibility. As with most ion implantation procedures employed in semiconductor fabrication, the implanted sample is subjected to a thermal treatment, encouraging diffusion-assisted precipitation of the implanted species at high enough concentrations. The objective

  7. Retrievable micro-inserts containing oxygen sensors for monitoring tissue oxygenation using EPR oximetry

    International Nuclear Information System (INIS)

    Dinguizli, M; Beghein, N; Gallez, B

    2008-01-01

    Tissue oxygenation is a crucial parameter in various physiopathological situations and can influence the therapeutic response of tumours. EPR oximetry is a reliable method for assessing and monitoring oxygen levels in vivo over long periods of time. Among the different paramagnetic oxygen sensors available for EPR oximetry, lithium phthalocyanine (LiPc) is a serious candidate for in vivo applications because of its narrow linewidth and its high signal-to-noise ratio. To enhance the biocompatibility of the sensors, fluoropolymer Teflon AF2400 was used to make cylindrical micro-inserts containing LiPc crystals. This new micro-pellet design has several advantages for in vivo studies, including the possibility of being able to choose the implant size, a high sensor content, the facility of in vivo insertion and complete protection with preservation of the oxygen sensor's characteristics. The response to oxygen and the kinetics of this response were tested using in vivo EPR: no differences were observed between micro-inserts and uncoated LiPc crystals. Pellets implanted in vivo in muscles conserved their responsiveness over a long period of time (∼two months), which is much longer than the few days of stability observed using LiPc crystals without protection by the implant. Finally, evaluation of the biocompatibility of the implants revealed no inflammatory reaction around the implantation area

  8. Relation between total shock energy and mortality in patients with implantable cardioverter-defibrillator.

    Science.gov (United States)

    Tenma, Taro; Yokoshiki, Hisashi; Mitsuyama, Hirofumi; Watanabe, Masaya; Mizukami, Kazuya; Kamada, Rui; Takahashi, Masayuki; Sasaki, Ryo; Maeno, Motoki; Okamoto, Kaori; Chiba, Yuki; Anzai, Toshihisa

    2018-05-15

    Implantable Cardioverter-Defibrillator (ICD) shocks have been associated with mortality. However, no study has examined the relation between total shock energy and mortality. The aim of this study is to assess the association of total shock energy with mortality, and to determine the patients who are at risk of this association. Data from 316 consecutive patients who underwent initial ICD implantation in our hospital between 2000 and 2011 were retrospectively studied. We collected shock energy for 3 years from the ICD implantation, and determined the relation of shock energy on mortality after adjusting confounding factors. Eighty-seven ICD recipients experienced shock(s) within 3 years from ICD implantation and 43 patients had died during the follow-up. The amount of shock energy was significantly associated with all-cause death [adjusted hazard ratio (HR) 1.26 (per 100 joule increase), p energy accumulation (≥182 joule) was lower (p energy accumulation (energy accumulation and all-cause death was remarkable in the patients with low left ventricular ejection fraction (LVEF ≤40%) or atrial fibrillation (AF). Increase of shock energy was related to mortality in ICD recipients. This relation was evident in patients with low LVEF or AF. Copyright © 2018 Elsevier B.V. All rights reserved.

  9. Reduction of charge trapping and electron tunneling in SIMOX by supplemental implantation of oxygen

    International Nuclear Information System (INIS)

    Stahlbush, R.E.; Hughes, H.L.; Krull, W.A.

    1993-01-01

    Silicon-on-insulator, SOI, technologies are being aggressively pursued to produce high density, high speed, radiation tolerant electronics. The dielectric isolation of the buried oxide makes it possible to design integrated circuits that greatly minimize single event upset and eliminate dose-rate induced latchup and upset. The reduction of excess-silicon related defects in SIMOX by the supplemental implantation of oxygen has been examined. The supplemental implant is 6% of the oxygen dose used to form the buried oxide, and is followed by a 1,000 C anneal, in contrast to the >1,300 C anneal used to form the buried oxide layer of SIMOX. The defects examined include shallow electron traps, deep hole traps, and silicon clusters. The radiation-induced shallow electron and deep hole trapping are measured by cryogenic detrapping and isothermal annealing techniques. The low-field (3 to 6 MV/cm) electron tunneling is interpreted as due to a two phase mixture of stoichiometric SiO 2 and Si clusters a few nm in size. Single and triple SIMOS samples have been examined. All of the defects are reduced by the supplemental oxygen processing. Shallow electron trapping is reduced by an order of magnitude. Because of the larger capture cross section for hole trapping, hole trapping is not reduced as much. The low-field electron tunneling due to Si clusters is also significantly reduced. Both uniform and nonuniform electron tunneling have been observed in SIMOX samples without supplement processing. In samples exhibiting only uniform tunneling, electron capture at holes has been observed. The nonuniform tunneling is superimposed upon the uniform tunneling and is characterized by current spiking

  10. Implantation profile of low-energy positrons in solids

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Lynn, K.G.

    1990-01-01

    A simple form for an implantation profile of monoenergetic, low-energy (1--10 keV) positrons in solids is presented. Materials studied include aluminum, copper, molybdenum, palladium, and gold with atomic number ranging from 13 to 79. A simple set of parameters can describe the currently used Makhov profile in slow positron studies of solids. We provide curves and tables for the parameters that can be used to describe the implantation profiles of positrons in any material with atomic number in between 13 and 79

  11. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  12. Radiation damage in He implanted silicon at high temperature using multi-energies

    CERN Document Server

    David, M L; Oliviero, E; Denanot, M F; Beaufort, M F; Declemy, A; Blanchard, C; Gerasimenko, N N; Barbot, J F

    2002-01-01

    He sup + ions were implanted at 800 deg. C into (1 0 0) silicon with multiple energies and selected fluences to get a number of displacement per atom constant in a large plateau. The ion-related defects have been mainly studied by transmission electron microscopy. Both the amount and the microstructure of defects have been found to be strongly dependent on the order of implants. Faceted cavities are only observed where damage overlapping occurs. The first implant provides thus nucleation sites for cavities. The generation of these sites is less efficient when using increasing energies because of damage recovery; fewer cavities are observed. Concurrently interstitial-type defects, left brace 1 1 3 right brace agglomerates, are formed. The observed state of growth of these left brace 1 1 3 right brace defects (rod-like and ribbon-like defects) is dependent on the implantation energy order but in any cases, no dislocation loops are observed even in the deepest damage region.

  13. Exploring metal artifact reduction using dual-energy CT with pre-metal and post-metal implant cadaver comparison: are implant specific protocols needed?

    NARCIS (Netherlands)

    Wellenberg, Ruud H. H.; Donders, Johanna C. E.; Kloen, Peter; Beenen, Ludo F. M.; Kleipool, Roeland P.; Maas, Mario; Streekstra, Geert J.

    2017-01-01

    To quantify and optimize metal artifact reduction using virtual monochromatic dual-energy CT for different metal implants compared to non-metal reference scans. Dual-energy CT scans of a pair of human cadaver limbs were acquired before and after implanting a titanium tibia plate, a stainless-steel

  14. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  15. Magnesium aluminate planar waveguides fabricated by C-ion implantation with different energies and fluences

    Energy Technology Data Exchange (ETDEWEB)

    Song, Hong-Lian; Yu, Xiao-Fei; Zhang, Lian; Wang, Tie-Jun; Qiao, Mei; Zhang, Jing; Liu, Peng; Wang, Xue-Lin, E-mail: xuelinwang@sdu.edu.cn

    2015-11-01

    We report on MgAl{sub 2}O{sub 4} planar waveguides produced using different energies and fluences of C-ion implantation at room temperature. Based on the prism coupling method and end-face coupling measurements, light could propagate in the C-ion-implanted samples. The Raman spectra results indicate that the MgAl{sub 2}O{sub 4} crystal lattice was damaged during the multi-energy C implantation process, whereas the absorption spectra were hardly affected by the C-ion implantation in the visible and infrared bands.

  16. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  17. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  18. A pile-up phenomenon during arsenic diffusion in silicon-on-insulator structures formed by oxygen implantation

    Science.gov (United States)

    Normand, P.; Tsoukalas, D.; Guillemot, N.; Chenevier, P.

    1989-10-01

    Arsenic diffusion in silicon-on-insulator formed by deep oxygen implantation is studied by secondary ion mass spectroscopy and speading resistance measurements. An enhanced diffusivity as well as a pile-up phenomenon are observed in the thin silicon layer. The McNabb and Foster equations [Trans. TMS-AIME 22, 618 (1963)] for diffusion with trapping are solved in order to simulate this last effect.

  19. Metallic and/or oxygen ion implantation into AlN ceramics as a method of preparation for its direct bonding with copper

    International Nuclear Information System (INIS)

    Barlak, M.; Borkowska, K.; Olesinska, W.; Kalinski, D.; Piekoszewski, J.; Werner, Z.; Jagielski, J.; Sartowska, B.

    2006-01-01

    Direct bonding (DB) process is recently getting an increasing interest as a method for producing high quality joints between aluminum nitride (AlN) ceramics and copper. The metallic ions were implanted using an MEVVA type TITAN implanter with unseparated beam. Oxygen ions were implanted using a semi-industrial ion implanter without mass separation equipped with a gaseous ion source. The substrate temperature did not exceed 200 o C. Ions were implanted at two acceleration voltages, i.e. 15 and 70 kV. The fluence range was between 1·E16 and 1·E18 cm -2 . After implantation, some of the samples were characterized by the Rutherford backscattering (RBS) method. In conclusion: (a) The investigations performed in the present work confirm an assumption that ion implantation is a very promising technique as a pretreatment of AlN ceramics for the formation of the joints with copper in direct bonding process. (b) It has been shown that titanium implantation gives the best results in comparison to other metals examined (Fe, Cr, Cu) but also in comparison to double Ti+O and O+Ti implantations

  20. Improvements of anti-corrosion and mechanical properties of NiTi orthopedic materials by acetylene, nitrogen and oxygen plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Poon, Ray W.Y.; Ho, Joan P.Y.; Liu Xuanyong; Chung, C.Y.; Chu, Paul K.; Yeung, Kelvin W.K.; Lu, William W.; Cheung, Kenneth M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are useful materials in orthopedics and orthodontics due to their unique super-elasticity and shape memory effects. However, the problem associated with the release of harmful Ni ions to human tissues and fluids has been raising safety concern. Hence, it is necessary to produce a surface barrier to impede the out-diffusion of Ni ions from the materials. We have conducted acetylene, nitrogen and oxygen plasma immersion ion implantation (PIII) into NiTi alloys in an attempt to improve the surface properties. All the implanted and annealed samples surfaces exhibit outstanding corrosion and Ni out-diffusion resistance. Besides, the implanted layers are mechanically stronger than the substrate underneath. XPS analyses disclose that the layer formed by C 2 H 2 PIII is composed of mainly TiC x with increasing Ti to C concentration ratios towards the bulk. The nitrogen PIII layer is observed to be TiN, whereas the oxygen PIII layer is composed of oxides of Ti 4+ , Ti 3+ and Ti 2+

  1. Cell patterning on a glass surface by a mask-assisted ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Chan-Hee; Kim, Dong-Ki; Hwang, In-Tae; Lim, Youn-Mook; Kim, Hae-Kyoung; Nho, Young-Chang [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of); Choi, Jae-Hak [Radiation Research Division for Industry and Environment, Advanced Radiation Technology Institute, Korea Atomic Energy Research Institute, 1266 Sinjeong-dong, Jeongeup-si, Jeollabuk-do 580-185 (Korea, Republic of)], E-mail: jaehakchoi@kaeri.re.kr

    2009-04-15

    A simple patterning method of cells on a glass has been developed by using ion implantation. The glass was implanted through a pattern mask with 150 keV Ar ions in the absence or presence of oxygen. Surface properties of the ion-implanted glass were investigated by means of X-ray photoelectron spectroscopy, contact angle measurement and cell culture test. The results showed that more hydrophilic groups were formed on the glass surface implanted in the presence of oxygen. Thus, the glass surface implanted in the presence of oxygen showed lower contact angle compared with the glass surface implanted in the absence of oxygen. The cells were strongly adhered to and proliferated on the ion-implanted regions of the glass. The cell population was found to be the highest on the glass implanted at a fluence of 1 x 10{sup 16} ions/cm{sup 2} in the presence of oxygen.

  2. A novel kerf-free wafering process combining stress-induced spalling and low energy hydrogen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pingault, Timothee; Pokam-Kuisseu, Pauline Sylvia; Ntsoenzok, Esidor [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Blondeau, Jean-Philippe [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Universite d' Orleans, Chateau de la Source, 45100 Orleans (France); Ulyashin, Alexander [SINTEF, Forskningsveien 1, 0314 Oslo (Norway); Labrim, Hicham; Belhorma, Bouchra [CNESTEN, B.P. 1382 R.P., 10001 Rabat (Morocco)

    2016-12-15

    In this work, we studied the potential use of low-energy hydrogen implantation as a guide for the stress-induced cleavage. Low-energy, high fluence hydrogen implantation in silicon leads, in the right stiffening conditions, to the detachment of a thin layer, around a few hundreds nm thick, of monocrystalline silicon. We implanted monocrystalline silicon wafers with low-energy hydrogen, and then glued them on a cheap metal layer. Upon cooling down, the stress induced by the stressor layers (hardened glue and metal) leads to the detachment of a thin silicon layer, which thickness is determined by the implantation energy. We were then able to clearly demonstrate that, as expected, hydrogen oversaturation layer is very efficient to guide the stress. Using such process, thin silicon layers of around 710 nm-thick were successfully detached from low-energy implanted silicon wafers. Such layers can be used for the growth of very good quality monocrystalline silicon of around 50 μm-thick or less. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Low-energy scattering data for oxygen

    International Nuclear Information System (INIS)

    Kopecky, S.; Plompen, A.J.M.

    2014-01-01

    A survey of literature data of the scattering lengths of oxygen is performed, and these values are compared to low-energy precise total cross-section data. To check the quality of the data and the correctness of the relation between coherent scattering lengths and low-energy total cross-sections the situation is examined first for carbon. A value and uncertainty for the coherent scattering length of oxygen is recommended for use in future evaluations of 16 O. This coherent scattering length is fully consistent with the high-precision, low-energy total cross-section data. The consistency requires the use of a larger uncertainty than claimed in the most accurate cross-section papers. This larger uncertainty is nevertheless very small and well within the requirements of applications of this cross-section. The recommended value is b c ( 16 O) = 5.816±0.015 fm and the associated total cross-section for the neutron-energy range 0.5 to 2 000 eV is 3.765±0.025 b. The stated uncertainties are one standard deviation total uncertainty. (authors)

  4. Characterization of nitrogen-ion-implanted aluminium

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Breuer, K.; Leonhardt, G.

    1990-01-01

    Aluminium has been implanted with nitrogen ions at different temperatures. The implanted samples have been characterized by Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and electron energy-loss spectroscopy (EELS). Deconvolution procedures are needed to separate the influence of the ion sputter profiling by AES and XPS from the nitrogen-ion-beam-induced effects. The chemical state of Al, N, O and C was identified by deconvolution of the measured spectra. In general, there were double-peak structures observed for N 1s and O 1s, identified as contributions from nitrides and weakly bound nitrogen, and oxides and weakly bound oxygen, respectively. Auger analysis confirms the influence of the nitrogen ion fluence on the shape of the concentration distribution. The influence of temperature on the chemical state of implanted aluminium and on the concentration distribution is discussed. (orig.)

  5. A Hip Implant Energy Harvester

    Science.gov (United States)

    Pancharoen, K.; Zhu, D.; Beeby, S. P.

    2014-11-01

    This paper presents a kinetic energy harvester designed to be embedded in a hip implant which aims to operate at a low frequency associated with body motion of patients. The prototype is designed based on the constrained volume available in a hip prosthesis and the challenge is to harvest energy from low frequency movements (< 1 Hz) which is an average frequency during free walking of a patient. The concept of magnetic-force-driven energy harvesting is applied to this prototype considering the hip movements during routine activities of patients. The magnetic field within the harvester was simulated using COMSOL. The simulated resonant frequency was around 30 Hz and the voltage induced in a coil was predicted to be 47.8 mV. A prototype of the energy harvester was fabricated and tested. A maximum open circuit voltage of 39.43 mV was obtained and the resonant frequency of 28 Hz was observed. Moreover, the power output of 0.96 μW was achieved with an optimum resistive load of 250Ω.

  6. A Hip Implant Energy Harvester

    International Nuclear Information System (INIS)

    Pancharoen, K; Zhu, D; Beeby, S P

    2014-01-01

    This paper presents a kinetic energy harvester designed to be embedded in a hip implant which aims to operate at a low frequency associated with body motion of patients. The prototype is designed based on the constrained volume available in a hip prosthesis and the challenge is to harvest energy from low frequency movements (< 1 Hz) which is an average frequency during free walking of a patient. The concept of magnetic-force-driven energy harvesting is applied to this prototype considering the hip movements during routine activities of patients. The magnetic field within the harvester was simulated using COMSOL. The simulated resonant frequency was around 30 Hz and the voltage induced in a coil was predicted to be 47.8 mV. A prototype of the energy harvester was fabricated and tested. A maximum open circuit voltage of 39.43 mV was obtained and the resonant frequency of 28 Hz was observed. Moreover, the power output of 0.96 μW was achieved with an optimum resistive load of 250Ω

  7. Variable-energy positron-beam studies of Ni implanted with He

    International Nuclear Information System (INIS)

    Lynn, K.G.; Chen, D.M.; Nielsen, B.; Pareja, R.; Myers, S.

    1986-01-01

    Variable-energy positron-beam studies have been made on well-annealed polycrystalline Ni samples implanted with 30-, 90-, and 180-keV 4 He ions. The positron-annihilation characteristics were measured with a solid-state Ge detector at a number of different incident-positron energies and after isochronal annealing at various temperatures. The Doppler broadening of the annihilation photons was found to be strongly influenced by the 4 He implantations. The data indicate that trapping of the positrons occurred predominantly at small He bubbles. The variation of the broadening with incident-positron energy was sensitive to the depth distribution of the traps. A diffusion model assuming a square concentration-defect profile was developed and analytically fitted to the parametrized momentum data. These fitted results were compared to Monte Carlo range calculations for 4 He in Ni, and fairly good agreement was found. This investigation demonstrates the capabilities of positron annihilation for nondestructive depth profiling in ion-implanted systems. In addition, it establishes parallels between the trapping behavior of positrons and that reported elsewhere for hydrogen, thereby augmenting the present level of understanding of the technologically important trapping of hydrogen by the bubbles

  8. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  9. XPS studies of SiO/sub 2/ surface layers formed by oxygen ion implantation into silicon

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, D.; Finster, J. (Karl-Marx-Universitaet, Leipzig (German Democratic Republic). Sektion Chemie); Hensel, E.; Skorupa, W.; Kreissig, U. (Zentralinstitut fuer Kernforschung, Rossendorf bei Dresden (German Democratic Republic))

    1983-03-16

    SiO/sub 2/ surface layers of 160 nm thickness formed by /sup 16/O/sup +/ ion implantation into silicon are examined by X-ray photoelectron spectroscopy measurements into the depth after a step-by-step chemical etching. The chemical nature and the thickness of the transition layer were determined. The results of the XPS measurements show that the outer surface and the bulk of the layers formed by oxygen implantation and subsequent high temperature annealing consist of SiO/sub 2/. There is no evidence for Si or SiO/sub x/ (0

  10. Recent advances on enzymatic glucose/oxygen and hydrogen/oxygen biofuel cells: Achievements and limitations

    Science.gov (United States)

    Cosnier, Serge; J. Gross, Andrew; Le Goff, Alan; Holzinger, Michael

    2016-09-01

    The possibility of producing electrical power from chemical energy with biological catalysts has induced the development of biofuel cells as viable energy sources for powering portable and implanted electronic devices. These power sources employ biocatalysts, called enzymes, which are highly specific and catalytic towards the oxidation of a biofuel and the reduction of oxygen or hydrogen peroxide. Enzymes, on one hand, are promising candidates to replace expensive noble metal-based catalysts in fuel cell research. On the other hand, they offer the exciting prospect of a new generation of fuel cells which harvest energy from body fluids. Biofuel cells which use glucose as a fuel are particularly interesting for generating electricity to power electronic devices inside a living body. Hydrogen consuming biofuel cells represent an emerging alternative to platinum catalysts due to comparable efficiencies and the capability to operate at lower temperatures. Currently, these technologies are not competitive with existing commercialised fuel cell devices due to limitations including insufficient power outputs and lifetimes. The advantages and challenges facing glucose biofuel cells for implantation and hydrogen biofuel cells will be summarised along with recent promising advances and the future prospects of these exotic energy-harvesting devices.

  11. Changes of surface layer of nitrogen-implanted AISI316L stainless steel

    International Nuclear Information System (INIS)

    Budzynski, P.; Polanski, K.; Kobzev, A.P.

    2007-01-01

    The effects of nitrogen ion implantation into AISI316L stainless steel on friction, wear, and microhardness have been investigated at an energy level of 125 keV at a fluence of 1·10 17 - 1·10 18 N/cm 2 . The composition of the surface layer was investigated by RBS, XRD (GXRD), SEM and EDX. The friction coefficient and abrasive wear rate of the stainless steel were measured in the atmospheres of air, oxygen, argon, and in vacuum. As follows from the investigations, there is an increase in resistance to frictional wear in the studied samples after implantation; however, these changes are of different characters in various atmospheres. The largest decrease in wear was observed during tests in the air, and the largest reduction in the value of the friction coefficient for all implanted samples was obtained during tests in the argon atmosphere. Tribological tests revealed larger contents of nitrogen, carbon, and oxygen in the products of surface layer wear than in the surface layer itself of the sample directly after implantation

  12. Exploring metal artifact reduction using dual-energy CT with pre-metal and post-metal implant cadaver comparison: are implant specific protocols needed?

    Science.gov (United States)

    Wellenberg, Ruud H H; Donders, Johanna C E; Kloen, Peter; Beenen, Ludo F M; Kleipool, Roeland P; Maas, Mario; Streekstra, Geert J

    2017-08-25

    To quantify and optimize metal artifact reduction using virtual monochromatic dual-energy CT for different metal implants compared to non-metal reference scans. Dual-energy CT scans of a pair of human cadaver limbs were acquired before and after implanting a titanium tibia plate, a stainless-steel tibia plate and a titanium intramedullary nail respectively. Virtual monochromatic images were analyzed from 70 to 190 keV. Region-of-interest (ROI), used to determine fluctuations and inaccuracies in CT numbers of soft tissues and bone, were placed in muscle, fat, cortical bone and intramedullary tibia canal. The stainless-steel implant resulted in more pronounced metal artifacts compared to both titanium implants. CT number inaccuracies in 70 keV reference images were minimized at 130, 180 and 190 keV for the titanium tibia plate, stainless-steel tibia plate and titanium intramedullary nail respectively. Noise, measured as the standard deviation of pixels within a ROI, was minimized at 130, 150 and 140 keV for the titanium tibia plate, stainless-steel tibia plate and titanium intramedullary nail respectively. Tailoring dual-energy CT protocols using implant specific virtual monochromatic images minimizes fluctuations and inaccuracies in CT numbers in bone and soft tissues compared to non-metal reference scans.

  13. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  14. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  15. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  16. Study and realisation of plane optical waveguides in amorphous silica by ion implantation

    International Nuclear Information System (INIS)

    Moutonnet, Danielle

    1974-01-01

    Within the framework of the replacement of radio-electric waves by light waves as support of information transmission in telecommunications, this research thesis addresses the use of ion implantation for the development of small waveguides with low losses. The author first describes how such waveguides can be characterised by studying the propagation of an electromagnetic wave in a plane waveguide, and the different ways to introduce energy in these waveguides. Then, she discusses how the obtained results can be used to determine the main parameters of an optical waveguide, or more generally of a thin transparent layer for a chosen wavelength. In the second part, the author reports the application of this general method to the case of guides obtained by ion implantation. She notably identifies the possibilities of ion implantation as technological tool to develop waveguides, and discusses how the performed experiments allow a better understanding of physical mechanisms occurring during implantation. In this second part, she recalls generally admitted theories about ion implantation, describes experiment principles (implantation of oxygen or nitrogen ions into amorphous silica followed by annealing) and discusses the obtained results (increase of the refraction index, i.e. of the guiding effect, stronger for oxygen than for nitrogen) [fr

  17. The formation of an organic coat and the release of corrosion microparticles from metallic magnesium implants.

    Science.gov (United States)

    Badar, Muhammad; Lünsdorf, Heinrich; Evertz, Florian; Rahim, Muhammad Imran; Glasmacher, Birgit; Hauser, Hansjörg; Mueller, Peter P

    2013-07-01

    Magnesium alloys have been proposed as prospective degradable implant materials. To elucidate the complex interactions between the corroding implants and the tissue, magnesium implants were analyzed in a mouse model and the response was compared to that induced by Ti and by the resorbable polymer polyglactin, respectively. One month after implantation, distinct traces of corrosion were apparent but the magnesium implants were still intact, whereas resorbable polymeric wound suture implants were already fragmented. Analysis of magnesium implants 2weeks after implantation by energy-dispersive X-ray spectroscopy indicated that magnesium, oxygen, calcium and phosphate were present at the implant surface. One month after implantation, the element composition of the outermost layer of the implant was indicative of tissue without detectable levels of magnesium, indicating a protective barrier function of this organic layer. In agreement with this notion, gene expression patterns in the surrounding tissue were highly similar for all implant materials investigated. However, high-resolution imaging using energy-filtered transmission electron microscopy revealed magnesium-containing microparticles in the tissue in the proximity of the implant. The release of such corrosion particles may contribute to the accumulation of calcium phosphate in the nearby tissue and to bone conductive activities of magnesium implants. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  18. Innovative oxide materials for electrochemical energy conversion and oxygen separation

    Science.gov (United States)

    Belousov, V. V.

    2017-10-01

    Ion-conducting solid metal oxides are widely used in high-temperature electrochemical devices for energy conversion and oxygen separation. However, liquid metal oxides possessing unique electrochemical properties still remain of limited use. The review demonstrates the potential for practical applications of molten oxides. The transport properties of molten oxide materials are discussed. The emphasis is placed on the chemical diffusion of oxygen in the molten oxide membrane materials for electrochemical energy conversion and oxygen separation. The thermodynamics of these materials is considered. The dynamic polymer chain model developed to describe the oxygen ion transport in molten oxides is discussed. Prospects for further research into molten oxide materials are outlined. The bibliography includes 145 references.

  19. Effect of pre-implanted oxygen in Si on the retention of implanted He

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Paszti, F. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)]. E-mail: paszti@rmki.kfki.hu; Ramos, A.R. [ITN - Instituto Tecnologico e Nuclear, Estrada Nacional 10, P-2686-953, Sacavem (Portugal); Khanh, N.Q. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Pecz, B. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Zolnai, Z. [MTA Research Institute for Technical Physics and Materials Science, P.O. Box 49, H-1525 Budapest (Hungary); Tunyogi, A. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, H-1525 Budapest (Hungary)

    2006-08-15

    Buried SiO {sub x} layers, with different x values, were formed by implanting 80 keV O{sup +} ions with different fluences into single crystal Si samples at room temperature. Into each of these O pre-implanted layers, 20 keV He{sup +} was implanted up to the fluence of 1 x 10{sup 17} ion/cm{sup 2}. The He distribution profiles were determined by 2045 keV proton backscattering spectrometry. It was found that as the O content increases, the retained He gradually decreases at the beginning, then rapidly falls at x = 0.6 till it disappears at x = 1.3. The process that leads to this phenomenon is discussed.

  20. Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing

    International Nuclear Information System (INIS)

    Whelan, S.; La Magna, A.; Privitera, V.; Mannino, G.; Italia, M.; Bongiorno, C.; Fortunato, G.; Mariucci, L.

    2003-01-01

    Excimer laser annealing (ELA) of ultra-low-energy (ULE) B-ion implanted Si has been performed. High-resolution transmission electron microscopy has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been investigated as a function of the laser energy density (melted depth), the implant dose, and the number of laser pulses (melt time). The activated and retained dose has been evaluated with spreading resistance profiling and secondary ion mass spectrometry. A significant amount of the implanted dopant was lost from the sample during ELA. However, the dopant that was retained in crystal material was fully activated following rapid resolidification. At an atomic concentration below the thermodynamic limit, the activation efficiency (dose activated/dose implanted into Si material) was a constant for a fixed melt depth, irrespective of the dose implanted and hence the total activated dose was raised as the implant dose was increased. The electrical activation was increased for high laser energy density annealing when the dopant was redistributed over a deeper range

  1. Damaging Effect of Low Energy N+ Implantation on Aspergillus niger Spores

    International Nuclear Information System (INIS)

    Wang Lisheng; Cai Kezhou; Cheng Maoji; Chen Lijuan; Liu Xuelan; Zhang Shuqing; Yu Zengliang

    2007-01-01

    The mutant effects of a keV range nitrogen ion (N + ) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N + implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms

  2. Biocompatibility of titanium based implants treated with plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Maendl, S.; Sader, R.; Thorwarth, G.; Krause, D.; Zeilhofer, H.-F.; Horch, H.H.; Rauschenbach, B.

    2003-01-01

    In this work, the biocompatibility of titanium before and after oxygen PIII is investigated using a rat animal model. Pure titanium (grade 2) and pre-anodized titanium were implanted with oxygen at elevated temperatures between 200 and 550 deg. C and subsequently analyzed for oxygen content and phase composition. No deterioration of the tensile strength and the yield strength was detected after the implantation. The mechanical stability of the osseointegration was determined with a pull-out test, where an increased shear strength was measured after PIII treatment. Only a slight improvement of the bone contact area, from an already excellent starting value, was observed for pure titanium. In contrast, a significant improvement was found for anodized titanium after PIII treatment. This astonishing difference can be explained with the surface topography and the phase composition of the anodized titanium samples

  3. Current State and Future Perspectives of Energy Sources for Totally Implantable Cardiac Devices.

    Science.gov (United States)

    Bleszynski, Peter A; Luc, Jessica G Y; Schade, Peter; PhilLips, Steven J; Tchantchaleishvili, Vakhtang

    There is a large population of patients with end-stage congestive heart failure who cannot be treated by means of conventional cardiac surgery, cardiac transplantation, or chronic catecholamine infusions. Implantable cardiac devices, many designated as destination therapy, have revolutionized patient care and outcomes, although infection and complications related to external power sources or routine battery exchange remain a substantial risk. Complications from repeat battery replacement, power failure, and infections ultimately endanger the original objectives of implantable biomedical device therapy - eliminating the intended patient autonomy, affecting patient quality of life and survival. We sought to review the limitations of current cardiac biomedical device energy sources and discuss the current state and trends of future potential energy sources in pursuit of a lifelong fully implantable biomedical device.

  4. A simple method to produce quasi-simultaneous multiple energy helium implantation

    International Nuclear Information System (INIS)

    Paszti, F.; Fried, M.; Manuaba, A.; Mezey, G.; Kotai, E.; Lohner, T.

    1982-11-01

    If a monoenergetic ion beam is bombarding a target through an absorber foil tilted continuously (i.e. its effective thickness changing continuously), the depth distribution of the implanted ions in the sample depends on the way the absorber is moving. The present paper describes a way of absorber tilting for obtaining a uniform depth distribution and its experimental verification in the case of MeV energy helium ions implanted into aluminium target. (author)

  5. Studies of ion implanted thermally oxidised chromium

    International Nuclear Information System (INIS)

    Muhl, S.

    1977-01-01

    The thermal oxidation of 99.99% pure chromium containing precise amounts of foreign elements has been studied and compared to the oxidation of pure chromium. Thirty-three foreign elements including all of the naturally occurring rare earth metals were ion implanted into chromium samples prior to oxidation at 750 0 C in oxygen. The role of radiation induced damage, inherent in this doping technique, has been studied by chromium implantations at various energies and doses. The repair of the damage has been studied by vacuum annealing at temperatures up to 800 0 C prior to oxidation. Many of the implants caused an inhibition of oxidation, the greatest being a 93% reduction for 2 x 10 16 ions/cm 2 of praseodymium. The distribution of the implant was investigated by the use of 2 MeV alpha backscattering and ion microprobe analysis. Differences in the topography and structure of the chromic oxide on and off the implanted area were studied using scanning electron and optical microscopy. X-ray diffraction analysis was used to investigate if a rare earth-chromium compound of a perovskite-type structure had been formed. Lastly, the electrical conductivity of chromic oxide on and off the implanted region was examined at low voltages. (author)

  6. Assessment of Atmospheric Pressure Plasma Treatment for Implant Osseointegration

    Directory of Open Access Journals (Sweden)

    Natalie R. Danna

    2015-01-01

    Full Text Available This study assessed the osseointegrative effects of atmospheric pressure plasma (APP surface treatment for implants in a canine model. Control surfaces were untreated textured titanium (Ti and calcium phosphate (CaP. Experimental surfaces were their 80-second air-based APP-treated counterparts. Physicochemical characterization was performed to assess topography, surface energy, and chemical composition. One implant from each control and experimental group (four in total was placed in one radius of each of the seven male beagles for three weeks, and one implant from each group was placed in the contralateral radius for six weeks. After sacrifice, bone-to-implant contact (BIC and bone area fraction occupancy (BAFO were assessed. X-ray photoelectron spectroscopy showed decreased surface levels of carbon and increased Ti and oxygen, and calcium and oxygen, posttreatment for Ti and CaP surfaces, respectively. There was a significant (P<0.001 increase in BIC for APP-treated textured Ti surfaces at six weeks but not at three weeks or for CaP surfaces. There were no significant (P=0.57 differences for BAFO between treated and untreated surfaces for either material at either time point. This suggests that air-based APP surface treatment may improve osseointegration of textured Ti surfaces but not CaP surfaces. Studies optimizing APP parameters and applications are warranted.

  7. Injectable LiNc-BuO loaded microspheres as in vivo EPR oxygen sensors after co-implantation with tumor cells.

    Science.gov (United States)

    Frank, Juliane; Gündel, Daniel; Drescher, Simon; Thews, Oliver; Mäder, Karsten

    2015-12-01

    Electron paramagnetic resonance (EPR) oximetry is a technique which allows accurate and repeatable oxygen measurements. We encapsulated a highly oxygen sensitive particulate EPR spin probe into microparticles to improve its dispersibility and, hence, facilitate the administration. These biocompatible, non-toxic microspheres contained 5-10 % (w/w) spin probe and had an oxygen sensitivity of 0.60 ± 0.01 µT/mmHg. To evaluate the performance of the microparticles as oxygen sensors, they were co-implanted with syngeneic tumor cells in 2 different rat strains. Thus, tissue injury was avoided and the microparticles were distributed all over the tumor tissue. Dynamic changes of the intratumoral oxygen partial pressure during inhalation of 8 %, 21 %, or 100 % oxygen were monitored in vivo by EPR spectroscopy and quantified. Values were verified in vivo by invasive fluorometric measurements using Oxylite probes and ex vivo by pimonidazole adduct accumulation. There were no hints that the tumor physiology or tissue oxygenation had been altered by the microparticles. Hence, these microprobes offer great potential as oxygen sensors in preclinical research, not only for EPR spectroscopy but also for EPR imaging. For instance, the assessment of tissue oxygenation during therapeutic interventions might help understanding pathophysiological processes and lead to an individualized treatment planning or the use of formulations with hypoxia triggered release of active agents. Copyright © 2015 Elsevier Inc. All rights reserved.

  8. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  9. Study in mutation of alfalfa genome DNA due to low energy N+ implantation using RAPD

    International Nuclear Information System (INIS)

    Chen Roulei; Song Daojun; Yu Zengliang; Li Yufeng; Liang Yunzhang

    2001-01-01

    After implanted by various dosage N + beams, germination rate of alfalfa seeds appears to be saddle line with dosage increasing. The authors have studied in mutation of genome DNA due to low energy N + implantation, and concluded that 30 differential DNA fragments have been amplified by 8 primers (S 41 , S 42 , S 45 , S 46 , S 50 , S 52 , S 56 , S 58 ) in 100 primers, moreover, number of differential DNA fragments between CK and treatments increases with dosage. Consequently, low energy ion implantation can cause mutation of alfalfa genome DNA. The more dosage it is, the more mutation alfalfa will be

  10. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  11. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  12. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  13. Excess oxygen limited diffusion and precipitation of iron in amorphous silicon dioxide

    Science.gov (United States)

    Leveneur, J.; Langlois, M.; Kennedy, J.; Metson, James B.

    2017-10-01

    In micro- and nano- electronic device fabrication, and particularly 3D designs, the diffusion of a metal into sublayers during annealing needs to be minimized as it is usually detrimental to device performance. Diffusion also causes the formation and growth of nanoprecipitates in solid matrices. In this paper, the diffusion behavior of low energy, low fluence, ion implanted iron into a thermally grown silicon oxide layer on silicon is investigated. Different ion beam analysis and imaging techniques were used. Magnetization measurements were also undertaken to provide evidence of nanocrystalline ordering. While standard vacuum furnace annealing and electron beam annealing lead to fast diffusion of the implanted species towards the Si/SiO2 interface, we show that furnace annealing in an oxygen rich atmosphere prevents the diffusion of iron that, in turn, limits the growth of the nanoparticles. The diffusion and particle growth is also greatly reduced when oxygen atoms are implanted in the SiO2 prior to Fe implantation, effectively acting as a diffusion barrier. The excess oxygen is hypothesized to trap Fe atoms and reduce their mean free path during the diffusion. Monte-Carlo simulations of the diffusion process which consider the random walk of Fe, Fick's diffusion of O atoms, Fe precipitation, and desorption of the SiO2 layer under the electron beam annealing were performed. Simulation results for the three preparation conditions are found in good agreement with the experimental data.

  14. Methodology for the assessment of oxygen as an energy carrier

    Science.gov (United States)

    Yang, Ming Wei

    Due to the energy intensity of the oxygen generating process, the electric power grid would benefit if the oxygen generating process was consumed electric power only during low demand periods. Thus, the question to be addressed in this study is whether oxygen production and/or usage can be modified to achieve energy storage and/or transmission objectives at lower cost. The specific benefit to grid would be a leveling, over time, of the demand profile and thus would require less installation capacity. In order to track the availability of electricity, a compressed air storage unit is installed between the cryogenic distillation section and the main air compressor of air separation unit. A profit maximizing scheme for sizing storage inventory and related equipments is developed. The optimum scheme is capable of market responsiveness. Profits of steel maker, oxy-combustion, and IGCC plants with storage facilities can be higher than those plants without storage facilities, especially, at high-price market. Price tracking feature of air storage integration will certainly increase profit margins of the plants. The integration may push oxy-combustion and integrated gasification combined cycle process into economic viability. Since oxygen is used in consumer sites, it may generate at remote locations and transport to the place needed. Energy losses and costs analysis of oxygen transportation is conducted for various applications. Energy consumptions of large capacity and long distance GOX and LOX pipelines are lower than small capacity pipelines. However, transportation losses and costs of GOX and LOX pipelines are still higher than electricity transmission.

  15. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    As devices scale down into deep sub-microns, the investment cost and complexity to develop more sophisticated device technologies have increased substantially. There are some alternative potential technologies, such as silicon-on-insulator (SOI) and SiGe alloys, that can help sustain this staggering IC technology growth at a lower cost. Surface SiGe and SiGeC alloys with germanium peak composition up to 16 atomic percent are formed using high-dose ion implantation and subsequent solid phase epitaxial growth. RBS channeling spectra and cross-sectional TEM studies show that high quality SiGe and SiGeC crystals with 8 atomic percent germanium concentration are formed at the silicon surface. Extended defects are formed in SiGe and SiGeC with 16 atomic percent germanium concentration. X-ray diffraction experiments confirm that carbon reduces the lattice strain in SiGe alloys but without significant crystal quality improvement as detected by RBS channeling spectra and XTEM observations. Separation by plasma implantation of oxygen (SPIMOX) is an economical method for SOI wafer fabrication. This process employs plasma immersion ion implantation (PIII) for the implantation of oxygen ions. The implantation rate for Pm is considerably higher than that of conventional implantation. The feasibility of SPIMOX has been demonstrated with successful fabrication of SOI structures implementing this process. Secondary ion mass spectrometry (SIMS) analysis and cross-sectional transmission electron microscopy (XTEM) micrographs of the SPIMOX sample show continuous buried oxide under single crystal overlayer with sharp silicon/oxide interfaces. The operational phase space of implantation condition, oxygen dose and annealing requirement has been identified. Physical mechanisms of hydrogen induced silicon surface layer cleavage have been investigated using a combination of microscopy and hydrogen profiling techniques. The evolution of the silicon cleavage phenomenon is recorded by a series

  16. Effectiveness of hyperbaric oxygen therapy in irradiated maxillofacial dental implant patients: A systematic review with meta-analysis

    Directory of Open Access Journals (Sweden)

    Darshana Nilesh Shah

    2017-01-01

    Full Text Available The significantly higher implant failure rates in maxillofacial patients, undergoing radiotherapy, might be caused by the long-term effects of reduced vascularization compromising the implantation site. An extensive preclinical animal literature and a multitude of clinical reports suggest the use of hyperbaric oxygen (HBO therapy as it can improve the tissue vascularity. Hence, it may increase the implant survival rate by enhancing osseointegration process in such patients. The objective of this systematic review was to investigate the effectiveness of HBO therapy on dental implant survival rate in irradiated maxillofacial patients who require prosthodontic rehabilitation. An electronic search without time restrictions was undertaken in April 2016 using databases: PubMed, Google Scholar, and the Cochrane Oral Health Group Trials Register. We also tried to contact the manufacturers and researchers in the field for necessary details. Clinical human studies, on irradiated maxillofacial dental implant patients, including randomized controlled trials (RCTs, prospective controlled trials, retrospective studies, and preliminary reports were included in the study. Data collection was carried out by two of the authors' independently. The titles and abstracts of all reports were screened for the study design and type of reported intervention; all the duplicates were removed. The data search yielded 62 titles, out of which 14 articles were selected for the study by the article filtration criteria: Title/abstract/full text. Data which were extracted by two authors with any disagreement were resolved by the third author, and a meta-analysis was done using binary random-effect model. The results show decreased implant failure rate in HBO group (9.21% compared to non-HBO group (22.44%. The potential limitations of this study are amount of radiation doses used, period lasting from radiotherapy to the placement of the implants, and follow-up period which varies

  17. Electromagnetic Compatibility of Transcutaneous Energy Transmission Systemfor Totally Implantable Artificial Heart

    Science.gov (United States)

    Shiba, Kenji; Koshiji, Kohji

    Transcutaneous Energy Transmission (TET) is one way of providing the energy needed to power a totally implantable artificial heart (TIAH). In the present study, an externally coupled TET system was implanted in a prototype human phantom to evaluate emission and immunity. In the emission evaluation, measurements were conducted based on CISPR Pub.11 and VDE 0871 standards, while immunity tests were based on the standards of the IEC 61000-4 series. The magnetic field of the radiated emission was measured using a loop antenna. At 0.1[MHz], we found the greatest magnetic field of 47.8 [dBμA/m], somewhat less than CISPR’s upper limit of 54 [dBμA/m]. For the conducted emission, by installing a noise filter and ferrite beads in the input section of the DC-power supply, conducted emission could be kept within the allowable limits of CISPR Pub.11 and VDE 0871. Finally, the immunity tests against radiated and conducted emission, electrostatic discharge and voltage fluctuation proved that the prototype could withstand the maximum level of disturbance. These results confirmed that the TET system implanted in a human phantom could, through modification, meet the emission and immunity standards.

  18. Monte carlo simulation of penetration range distribution of ion beam with low energy implanted in plant seeds

    International Nuclear Information System (INIS)

    Huang Xuchu; Hou Juan; Liu Xiaoyong

    2009-01-01

    The depth and density distribution of V + ion beam implanted into peanut seed is simulated by the Monte Carlo method. The action of ions implanted in plant seeds is studied by the classical collision theory of two objects, the electronic energy loss is calculated by Lindhard-Scharff formulation. The result indicates that the depth of 200keV V + implanted into peanut seed is 5.57μm, which agrees with experimental results, and the model is appropriate to describe this interaction. This paper provides a computational method for the depth and density distribution of ions with low energy implanted in plant seeds. (authors)

  19. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  20. Development of Linear Mode Detection for Top-down Ion Implantation of Low Energy Sb Donors

    Science.gov (United States)

    Pacheco, Jose; Singh, Meenakshi; Bielejec, Edward; Lilly, Michael; Carroll, Malcolm

    2015-03-01

    Fabrication of donor spin qubits for quantum computing applications requires deterministic control over the number of implanted donors and the spatial accuracy to within which these can be placed. We present an ion implantation and detection technique that allows us to deterministically implant a single Sb ion (donor) with a resulting volumetric distribution of performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. The work was supported by Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  1. Damaging Effect of Low Energy N{sup +} Implantation on Aspergillus niger Spores

    Energy Technology Data Exchange (ETDEWEB)

    Lisheng, Wang [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Kezhou, Cai [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Maoji, Cheng [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Lijuan, Chen [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Xuelan, Liu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Shuqing, Zhang [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Zengliang, Yu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China)

    2007-06-15

    The mutant effects of a keV range nitrogen ion (N{sup +}) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N{sup +} implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms.

  2. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  3. A novel approach to secondary defect reduction in separation by implantation of oxygen (SIMOX) material

    Energy Technology Data Exchange (ETDEWEB)

    Ellingboe, S.L.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The formation of a buried SiO{sub 2} layer in Si for increased radiation hardness, dielectric isolation, and/or higher operating speeds in Si devices has been studied extensively. In the present report, a novel method for improving the final defect structure of SIMOX material is demonstrated for the first time. The concept of ion-beam defect-engineering (IBDE) introduced by Wang et al has been utilised. If defects are introduced at a depth R{sub 1} by irradiation with energetic ions into samples which were previously damaged at a depth R{sub 2}, it is possible to alter the properties of the defects at R{sub 2}, reduce or eliminate damage at R{sub 2}, and/or create gettering sites for defects at R{sub 1} . To elucidate the mechanisms responsible for the secondary defect reduction in annealed SIMOX material, unannealed samples were implanted with Si ions at various energies, while keeping the nuclear energy deposition constant at two depths. It was observed that after annealing, even greater changes in the defect structure are evident. It has been demonstrated that pre-anneal Si irradiation in O-implanted Si can reduce secondary defect formation. Both the depth and amount of damage created are crucial to the success of the Si implantation. 5 refs., 1 tab., 2 figs.

  4. A novel approach to secondary defect reduction in separation by implantation of oxygen (SIMOX) material

    Energy Technology Data Exchange (ETDEWEB)

    Ellingboe, S L; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The formation of a buried SiO{sub 2} layer in Si for increased radiation hardness, dielectric isolation, and/or higher operating speeds in Si devices has been studied extensively. In the present report, a novel method for improving the final defect structure of SIMOX material is demonstrated for the first time. The concept of ion-beam defect-engineering (IBDE) introduced by Wang et al has been utilised. If defects are introduced at a depth R{sub 1} by irradiation with energetic ions into samples which were previously damaged at a depth R{sub 2}, it is possible to alter the properties of the defects at R{sub 2}, reduce or eliminate damage at R{sub 2}, and/or create gettering sites for defects at R{sub 1} . To elucidate the mechanisms responsible for the secondary defect reduction in annealed SIMOX material, unannealed samples were implanted with Si ions at various energies, while keeping the nuclear energy deposition constant at two depths. It was observed that after annealing, even greater changes in the defect structure are evident. It has been demonstrated that pre-anneal Si irradiation in O-implanted Si can reduce secondary defect formation. Both the depth and amount of damage created are crucial to the success of the Si implantation. 5 refs., 1 tab., 2 figs.

  5. Formation of p-type ZnO thin film through co-implantation

    Science.gov (United States)

    Chuang, Yao-Teng; Liou, Jhe-Wei; Woon, Wei-Yen

    2017-01-01

    We present a study on the formation of p-type ZnO thin film through ion implantation. Group V dopants (N, P) with different ionic radii are implanted into chemical vapor deposition grown ZnO thin film on GaN/sapphire substrates prior to thermal activation. It is found that mono-doped ZnO by N+ implantation results in n-type conductivity under thermal activation. Dual-doped ZnO film with a N:P ion implantation dose ratio of 4:1 is found to be p-type under certain thermal activation conditions. Higher p-type activation levels (1019 cm-3) under a wider thermal activation range are found for the N/P dual-doped ZnO film co-implanted by additional oxygen ions. From high resolution x-ray diffraction and x-ray photoelectron spectroscopy it is concluded that the observed p-type conductivities are a result of the promoted formation of PZn-4NO complex defects via the concurrent substitution of nitrogen at oxygen sites and phosphorus at zinc sites. The enhanced solubility and stability of acceptor defects in oxygen co-implanted dual-doped ZnO film are related to the reduction of oxygen vacancy defects at the surface. Our study demonstrates the prospect of the formation of stable p-type ZnO film through co-implantation.

  6. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  7. Effect of time varying phosphorus implantation on optoelectronics properties of RF sputtered ZnO thin-films

    Science.gov (United States)

    Murkute, Punam; Ghadi, Hemant; Saha, Shantanu; Chavan, Vinayak; Chakrabarti, Subhananda

    2018-03-01

    ZnO has potential application in the field of short wavelength devices like LED's, laser diodes, UV detectors etc, because of its wide band gap (3.34 eV) and high exciton binding energy (60 meV). ZnO possess N-type conductivity due to presence of defects arising from oxygen and zinc interstitial vacancies. In order to achieve P-type or intrinsic carrier concentration an implantation study is preferred. In this report, we have varied phosphorous implantation time and studied its effect on optical as well structural properties of RF sputtered ZnO thin-films. Implantation was carried out using Plasma Immersion ion implantation technique for 10 and 20 s. These films were further annealed at 900°C for 10 s in oxygen ambient to activate phosphorous dopants. Low temperature photoluminescence (PL) spectra measured two distinct peaks at 3.32 and 3.199 eV for 20 s implanted sample annealed at 900°C. Temperature dependent PL measurement shows slightly blue shift in peak position from 18 K to 300 K. 3.199 eV peak can be attributed to donoracceptor pair (DAP) emission and 3.32 eV peak corresponds to conduction-band-to-acceptor (eA0) transition. High resolution x-ray diffraction revels dominant (002) peak from all samples. Increasing implantation time resulted in low peak intensity suggesting a formation of implantation related defects. Compression in C-axis with implantation time indicates incorporation of phosphorus in the formed film. Improvement in surface quality was observed from 20 s implanted sample which annealed at 900°C.

  8. Search for Fractionally Charged Nuclei in High-Energy Oxygen-Lead Collisions

    CERN Multimedia

    2002-01-01

    We propose to use stacks of CR-39 plastic track detectors to look for fractionally charged projectile fragments produced in collisions of high-energy oxygen, sulfur, and calcium nuclei with a lead target. The expected charge resolution is @s^z~=~0.06e for fragments with 17e/3~@$<$~Z~@$<$~23e/3. We request that two target + stack assemblies be exposed to 1~x~10|5 oxygen nuclei at maximum available energy.

  9. High energy lithium-oxygen batteries - Transport barriers and thermodynamics

    KAUST Repository

    Das, Shyamal K.

    2012-01-01

    We show that it is possible to achieve higher energy density lithium-oxygen batteries by simultaneously lowering the discharge overpotential and increasing the discharge capacity via thermodynamic variables alone. By assessing the relative effects of temperature and pressure on the cell discharge profiles, we characterize and diagnose the critical roles played by multiple dynamic processes that have hindered implementation of the lithium-oxygen battery. © 2012 The Royal Society of Chemistry.

  10. Cathodoluminescence and ion implantation of cadmium sulphide/cuprous sulphide solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Glew, R W; Bryant, F J

    1975-10-01

    By the use of implantation with copper ions or oxygen ions of 50 keV energy, changes in the cathodoluminescence emission spectrum from cadmium sulfide/cuprous sulfide thin film manufactured solar cells have been correlated with changes in the phases of the cuprous sulfide layer. Thus, monitoring the relative intensities of cathodoluminescence emission bands affords a method of assessing the cuprous sulfide layer and possibly predicting the performance of the cells.

  11. High-temperature superconductors induced by ion implantation. Final report

    International Nuclear Information System (INIS)

    Greenwald, A.C.; Johnson, E.

    1988-08-01

    High dose oxygen ion implantation (10 to the 17th power ions per sq. cm.) at elevated temperatures (300 C) has been shown to adjust the critical temperature of gamma-Y-Ba-Cu-O and Bi-Ca-Sr-Cu-O materials. These results are in marked contrast to earlier work which showed complete destruction of superconducting properties for similar radiation doses, and marked reduction in superconducting properties at one-tenth this dose in the 1-2-3- compound only. Experiments also showed that the superconducting materials can be patterned into conducting and nonconducting areas without etching by ion implantation, allowing maintenance of planar geometries required for microcircuit fabrication. Experiments on deposition of thin films of high temperature superconductors for use with the ion implantation experiments showed that ion beam sputtering from a single target could achieve the correct stoichiometry. Variations of composition with ion beam energy and angle of sputtered ions were studied

  12. Escape of high-energy oxygen ions through magnetopause reconnection under northward IMF

    Directory of Open Access Journals (Sweden)

    S. Kasahara

    2008-12-01

    Full Text Available During a storm recovery phase on 15 May 2005, the Geotail spacecraft repeatedly observed high-energy (>180 keV oxygen ions in the dayside magnetosheath near the equatorial plane. We focused on the time period from 11:20 UT to 13:00 UT, when Geotail observed the oxygen ions and the interplanetary magnetic field (IMF was constantly northward. The magnetic reconnection occurrence northward and duskward of Geotail is indicated by the Walén analysis and convective flows in the magnetopause boundary layer. Anisotropic pitch angle distributions of ions suggest that high-energy oxygen ions escaped from the northward of Geotail along the reconnected magnetic field lines. From the low-energy particle precipitation in the polar cap observed by DMSP, which is consistent with magnetic reconnection occurring between the magnetosheath field lines and the magnetospheric closed field lines, we conclude that these oxygen ions are of ring current origin. Our results thus suggest a new escape route of oxygen ions during northward IMF. In the present event, this escape mechanism is more dominant than the leakage via the finite Larmor radius effect across the dayside equatorial magnetopause.

  13. Quartz modification by Zn ion implantation and swift Xe ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, Vladimir [Institute of Physics and Technology, Russian Academy of Sciences, Moscow (Russian Federation); Kulikauskas, Vaclav [Skobeltsyn Institute of Nuclear Physics, Lomonosov Moscow State University (Russian Federation); Didyk, Alexander; Skuratov, Vladimir [Joint Institute of Nuclear Research, Dubna (Russian Federation); Steinman, Edward; Tereshchenko, Alexey; Kolesnikov, Nikolay [Institute of Solid-State Physics, Russian Academy of Sciences, Chernogolovka (Russian Federation); Trifonov, Alexey; Sakharov, Oleg [National Research University ' ' MIET' ' , Zelenograd, Moscow (Russian Federation); Ksenich, Sergey [National University of Science and Technology ' ' MISiS' ' , Moscow (Russian Federation)

    2017-07-15

    The quartz slides were implanted by {sup 64}Zn{sup +} ions with dose of 5 x 10{sup 16}/cm{sup 2} and energy of 100 keV. After implantation, the amorphous metallic Zn nanoparticles with an average radius of 3.5 nm were created. The sample surface becomes nonuniform, its roughness is increased and its values rise up to 6 nm compared to virgin state, and the roughness maximum is at a value of about 0.8 nm. The surface is made up of valleys and hillocks which have a round shape with an average diameter about 200 nm. At the center of these hillocks are pores with a depth up to 6 nm and a diameter of about 20 nm. After implantation in UV-vis diapason, the optical transmission decreases while PL peak (apparently due to oxygen deficient centers) at wavelength of 400 nm increases. Then the samples were subjected to swift Xe ion irradiation with the fluences of 1 x 10{sup 12}-7.5 x 10{sup 14}/cm{sup 2} and energy of 167 MeV. After Xe irradiation, the sample surface roughness shat down to values of 0.5 nm and the roughness maximum is at a value of about 0.1 nm. Optical transmission in UV-vis diapason increases. The PL peak at wavelength of 400 nm is decreased while a PL peak at wavelength of 660 nm is raised. This peak is presumably due to non-bridging oxygen hole centers or/and NPs with structure Si(core)/SiO{sub 2}(shell). HRTEM image of Zn-implanted quartz subsurface layer. One can see the Zn amorphous nanoparticles, which confirms the electron diffraction pattern (insert). (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    Science.gov (United States)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R. I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-04-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn+ ions at room temperature at fluencies of 1.0 × 1015-1.0 × 1016 cm-2. Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges RP differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 1016 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV-Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV-Vis absorbance increases simultaneously with the decline of optical band gap Eg. The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion species (Ni, Co

  15. Characterization of PEEK, PET and PI implanted with Mn ions and sub-sequently annealed

    International Nuclear Information System (INIS)

    Mackova, A.; Malinsky, P.; Miksova, R.; Pupikova, H.; Khaibullin, R.I.; Slepicka, P.; Gombitová, A.; Kovacik, L.; Svorcik, V.; Matousek, J.

    2014-01-01

    Polyimide (PI), polyetheretherketone (PEEK) and polyethylene terephthalate (PET) foils were implanted with 80 keV Mn + ions at room temperature at fluencies of 1.0 × 10 15 –1.0 × 10 16 cm −2 . Mn depth profiles determined by RBS were compared to SRIM 2012 and TRIDYN simulations. The processes taking place in implanted polymers under the annealing procedure were followed. The measured projected ranges R P differ slightly from the SRIM and TRIDYN simulation and the depth profiles are significantly broader (up to 2.4 times) than those simulated by SRIM, while TRIDYN simulations were in a reasonable agreement up to the fluence 0.5 × 10 16 in PEEK. Oxygen and hydrogen escape from the implanted layer was examined using RBS and ERDA techniques. PET, PEEK and PI polymers exhibit oxygen depletion up to about 40% of its content in virgin polymers. The compositional changes induced by implantation to particular ion fluence are similar for all polymers examined. After annealing no significant changes of Mn depth distribution was observed even the further oxygen and hydrogen desorption from modified layers appeared. The surface morphology of implanted polymers was characterized using AFM. The most significant change in the surface roughness was observed on PEEK. Implanted Mn atoms tend to dissipate in the polymer matrix, but the Mn nanoparticles are too small to be observed on TEM micrographs. The electrical, optical and structural properties of the implanted and sub-sequently annealed polymers were investigated by sheet resistance measurement and UV–Vis spectroscopy. With increasing ion fluence, the sheet resistance decreases and UV–Vis absorbance increases simultaneously with the decline of optical band gap E g . The most pronounced change in the resistance was found on PEEK. XPS spectroscopy shows that Mn appears as a mixture of Mn oxides. Mn metal component is not present. All results were discussed in comparison with implantation experiment using the various ion

  16. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  17. Effect of isochronal annealing on photoluminescence properties of Mn-implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar

    2009-01-01

    Mn ions were implanted into metal organic chemical vapour deposition (MOCVD)-grown GaN with dose ranging from 10 14 to 5x10 16 cm -2 . Isochronal annealing at 800 and 850 deg. C has been carried out after implantation of the samples. Photoluminescence measurements were carried out on the implanted samples before and after annealing. A peak found at 3.34 eV in the spectra of implanted samples after annealing at 850 deg. C is attributed to the stacking faults. Blue and green luminescence bands have been observed suppressed and an oxygen-related peak appeared at 3.44 eV in the PL spectra. The suppression of blue and green luminescence bands has been assigned to dissociation of V Ga O N complex. Near-band-edge (NBE) peak exhibited a blue shift after 800 deg. C anneal and then red shift to restore its original energy position when annealed at 850 deg. C

  18. Effect of isochronal annealing on photoluminescence properties of Mn-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: abdulmajid40@yahoo.com; Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: akbar@qau.edu.pk

    2009-01-15

    Mn ions were implanted into metal organic chemical vapour deposition (MOCVD)-grown GaN with dose ranging from 10{sup 14} to 5x10{sup 16} cm{sup -2}. Isochronal annealing at 800 and 850 deg. C has been carried out after implantation of the samples. Photoluminescence measurements were carried out on the implanted samples before and after annealing. A peak found at 3.34 eV in the spectra of implanted samples after annealing at 850 deg. C is attributed to the stacking faults. Blue and green luminescence bands have been observed suppressed and an oxygen-related peak appeared at 3.44 eV in the PL spectra. The suppression of blue and green luminescence bands has been assigned to dissociation of V{sub Ga}O{sub N} complex. Near-band-edge (NBE) peak exhibited a blue shift after 800 deg. C anneal and then red shift to restore its original energy position when annealed at 850 deg. C.

  19. Influence of high-energy ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy

    International Nuclear Information System (INIS)

    Gusakova, O.V.

    2016-01-01

    The results of investigation of influence of Xe ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy are represented/ Analysis of the experimental results shows that the high-energy ion implantation of Xe causes a change in the particle size of zinc. (authors)

  20. Development of a high current ion implanter

    International Nuclear Information System (INIS)

    Choi, Byung Ho; Kim, Wan; Jin, Jeong Tae

    1990-01-01

    A high current ion implanter of the energy of 100 Kev and the current of about 100 mA has been developed for using the high dose ion implantation, surface modification of steels and ceramics, and ion beam milling. The characteristics of the beam extraction and transportation are investigated. A duoPIGatron ion source compatible with gas ion extraction of about 100 mA, a single gap acceleration tube which is able to compensate the divergence due to the space charge effect, and a beam transport system with the concept of the space charge neutralization are developed for the high current machine. The performance of the constructed machine shows that nitrogen, argon, helium, hydrogen and oxygen ion beams are successfully extracted and transported at a beam divergence due to space charge effect is negligible in the operation pressure of 2 x 10 -5 torr. (author)

  1. The effects of home oxygen therapy on energy metabolism in patients with COPD

    Science.gov (United States)

    Kırıcı Berber, Nurcan; Yetkin, Özkan; Kılıç, Talat; Berber, Ilhami; Özgel, Mehmet

    2018-01-01

    Background COPD is preventable and treatable and is characterized by completely nonreversible airflow obstruction. In this study, we aimed to investigate the effect of long-term oxygen therapy on patients with stage 4 COPD who were followed up and treated at the polyclinic or clinic service. We evaluated the effects of oxygen therapy on energy metabolism and physical activity in patients with COPD. Methods Nineteen patients with COPD (16 male/3 female), treated with oxygen therapy for the first time, were included in this study. Analysis of arterial blood gases and pulmonary function test was performed. Metabolic Holter device (SenseWear® Armband) was placed pre- and post-oxygen therapy on the patients’ arm for at least 3 days. This device captures Holter data in a digitized electronic system, and the daily average value was calculated from the data. Results Post-oxygen treatment showed a significant increase in energy expenditure by patients with COPD (pretreatment, 1,497±596 joule; posttreatment, 2,977±5,985 joule; P=0.044). Moreover, number of steps during walking (pretreatment, 2,056±256; posttreatment, 2,120±195; P=0.03), resting (pretreatment, 6.36±3.31 hours; posttreatment, 3.47±2.19 hours; P<0.03), and sleeping (pretreatment, 4.23±2.13 hours; posttreatment, 2.33±1.42 hours; P<0.00) showed significant differences. Increased daily energy expenditure in patients with respiratory failure was detected with long-term oxygen therapy. In addition, the immobility of patients decreased and duration of physical activity increased in patients with COPD. Conclusion In this study, positive effects of long-term oxygen therapy have been demonstrated with respect to energy metabolism and physical activity of patients with COPD. Thus, we recommend that medication adherence and long-term oxygen therapy should begin early in patients with COPD.

  2. Comparative study of 150 keV Ar+ and O+ ion implantation induced structural modification on electrical conductivity in Bakelite polymer

    Science.gov (United States)

    Aneesh Kumar, K. V.; Krishnaveni, S.; Asokan, K.; Ranganathaiah, C.; Ravikumar, H. B.

    2018-02-01

    A comparative study of 150 keV argon (Ar+) and oxygen (O+) ion implantation induced microstructural modifications in Bakelite Resistive Plate Chamber (RPC) detector material at different implantation fluences have been studied using Positron Annihilation Lifetime Spectroscopy (PALS). Positron lifetime parameters viz., o-Ps lifetime (τ3) and its intensity (I3) upon lower implantation fluences can be interpreted as the cross-linking and the increased local temperature induced diffusion followed by trapping of ions in the interior polymer voids. The increased o-Ps lifetime (τ3) at higher O+ ion implantation fluences indicates chain scission owing to the oxidation and track formation. This is also justified by the X-Ray Diffraction (XRD) and Fourier Transform Infrared (FTIR) results. The modification in the microstructure and electrical conductivity of Bakelite materials are more upon implantation of O+ ions than Ar+ ions of same energy and fluences. The reduced electrical conductivity of Bakelite polymer material upon ion implantation of both the ions is correlated to the conducting pathways and cross-links in the polymer matrix. The appropriate energy and fluence of implanting ions might reduce the leakage current and hence improve the performance of Bakelite RPC detectors.

  3. Effects of high energy nitrogen implantation on stainless steel microstructure

    Science.gov (United States)

    Pelletier, H.; Mille, P.; Cornet, A.; Grob, J. J.; Stoquert, J. P.; Muller, D.

    1999-01-01

    Low energy ion implantation is known to improve chemical and mechanical surface properties of metals. This treatment is often used to enhance wear and corrosion resistance or mechanical life-time of fatigue test of stainless steel or titanium alloys. The aim of this work is to investigate these effects at higher energy, for which deeper (and still not well understood) modifications occur. High fluence (10 18 cm -2) 15N and 14N implantations at 1 MeV have been performed in the 316LL stainless steel and some specimen have been annealed in the 200-500°C temperature range. Nitrogen concentration distribution, structure, morphology and microhardness have been examined with Nuclear Resonance Analysis, Grazing Incidence X-Ray Diffraction and Nanoindentation, respectively. Precipitates of steel and chromium nitride phases and a superficial martensitic transformation can be observed, leading to a significant increase of hardness. The best result is obtained after one hour annealing at 425°C, due to a larger and more homogeneous repartition of nitride species. In this case, a near surface accumulation is observed and explained in terms of diffusion and precipitation mechanisms.

  4. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  5. Oxidation and corrosion studies of Al-implanted stainless steel AISI 321 using nuclear reaction and electrochemical techniques

    International Nuclear Information System (INIS)

    Noli, F.; Misaelides, P.; Spathis, P.; Pilakouta, M.; Baumann, H.

    1992-01-01

    The oxidation of Al-implanted (implantation energy 40 keV, dose 10 16 -10 17 Al ions/cm 2 ) AISI 321 stainless steel samples in air has been studied at temperatures between 450 and 650degC using the 16 O(d,p) 17 O nuclear reaction. The determination of the distribution of the implanted Al atoms has been performed using the resonance at 992 keV of the 27 Al(p,γ) 28 Si nuclear reaction. The determined oxygen profiles indicate that the implantation of 5x10 16 and 10 17 Al ions/cm 2 leads to an improvement of the oxidation resistance of the studied steel samples. The passivation/corrosion behaviour of the Al-implanted steel samples in 0.5M aqueous sulphuric acid solution has also been investigated electrochemically using potentiodynamic and cyclovoltammetric techniques. The passivation potential values and the repassivation moving to more positive values indicate an improvement of the corrosion resistance of the Al-implanted steel samples. (orig.)

  6. Studies of ultra shallow n+-p junctions formed by low-energy As-implantation

    International Nuclear Information System (INIS)

    Girginoudi, D.; Georgoulas, N.; Thanailakis, A.; Polychroniadis, E.K.

    2004-01-01

    The generation and the evolution of extended defects in ultra-shallow n + -p junctions, formed by As ion implantation into silicon at low energies of 15, 10 and 5 keV and a dose of 1 x 10 15 cm -2 , and rapid thermal annealing (RTA) at temperatures of 650 deg. C ≤T ≤ 950 deg. C have been studied using transmission electron microscopy (TEM) measurements. The generated defects in the end-of-range region are dislocation loops, which grew larger and their density decreased with increasing annealing temperature. Reduction in the implantation energy causes a decrease in defect size and density as well as in dissolution temperature. The loops dissolved at 950 deg. C for 15 and 10 keV, whereas for 5 keV they dissolved at 850 deg. C. Arsenic transient enhanced diffusion (TED) studied by ToF-SIMS measurements was observed at temperatures above 650 deg. C for all implantation energies, with markedly less TED for the 5 keV, although As segregates near the surface region. The results suggest that the surface plays a key role on the formation and the dissolution of the dislocation loops and the As TED, by acting as a perfect sink of point defects. A significant degradation in electrical activation efficiency and a sharp increase in sheet resistance were observed at the low energy of 5 keV. In addition, the increase of temperature causes a slight decrease in electrical activation efficiency. Out-diffusion of As (10-25%) plays a significant role in the electrically active fraction of the dopant, due to the extreme proximity to the surface of high As concentrations. Junctions shallower than 40 nm, with 50-40% of the implanted dose electrically active and sheet resistance of 370-320 ohm/square, were obtained for the 5 keV. Finally, the TED during RTA was correctly simulated using a RTA model implemented in SSUPREM4 of the process simulator, including the dislocation loops and the dose loss

  7. Optical studies of cobalt implanted rutile TiO2 (110) surfaces

    International Nuclear Information System (INIS)

    Joshi, Shalik Ram; Padmanabhan, B.; Chanda, Anupama; Mishra, Indrani; Malik, V.K.; Mishra, N.C.; Kanjilal, D.; Varma, Shikha

    2016-01-01

    Highlights: • The present study displays formation of nanostructures after Co implantation on TiO 2 surfaces. • Preferential sputtering leads to the creation of oxygen vacancies on the surface. • A large enhancement in visible light absorbance (nearly 5 times compared to pristine) is observed. • Creation of self-organized nanostructures and Ti 3+ oxygen vacancies promote photoabsorption. • Formation of Co-nanoclusters and Co–Ti–O phase play concerted role in enhancing photo-absorption. - Abstract: Present study investigates the photoabsorption properties of single crystal rutile TiO 2 (110) surfaces after they have been implanted with low fluences of cobalt ions. The surfaces, after implantation, demonstrate fabrication of nanostructures and anisotropic nano-ripple patterns. Creation of oxygen vacancies (Ti 3+ states), development of cobalt nano-clusters as well as band gap modifications have also been observed. Results presented here demonstrate that fabrication of self organized nanostructures, upon implantation, along with the development of oxygen vacancies and ligand field transitions of cobalt ion promote the enhancement of photo-absorbance in both UV (∼2 times) and visible (∼5 times) regimes. These investigations on nanostructured TiO 2 surfaces can be important for photo-catalysis.

  8. Wireless energy transfer platform for medical sensors and implantable devices.

    Science.gov (United States)

    Zhang, Fei; Hackworth, Steven A; Liu, Xiaoyu; Chen, Haiyan; Sclabassi, Robert J; Sun, Mingui

    2009-01-01

    Witricity is a newly developed technique for wireless energy transfer. This paper presents a frequency adjustable witricity system to power medical sensors and implantable devices. New witricity resonators are designed for both energy transmission and reception. A prototype platform is described, including an RF power source, two resonators with new structures, and inductively coupled input and output stages. In vitro experiments, both in open air and using a human head phantom consisting of simulated tissues, are employed to verify the feasibility of this platform. An animal model is utilized to evaluate in vivo energy transfer within the body of a laboratory pig. Our experiments indicate that witricity is an effective new tool for providing a variety of medical sensors and devices with power.

  9. Predicting Low Energy Dopant Implant Profiles in Semiconductors using Molecular Dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Beardmore, K.M.; Gronbech-Jensen, N.

    1999-05-02

    The authors present a highly efficient molecular dynamics scheme for calculating dopant density profiles in group-IV alloy, and III-V zinc blende structure materials. Their scheme incorporates several necessary methods for reducing computational overhead, plus a rare event algorithm to give statistical accuracy over several orders of magnitude change in the dopant concentration. The code uses a molecular dynamics (MD) model to describe ion-target interactions. Atomic interactions are described by a combination of 'many-body' and pair specific screened Coulomb potentials. Accumulative damage is accounted for using a Kinchin-Pease type model, inelastic energy loss is represented by a Firsov expression, and electronic stopping is described by a modified Brandt-Kitagawa model which contains a single adjustable ion-target dependent parameter. Thus, the program is easily extensible beyond a given validation range, and is therefore truly predictive over a wide range of implant energies and angles. The scheme is especially suited for calculating profiles due to low energy and to situations where a predictive capability is required with the minimum of experimental validation. They give examples of using the code to calculate concentration profiles and 2D 'point response' profiles of dopants in crystalline silicon and gallium-arsenide. Here they can predict the experimental profile over five orders of magnitude for <100> and <110> channeling and for non-channeling implants at energies up to hundreds of keV.

  10. Effect of oxygen on the processes of ion beam synthesis of buried SiC layers in silicon

    International Nuclear Information System (INIS)

    Artamonov, V.V.; Valakh, M.Ya.; Klyuj, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of Si-structures with buried silicon carbide (SiC) layers created by high dose carbon implantation into Cz-Si or Fz-Si wafers followed by high-temperature annealing were studied by Raman and infrared spectroscopy. Effect of additional oxygen implantation on the peculiarities of SiC layer formation was also studied. It was shown that under the same implantation and post-implantation annealing conditions the buried SiC layers are more effectively formed in Cz-Si or in Si subjected to additional oxygen implantation. Thus, oxygen in silicon promotes the SiC layer formation due to SiO x precipitate creation and accommodation of the crystal volume in the region where SiC phase is formed

  11. Intracorporeal Heat Distribution from Fully Implantable Energy Sources for Mechanical Circulatory Support: A Computational Proof-of-Concept Study

    OpenAIRE

    Biasetti, Jacopo; Pustavoitau, Aliaksei; Spazzini, Pier Giorgio

    2017-01-01

    Mechanical circulatory support devices, such as total artificial hearts and left ventricular assist devices, rely on external energy sources for their continuous operation. Clinically approved power supplies rely on percutaneous cables connecting an external energy source to the implanted device with the associated risk of infections. One alternative, investigated in the 70s and 80s, employs a fully implanted nuclear power source. The heat generated by the nuclear decay can be converted into ...

  12. Implantation of keV-energy argon clusters and radiation damage in diamond

    DEFF Research Database (Denmark)

    Popok, Vladimir; Samela, Juha; Nordlund, Kai

    2012-01-01

    We show that for impacting argon clusters, both mean projected ranges of the constituents and depths of radiation damage in diamond scale linearly with momentum. The same dependence was earlier found for keV-energy cluster implantation in graphite, thus suggesting the universality of this scaling...... law. For diamond, a good agreement for the value of displacement energy for the case of cluster impact is found by comparing the calculated target sputtering and experimentally measured depth of radiation damage....

  13. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  14. Defects related room temperature ferromagnetism in Cu-implanted ZnO nanorod arrays

    International Nuclear Information System (INIS)

    Li, D.; Li, D.K.; Wu, H.Z.; Liang, F.; Xie, W.; Zou, C.W.; Shao, L.X.

    2014-01-01

    Highlights: • Room temperature ferromagnetism was observed in Cu-implanted ZnO nanorod arrays. • Cu-implanted ZnO nanorods show a saturation magnetization value of 1.82 μ B /Cu. • The origin of ferromagnetism can be explained by the defects related bound magnetic polarons. -- Abstract: Room temperature ferromagnetism (FM) was observed in Cu-implanted ZnO nanorod arrays. The implantation dose for Cu ions was 1 × 10 16 cm −2 and the implantation energy was 100 keV. The ion implantation induced defects and disorder has been observed by the XRD, PL and TEM experiments. The PL spectrum revealed a dominant luminescence peaks at 390 nm and a broad and strong green emission at 500–700 nm, which is considered to be related to the ionized oxygen vacancy. Cu-implanted ZnO nanorods annealed at 500 °C show a saturation magnetization value of 1.82 μ B /Cu and a positive coercive field of 68 Oe. The carrier concentration is not much improved after annealing and in the order of 10 16 cm −3 , which suggests that FM does not depend upon the presence of a significant carrier concentration. The origin of ferromagnetism behavior can be explained on the basis of electrons and defects that form bound magnetic polarons, which overlap to create a spin-split impurity band

  15. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  16. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  17. The effect of interatomic potential in molecular dynamics simulation of low energy ion implantation

    International Nuclear Information System (INIS)

    Chan, H.Y.; Nordlund, K.; Peltola, J.; Gossmann, H.-J.L.; Ma, N.L.; Srinivasan, M.P.; Benistant, F.; Chan, Lap

    2005-01-01

    Being able to accurately predict dopant profiles at sub-keV implant energies is critical for the microelectronic industry. Molecular Dynamics (MD), with its capability to account for multiple interactions as energy lowers, is an increasingly popular simulation method. We report our work on sub-keV implantation using MD and investigate the effect of different interatomic potentials on the range profiles. As an approximation, only pair potentials are considered in this work. Density Functional Theory (DFT) is used to calculate the pair potentials for a wide range of dopants (B, C, N, F, Si, P, Ga, Ge, As, In and Sb) in single crystalline silicon. A commonly used repulsive potential is also included in the study. Importance of the repulsive and attractive regions of the potential has been investigated with different elements and we show that a potential depicting the right attractive forces is especially important for heavy elements at low energies

  18. Study of silicon doped with zinc ions and annealed in oxygen

    International Nuclear Information System (INIS)

    Privezentsev, V. V.; Kirilenko, E. P.; Goryachev, A. N.; Batrakov, A. A.

    2017-01-01

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with "6"4Zn"+ ions with an energy of 50 keV and a dose of 5 × 10"1"6 cm"–"2 at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-ray photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn_2SiO_4 and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.

  19. Defect generation/passivation by low energy hydrogen implant for silicon solar cells

    International Nuclear Information System (INIS)

    Sopori, B.L.; Zhou, T.Q.; Rozgonyi, G.A.

    1990-01-01

    Low energy ion implant is shown to produce defects in silicon. These defects include surface damage, hydrogen agglomeration, formation of platelets with (111) habit plane and decoration of dislocations. Hydrogen also produces an inversion type of surface on boron doped silicon. These effects indicate that a preferred approach for passivation is to incorporate hydrogen from the back side of the cell. A backside H + implant technique is described. The results show that degree of passivation differs for various devices. A comparison of the defect structures of hydrogenated devices indicates that the structure and the distribution of defects in the bulk of the material plays a significant role in determining the degree of passivation

  20. A 3-DOF SOI MEMS ultrasonic energy harvester for implanted devices

    International Nuclear Information System (INIS)

    Fowler, A G; Moheimani, S O R; Behrens, S

    2013-01-01

    This paper reports the design and testing of a microelectromechanical systems (MEMS) energy harvester that is designed to harvest electrical energy from an external source of ultrasonic waves. This mechanism is potentially suited to applications including the powering of implanted devices for biomedical applications. The harvester employs a novel 3-degree of freedom design, with electrical energy being generated from displacements of a proof mass via electrostatic transducers. A silicon-on-insulator MEMS process was used to fabricate the device, with experimental characterization showing that the harvester can generate 24.7 nW, 19.8 nW, and 14.5 nW of electrical power respectively through its x-, y-, and z-axis vibrational modes

  1. THE INFLUENCE OF SURFACE-FREE ENERGY ON SUPRAGINGIVAL AND SUBGINGIVAL PLAQUE MICROBIOLOGY - AN IN-VIVO STUDY ON IMPLANTS

    NARCIS (Netherlands)

    QUIRYNEN, M; VANDERMEI, HC; BOLLEN, CML; VANDENBOSSCHE, LH; DOORNBUSCH, GI; VANSTEENBERGHE, D; BUSSCHER, HJ

    THE INFLUENCE OF SURFACE FREE ENERGY on supra- and subgingival plaque microbiology was examined in 9 patients with functional fixed prostheses supported by endosseous titanium implants. Two abutments (trans-mucosal part of the 2 stage implant) were replaced by either a new titanium abutment or a

  2. Total dose hardening of buried insulator in implanted silicon-on-insulator structures

    International Nuclear Information System (INIS)

    Mao, B.Y.; Chen, C.E.; Pollack, G.; Hughes, H.L.; Davis, G.E.

    1987-01-01

    Total dose characteristics of the buried insulator in implanted silicon-on-insulator (SOI) substrates have been studied using MOS transistors. The threshold voltage shift of the parasitic back channel transistor, which is controlled by charge trapping in the buried insulator, is reduced by lowering the oxygen dose as well as by an additional nitrogen implant, without degrading the front channel transistor characteristics. The improvements in the radiation characteristics of the buried insulator are attributed to the decrease in the buried oxide thickness or to the presence of the interfacial oxynitride layer formed by the oxygen and nitrogen implants

  3. Energy harvesting for human wearable and implantable bio-sensors.

    Science.gov (United States)

    Mitcheson, Paul D

    2010-01-01

    There are clear trade-offs between functionality, battery lifetime and battery volume for wearable and implantable wireless-biosensors which energy harvesting devices may be able to overcome. Reliable energy harvesting has now become a reality for machine condition monitoring and is finding applications in chemical process plants, refineries and water treatment works. However, practical miniature devices that can harvest sufficient energy from the human body to power a wireless bio-sensor are still in their infancy. This paper reviews the options for human energy harvesting in order to determine power availability for harvester-powered body sensor networks. The main competing technologies for energy harvesting from the human body are inertial kinetic energy harvesting devices and thermoelectric devices. These devices are advantageous to some other types as they can be hermetically sealed. In this paper the fundamental limit to the power output of these devices is compared as a function of generator volume when attached to a human whilst walking and running. It is shown that the kinetic energy devices have the highest fundamental power limits in both cases. However, when a comparison is made between the devices using device effectivenesses figures from previously demonstrated prototypes presented in the literature, the thermal device is competitive with the kinetic energy harvesting device when the subject is running and achieves the highest power density when the subject is walking.

  4. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  5. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    International Nuclear Information System (INIS)

    Manuaba, A.; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I.

    2001-01-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of 15 N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10 16 ions/cm 2 the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10 16 ions/cm 2 flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of ∼0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed

  6. Study of gradual conversion of Al to insulator by oxygen implantation

    International Nuclear Information System (INIS)

    Prabhawalker, P.D.; Raole, P.M.; Kothari, D.C.; Pawer, P.S.; Gogawale, S.V.

    1986-01-01

    30 keV O 2 + ions are implanted in aluminium foil, at various doses ranging from 5 x 10 16 to 5 x 10 17 atoms cm -2 . The sheet resistance was measured for unimplanted and implanted specimens. Up to the dose of 3 x 10 17 atoms cm -2 , the sheet resistance gradually increased. It formed a perfect insulating layer on the surface, above a dose of 3 x 10 17 atoms cm -2 . X-ray photo-electron spectroscopy measurements indicated the formation of an Al 2 O 3 layer with the absence of a signal due to unbonded aluminium for a dose of 5 x 10 17 atoms cm -2 . Whereas a specimen implanted at 5 x 10 16 atoms cm -2 shows the presence of pure aluminium. Micrographs of the specimen implanted at the higher dose displayed the microtopography characteristic of alumina. The results are in agreement with a recently published mechanism of Al 2 O 3 formation. The Al 2 O 3 precipitates increasing with dose and a layer of Al 2 O 3 being formed at the doses higher than that required to form the stoichiometric Al 2 O 3 . (author)

  7. Metabolic Prosthesis for Oxygenation of Ischemic Tissue

    Energy Technology Data Exchange (ETDEWEB)

    Greenbaum, Elias [ORNL

    2009-01-01

    This communication discloses new ideas and preliminary results on the development of a "metabolic prosthesis" for local oxygenation of ischemic tissue under physiological neutral conditions. We report for the first time the selective electrolysis of physiological saline by repetitively pulsed charge-limited electrolysis for the production of oxygen and suppression of free chlorine. For example, using 800 A amplitude current pulses and <200 sec pulse durations, we demonstrated prompt oxygen production and delayed chlorine production at the surface of a shiny 0.85 mm diameter spherical platinum electrode. The data, interpreted in terms of the ionic structure of the electric double layer, suggest a strategy for in situ production of metabolic oxygen via a new class of "smart" prosthetic implants for dealing with ischemic disease such as diabetic retinopathy. We also present data indicating that drift of the local pH of the oxygenated environment can be held constant using a feedback-controlled three electrode electrolysis system that chooses anode and cathode pair based on pH data provided by local microsensors. The work is discussed in the context of diabetic retinopathy since surgical techniques for multielectrode prosthetic implants aimed at retinal degenerative diseases have been developed.

  8. Radial transport of high-energy oxygen ions into the deep inner magnetosphere observed by Van Allen Probes

    Science.gov (United States)

    Mitani, K.; Seki, K.; Keika, K.; Gkioulidou, M.; Lanzerotti, L. J.; Mitchell, D. G.; Kletzing, C.

    2017-12-01

    It is known that proton is main contributor of the ring current and oxygen ions can make significant contribution during major magnetic storms. Ions are supplied to the ring current by radial transport from the plasma sheet. Convective transport of lower-energy protons and diffusive transport of higher-energy protons were reported to contribute to the storm-time and quiet-time ring current respectively [e.g., Gkioulidou et al., 2016]. However, supply mechanisms of the oxygen ions are not clear. To characterize the supply of oxygen ions to the ring current during magnetic storms, we studied the properties of energetic proton and oxygen ion phase space densities (PSDs) for specific magnetic moment (μ) during the April 23-25, 2013, geomagnetic storm observed by the Van Allen Probes mission. We here report on radial transport of high-energy (μ ≥ 0.5 keV/nT) oxygen ions into the deep inner magnetosphere during the late main phase of the magnetic storm. Since protons show little change during this period, this oxygen radial transport is inferred to cause the development of the late main phase. Enhancement of poloidal magnetic fluctuations is simultaneously observed. We estimated azimuthal mode number ≤5 by using cross wavelet analysis with ground-based observation of IMAGE ground magnetometers. The fluctuations can resonate with drift and bounce motions of the oxygen ions. The results suggest that combination of the drift and drift-bounce resonances is responsible for the radial transport of high-energy oxygen ions into the deep inner magnetosphere. We also report on the radial transport of the high-energy oxygen ions into the deep inner magnetosphere during other magnetic storms.

  9. A photovoltaic-driven and energy-autonomous CMOS implantable sensor.

    Science.gov (United States)

    Ayazian, Sahar; Akhavan, Vahid A; Soenen, Eric; Hassibi, Arjang

    2012-08-01

    An energy-autonomous, photovoltaic (PV)-driven and MRI-compatible CMOS implantable sensor is presented. On-chip P+/N-well diode arrays are used as CMOS-compatible PV cells to harvest μW's of power from the light that penetrates into the tissue. In this 2.5 mm × 2.5 mm sub-μW integrated system, the in-vivo physiological signals are first measured by using a subthreshold ring oscillator-based sensor, the acquired data is then modulated into a frequency-shift keying (FSK) signal, and finally transmitted neuromorphically to the skin surface by using a pair of polarized electrodes.

  10. Near-infrared optical properties of Yb3+-doped silicate glass waveguides prepared by double-energy proton implantation

    Science.gov (United States)

    Shen, Xiao-Liang; Zhu, Qi-Feng; Zheng, Rui-Lin; Lv, Peng; Guo, Hai-Tao; Liu, Chun-Xiao

    2018-03-01

    We report on the preparation and properties of an optical planar waveguide structure operating at 1539 nm in the Yb3+-doped silicate glass. The waveguide was formed by using (470 + 500) keV proton implantation at fluences of (1.0 + 2.0) × 1016 ions/cm2. The waveguiding characteristics including the guided-mode spectrum and the near-field image were investigated by the m-line technique and the finite-difference beam propagation method. The energy distribution for implanted protons and the refractive index profile for the proton-implanted waveguide were simulated by the stopping and range of ions in matter and the reflectivity calculation method. The proton-implanted Yb3+-doped silicate glass waveguide is a candidate for optoelectronic elements in the near-infrared region.

  11. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    International Nuclear Information System (INIS)

    Ye Hui; Ma Jingming; Feng Chun; Cheng Ying; Zhu Suwen; Cheng Beijiu

    2009-01-01

    In the process of the fermentation of steroid C 11 α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar + ) and nitrogen ion (N + ). The results showed that the optimal ion implantation was N + with an optimum dose of 2.08 x 10 15 ions/cm 2 , with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation. (ion beam bioengineering)

  12. High-resolution ion-implanted silicon detectors

    International Nuclear Information System (INIS)

    von Borany, J.; Schmidt, B.

    1985-01-01

    An account is given of the properties of silicon detectors developed at the Central Institute of Nuclear Research of the Academy of Sciences of the German Democratic Republic (Rossendorf) and made by a special planar technology using ion implantation, anodic oxidation, thermal oxidation in an oxygen atmosphere containing HCl, and annealing by pulses of 10--20 msec duration. The resolution for α particles of 5.5 MeV energy was 11.2 keV (active area A 2 ). The detectors were characterized by a low intrinsic noise (< or =5 keV), so that they could be used for spectrometry of low-energy electrons (E/sub e/< or =250 keV). In a certain range of energies (E/sub x/ = 15--60 keV) it was possible to use these detectors for spectrometry of x rays at room temperature. Examples and results of applications of detectors in radiation chemistry (investigations of backscattering of particles and nuclear reaction spectroscopy) are given. The feasibility of annealing of radiation defects in such detectors after irradiation with a large dose of charged particles is considered

  13. Implanted near-infrared spectroscopy for cardiac monitoring

    Science.gov (United States)

    Bhunia, Sourav K.; Cinbis, Can

    2011-02-01

    Implanted Cardioverter Defibrillator (ICD) provides one of the most effective therapies for the prevention of sudden cardiac death, but also delivers some high voltage shocks inappropriately, causing morbidity and mortality. Implanted near-infrared spectroscopy (NIRS) may augment ICD arrhythmia detection by monitoring skeletal muscle perfusion. A two-wavelength, single-distance, continuous-wave implanted NIRS has been evaluated in-vivo. A weighted difference of the changes in attenuation at two wavelengths, across the isobestic point of the hemoglobin spectra, was taken to be the microvascular oxygenation trend indicator (O2 Index). Although the exact weight depends on the local vascular distribution and their oxygen levels, the hypothesis that a constant weight may be adequate for hemodynamic trending during short arrhythmic episodes, was tested. The sensor was implanted subcutaneously both on fresh tissue and inside scar tissue that formed around a pre-existing implant, in 3 animals each. Attenuations were recorded at 660 and 890 nm during normal sinus rhythm (NSR) and induced ventricular fibrillation (VF). The slope of the O2 Index over 10 seconds was computed for 7 NSR and 8 VF episodes in fresh and 13 NSR and 15 VF episodes in scar tissue pockets. The mean O2 Index slope was significantly different (p<0.0001) between NSR and VF rhythms for both the fresh and scar tissue pockets. Therefore implanted NIRS may be useful for preventing inappropriate detection of VF during electromagnetic interference, double counting of ECG T-wave as an R-wave, ICD lead failure, electrocardiographic aberrancy etc.

  14. Enzymatic versus Inorganic Oxygen Reduction Catalysts: Comparison of the Energy Levels in a Free-Energy Scheme

    DEFF Research Database (Denmark)

    Kjærgaard, Christian Hauge; Rossmeisl, Jan; Nørskov, Jens Kehlet

    2010-01-01

    In this paper, we present a method to directly compare the energy levels of intermediates in enzymatic and inorganic oxygen reduction catalysts. We initially describe how the energy levels of a Pt(111) catalyst, operating at pH = 0, are obtained. By a simple procedure, we then convert the energy...... levels of cytochrome c oxidase (CcO) models obtained at physiological pH = 7 to the energy levels at pH = 0, which allows for comparison. Furthermore, we illustrate how different bias voltages will affect the free-energy landscapes of the catalysts. This allows us to determine the so-called theoretical...

  15. Study of silicon doped with zinc ions and annealed in oxygen

    Energy Technology Data Exchange (ETDEWEB)

    Privezentsev, V. V., E-mail: v.privezentsev@mail.ru [Russian Academy of Sciences, Institute of Physics and Technology (Russian Federation); Kirilenko, E. P.; Goryachev, A. N. [Zelenograd, National Research University of Electronic Technology “MIET” (Russian Federation); Batrakov, A. A. [National Research University “MEI” (Russian Federation)

    2017-02-15

    The results of studies of the surface layer of silicon and the formation of precipitates in Czochralski n-Si (100) samples implanted with {sup 64}Zn{sup +} ions with an energy of 50 keV and a dose of 5 × 10{sup 16} cm{sup –2} at room temperature and then oxidized at temperatures from 400 to 900°C are reported. The surface is visualized using an electron microscope, while visualization of the surface layer is conducted via profiling in depth by elemental mapping using Auger electron spectroscopy. The distribution of impurity ions in silicon is analyzed using a time-of-flight secondary-ion mass spectrometer. Using X-ray photoelectron spectroscopy, the chemical state of atoms of the silicon matrix and zinc and oxygen impurity atoms is studied, and the phase composition of the implanted and annealed samples is refined. After the implantation of zinc, two maxima of the zinc concentration, one at the wafer surface and the other at a depth of 70 nm, are observed. In this case, nanoparticles of the Zn metal phase and ZnO phase, about 10 nm in dimensions, are formed at the surface and in the surface layer. After annealing in oxygen, the ZnO · Zn{sub 2}SiO{sub 4} and Zn · ZnO phases are detected near the surface and at a depth of 50 nm, respectively.

  16. A Wireless Magnetic Resonance Energy Transfer System for Micro Implantable Medical Sensors

    Directory of Open Access Journals (Sweden)

    Tianyang Yang

    2012-07-01

    Full Text Available Based on the magnetic resonance coupling principle, in this paper a wireless energy transfer system is designed and implemented for the power supply of micro-implantable medical sensors. The entire system is composed of the in vitro part, including the energy transmitting circuit and resonant transmitter coils, and in vivo part, including the micro resonant receiver coils and signal shaping chip which includes the rectifier module and LDO voltage regulator module. Transmitter and receiver coils are wound by Litz wire, and the diameter of the receiver coils is just 1.9 cm. The energy transfer efficiency of the four-coil system is greatly improved compared to the conventional two-coil system. When the distance between the transmitter coils and the receiver coils is 1.5 cm, the transfer efficiency is 85% at the frequency of 742 kHz. The power transfer efficiency can be optimized by adding magnetic enhanced resonators. The receiving voltage signal is converted to a stable output voltage of 3.3 V and a current of 10 mA at the distance of 2 cm. In addition, the output current varies with changes in the distance. The whole implanted part is packaged with PDMS of excellent biocompatibility and the volume of it is about 1 cm3.

  17. Atomic Oxygen Energy in Low Frequency Hyperthermal Plasma Ashers

    Science.gov (United States)

    Banks, Bruce A.; Miller, Sharon K R.; Kneubel, Christian A.

    2014-01-01

    Experimental and analytical analysis of the atomic oxygen erosion of pyrolytic graphite as well as Monte Carlo computational modeling of the erosion of Kapton H (DuPont, Wilmington, DE) polyimide was performed to determine the hyperthermal energy of low frequency (30 to 35 kHz) plasma ashers operating on air. It was concluded that hyperthermal energies in the range of 0.3 to 0.9 eV are produced in the low frequency air plasmas which results in texturing similar to that in low Earth orbit (LEO). Monte Carlo computational modeling also indicated that such low energy directed ions are fully capable of producing the experimentally observed textured surfaces in low frequency plasmas.

  18. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. E-mail: manu@rmki.kfki.hu; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I

    2001-06-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of {sup 15}N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10{sup 16} ions/cm{sup 2} the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10{sup 16} ions/cm{sup 2} flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of {approx}0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed.

  19. Effect of reactive O+ implantation on the pearlite evolution

    International Nuclear Information System (INIS)

    Li Shuchen; Chen Yuanru; Radjabov, T.D.; Muchadadiev, R.E.; Zhang Pingyu; Liu Hong

    1993-01-01

    In the experiment the Fe-0.45wt%C alloy was implanted by Ar+, N+, and by Ar+, N+, O+ ions separately. Beneath the surface implanted by Ar+ and N+ an Auger peak of nitrogen is apparent. After implanting O+, however, the oxygen profile along the depth takes the Gaussian distribution and the nitrogen level is very low. TEM observation shows that the cementite laminae of the pearlite are distorted severely and even broken into rods or spheroid particles. The pearlite evolutions may be interpreted by the thermal spike effect of ion-implantation and preferential combination of C and O

  20. Controlling the bond scission sequence of oxygenates for energy applications

    Science.gov (United States)

    Stottlemyer, Alan L.

    The so called "Holy Grail" of heterogeneous catalysis is a fundamental understanding of catalyzed chemical transformations which span multidimensional scales of both length and time, enabling rational catalyst design. Such an undertaking is realizable only with an atomic level understanding of bond formation and destruction with respect to intrinsic properties of the metal catalyst. In this study, we investigate the bond scission sequence of small oxygenates (methanol, ethanol, ethylene glycol) on bimetallic transition metal catalysts and transition metal carbide catalysts. Oxygenates are of interest both as hydrogen carriers for reforming to H2 and CO and as fuels in direct alcohol fuel cells (DAFC). To address the so-called "materials gap" and "pressure gap" this work adopted three parallel research approaches: (1) ultra high vacuum (UHV) studies including temperature programmed desorption (TPD) and high-resolution electron energy loss spectroscopy (HREELS) on polycrystalline surfaces; (2) DFT studies including thermodynamic and kinetic calculations; (3) electrochemical studies including cyclic voltammetry (CV) and chronoamperometry (CA). Recent studies have suggested that tungsten monocarbide (WC) may behave similarly to Pt for the electrooxidation of oxygenates. TPD was used to quantify the activity and selectivity of oxygenate decomposition for WC and Pt-modifiedWC (Pt/WC) as compared to Pt. While decomposition activity was generally higher on WC than on Pt, scission of the C-O bond resulted in alkane/alkene formation on WC, an undesired product for DAFC. When Pt was added to WC by physical vapor deposition C-O bond scission was limited, suggesting that Pt synergistically modifies WC to improve the selectivity toward C-H bond scission to produce H2 and CO. Additionally, TPD confirmed WC and Pt/WC to be more CO tolerant than Pt. HREELS results verified that surface intermediates were different on Pt/WC as compared to Pt or WC and evidence of aldehyde

  1. Monte Carlo simulation of channeled and random profiles of heavy ions implanted in silicon at high energy (1.2 MeV)

    International Nuclear Information System (INIS)

    Mazzone, A.M.

    1987-01-01

    In order to study channeling effects and implants of heavy ions with energy of few MeV in silicon, ion distributions are calculated with a Monte Carlo method for axial [(001) axis], planar, and nominally random directions for As + and P + ions implanted into silicon with energies in the range 100 keV to 2 MeV. The calculation indicates an appreciable channeling at the higher energy only for the (001) axis and the (110) planes. For heavy ions with energy in the MeV range the subsidence of channeling into major channels and the disappearance of minor channels are shown

  2. Synthesis of Ag ion-implanted TiO{sub 2} thin films for antibacterial application and photocatalytic performance

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Xinggang, E-mail: hou226@mail.tjnu.edu.cn [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma, Huiyan; Liu, Feng; Deng, Jianhua; Ai, Yukai; Zhao, Xinlei; Mao, Dong; Li, Dejun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liao, Bin [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-12-15

    Highlights: • Implanted TiO{sub 2} films with excellent antibacterial and photocatalytic ability was prepared. • Bactericidal effect of released Ag ions was confirmed using VC as radical scavenger. • Excitation of TiO{sub 2} to visible region is attributed to subtitutional Ag. • Synergetic effect of Ag{sup 3+} and Ag{sup +} accounts for the enhanced ability of TiO{sub 2}. - Abstract: TiO{sub 2} thin films were deposited by spin coating method. Silver ions were implanted into the films using a Metal Vapor Vacuum Arc implanter. The antibacterial ability of implanted films was tested using Escherichia coli removal under fluorescent irradiation and in the dark. The concentration of E. coli was evaluated by plating technique. The photocatalytic efficiency of the implanted films was studied by degradation of methyl orange under fluorescent illumination. The surface free energy of the implanted TiO{sub 2} films was calculated by contact angle testing. Vitamin C was used as radical scavengers to explore the antibacterial mechanism of the films. The results supported the model that both generation of reactive oxygen species and release of silver ions played critical roles in the toxic effect of implanted films against E. coli. XPS experimental results demonstrated that a portion of the Ag(Ag{sup 3+}) ions were doped into the crystalline lattice of TiO{sub 2}. As demonstrated by density functional theory calculations, the impurity energy level of subtitutional Ag was responsible for enhanced absorption of visible light. Ag ion-implanted TiO{sub 2} films with excellent antibacterial efficiency against bacteria and decomposed ability against organic pollutants could be potent bactericidal surface in moist environment.

  3. XPS and DFT study of pulsed Bi-implantation of bulk and thin-films of ZnO—The role of oxygen imperfections

    Energy Technology Data Exchange (ETDEWEB)

    Zatsepin, D.A. [M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation); Boukhvalov, D.W., E-mail: danil@hanyang.ac.kr [Department of Chemistry, Hanyang University, 17 Haengdang-dong, Seongdong-gu, Seoul 04763 (Korea, Republic of); Theoretical Physics and Applied Mathematics Department, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Gavrilov, N.V. [Institute of Electrophysics, Russian Academy of Sciences, Ural Branch, 620990 Yekaterinburg (Russian Federation); Kurmaev, E.Z. [M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation); Zhidkov, I.S. [Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation)

    2016-11-30

    Highlights: • Both theory and experiment evidence similarity of patterns of Bi-implantation in surface and bulk ZnO. • In the bulk morphology of ZnO both experiment and theory demonstrate preferability of substitutional defects. • Experiment and theory also evidence the formation of bismuth nano-clustes from substitutional and interstitial defects without formation of secondary metallic phase. • Oxygen vacancies is crucial to formation of these nano-clusters. - Abstract: An atomic and electronic structure of the bulk and thin-film morphologies of ZnO were modified using pulsed Bi-ion implantation (1 × 10{sup 17} cm{sup −2} fluence, 70 min exposure under Bi-ion beam, E{sub Bi}{sup +} = 30 keV, pulsed ion-current density of not more than 0.8 mA/cm{sup 2} with a repetition rate of 12.5 Hz). The final samples were qualified by X-ray photoelectron core-level and valence band mapping spectroscopy applying ASTM materials science standard. The spectroscopy data obtained was discussed on the basis of DFT-models for Bi-embedding into ZnO host-matrices. It was established that in the case of direct Bi-impurities insertion into the employed ZnO-host for both studied morphologies neither the only “pure” Bi{sub 2}O{sub 3}-like phase nor the only “pure” Bi-metal will be preferable to appear as a secondary phase. An unfavorability of the large cluster agglomeration of Bi-impurities in ZnO-hosts has been shown and an oxygen 2s electronic states pleomorphizm was surely established.

  4. Theoretical ion implantation profiles for low energy protons under channeling conditions

    International Nuclear Information System (INIS)

    Nobel, J.A.; Sabin, J.R.; Trickey, S.B.

    1994-01-01

    The authors present early results from the CHANNEL code, which simulates the passage of ionized projectiles through bulk solids. CHANNEL solves the classical equations of motion for the projectile using a force obtained from the gradient of the quantum mechanically derived coulombic potential of the solid (determined via a full potential augmented plane wave (FLAPW) calculation on the bulk) and a quantum mechanical energy dissipation term, the stopping power, as determined from the method of Echenique, Neiminen, and Ritchie. The code then generates the trajectory of the ionic projectile for a given incident position on the unit cell face and an initial velocity. The authors use CHANNEL to generate an ion (proton) implantation profile for the test case of simple cubic hydrogen with the projectile's initial velocity parallel to the (100) channel. Further preliminary results for ion implantation profiles of protons in diamond structure Si, with initial velocity along the (100) and (110) channels, are given

  5. Strain improvement and optimization for β-glucosidase production in Aspergillus niger by low-energy N+ implantation

    International Nuclear Information System (INIS)

    Diao Jinshan; Wang Li; Chen Zhen; Liu Hui; Nie Guangjun; Zheng Zhiming

    2010-01-01

    Low-energy N + implantation was employed to mutate Aspergillus niger Au to enhance productivity of β-glucosidase. Effects of N + on strains, survival and mutation rate were studied. After several rounds of implantation, activity of β-glucosidase of the final mutant Au 0847 reached 13.75 U/mL, which is higher by 106.8% than that of original strain Au, and its heritability was stabilized. Activity of β-glucosidase of Au 0847 reached 30.53 U/mL after further fermentation condition optimization. (authors)

  6. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  7. Modification of the hydriding of uranium using ion implantation

    International Nuclear Information System (INIS)

    Musket, R.G.; Robinson-Weis, G.; Patterson, R.G.

    1983-01-01

    The hydriding of depleted uranium at 76 Torr hydrogen and 130 0 C has been significantly reduced by implantation of oxygen ions. The high-dose implanted specimens had incubation times for the initiation of the reaction after exposure to hydrogen that exceeded those of the nonimplanted specimens by more than a factor of eight. Furthermore, the nonimplanted specimens consumed enough hydrogen to cause macroscopic flaking of essentially the entire surface in times much less than the incubation time for the high-dose implanted specimens. In contrast, the ion-implanted specimens reacted only at isolated spots with the major fraction of the surface area unaffected by the hydrogen exposure

  8. The influence of ion implantation on the oxidation of nickel

    International Nuclear Information System (INIS)

    Goode, P.D.

    1975-11-01

    The effects of ion implantation on the oxidation of polycrystalline nickel have been studied for a range of implanted species: viz. He, Li, Ne, Ca, Ti, Ni, Co, Xe, Ce and Bi. The oxides were grown in dry oxygen at 630 0 C and the 16 O(d,p) 17 O nuclear reaction technique used to determine the amount of oxygen taken up. The influence of atomic and ionic size, valency and electronegativity of the implanted impurities was studied as also were the effects of ion bombardment damage and the influence of sputtering during implantation. Atomic size and the annealing of disorder were found to have a marked influence on oxide growth rate. The dependence of oxidation on annealing was further studied by implanting polycrystalline specimens with self ions and observing the oxide growth rate as a function of annealing temperature. A peak in the curve was found at 400 0 C and a similar peak observed at a somewhat higher temperature for oxidised single crystals. It is concluded that the oxidation rate will be influenced by those factors which alter the epitaxial relationship between metal and growing oxide. Such factors include atomic size of the implanted species, surface strain induced by implantation and changes in surface topography as a result of sputtering. In addition a model based on vacancy assisted cation migration is proposed to explain enhanced oxidation observed over a limited temperature range. (author)

  9. Implantable biochemical fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Richter, G; Rao, J R

    1978-01-05

    Implantable biochemical fuel cells for the operation of heart pacemakers or artificial hearts convert oxidisable body substances such as glucose on the anode side and reduce the oxygen contained in body fluids at the cathode. The anode and cathode are separated by membranes which are impermeable to albumen and blood corpuscles in body fluids. A chemical shortcircuit cannot occur in practice if, according to the invention, one or more selective oxygen electrodes with carbon as catalyst are arranged so that the mixture which diffuses into the cell from body fluids during operation reaches the fuel cell electrode through the porous oxygen electrode. The membranes used must be permeable to water. Cellulose, polymerised polyvinyl alcohol or an ion exchanger with a buffering capacity between pH5 and 8 act as permeable materials.

  10. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  11. Radiation sterilization of polymeric implant materials

    International Nuclear Information System (INIS)

    Bruck, S.D.; Mueller, E.P.

    1988-01-01

    High-energy irradiation sterilization of medical devices and implants composed of polymeric biomaterials that are in contact with tissue and/or blood, may adversely affect their long-term mechanical and/or biological performance (tissue and/or blood compatibility). Since many polymeric implants may contain trace quantities of catalysts and/or other additives, the effect of high-energy radiation on these additives, and possible synergistic effects with the polymer chains under the influence of high-energy radiation, must be considered. It is essential to indicate whether polymeric implants are used in short-term (acute) or long-term (chronic) applications. Relatively small changes in their physicochemical, mechanical, and biological properties may be tolerable in the short term, whereas similar changes may lead to catastrophic failures in long-term applications. Therefore, polymeric implants which are to be sterilized by high-energy irradiation should be carefully evaluated for long-term property changes which may be induced by the radiation

  12. Basic research on maxillofacial implants

    International Nuclear Information System (INIS)

    Matsui, Yoshiro

    2001-01-01

    Osseointegrated implants have begun to be used not only in general practice in dentistry but also in various clinical situations in the maxillofacial region. The process has yielded three problems: the spread of application, new materials and diagnostic methods, and management for difficult situations. This paper presents basic data and clinical guidelines for new applications, it investigates the characteristics of the materials and the usefulness of a new diagnostic method, and it studies effective techniques for difficult cases. The results obtained are as follows: Investigations into the spreading application. The lateral and superior orbital rim have sufficient bone thickness and width for the implant body to be placed. Osseointegrated implants, especially by the fixed bridge technique, are not recommended in the craniofacial bone and jaws of young children. Implant placement into bone after/before irradiation must be performed in consideration of impaired osteogenesis, the decrease of trabecular bone, and the time interval between implantation and irradiation. Investigations into materials and diagnostic methods. Hydroxyapatite-coated and titanium implants should be selected according to the characteristics of the materials. A dental simulating soft may also be applicable in the craniofacial region. Investigations into the management of difficult cases. Hyperbaric oxygen therapy (HBO), bone morphogenetic protein (BMP), and tissue engineering should be useful for improving the quality and increasing the quantity of bone where implants are placed. Soft tissue around implants placed in the reconstructed area should be replaced with mucosal tissue. The data obtained here should be useful for increasing the efficiency of osseointegrated implants, but further basic research is required in the future. (author)

  13. Basic research on maxillofacial implants

    Energy Technology Data Exchange (ETDEWEB)

    Matsui, Yoshiro [Showa Univ., Tokyo (Japan). School of Dentistry

    2001-11-01

    Osseointegrated implants have begun to be used not only in general practice in dentistry but also in various clinical situations in the maxillofacial region. The process has yielded three problems: the spread of application, new materials and diagnostic methods, and management for difficult situations. This paper presents basic data and clinical guidelines for new applications, it investigates the characteristics of the materials and the usefulness of a new diagnostic method, and it studies effective techniques for difficult cases. The results obtained are as follows: Investigations into the spreading application. The lateral and superior orbital rim have sufficient bone thickness and width for the implant body to be placed. Osseointegrated implants, especially by the fixed bridge technique, are not recommended in the craniofacial bone and jaws of young children. Implant placement into bone after/before irradiation must be performed in consideration of impaired osteogenesis, the decrease of trabecular bone, and the time interval between implantation and irradiation. Investigations into materials and diagnostic methods. Hydroxyapatite-coated and titanium implants should be selected according to the characteristics of the materials. A dental simulating soft may also be applicable in the craniofacial region. Investigations into the management of difficult cases. Hyperbaric oxygen therapy (HBO), bone morphogenetic protein (BMP), and tissue engineering should be useful for improving the quality and increasing the quantity of bone where implants are placed. Soft tissue around implants placed in the reconstructed area should be replaced with mucosal tissue. The data obtained here should be useful for increasing the efficiency of osseointegrated implants, but further basic research is required in the future. (author)

  14. Defect diffusion during annealing of low-energy ion-implanted silicon

    International Nuclear Information System (INIS)

    Bedrossian, P.J.; Caturla, M.J.; Diaz de la Rubia, T.

    1997-01-01

    The authors present a new approach for investigating the kinetics of defect migration during annealing of low-energy, ion-implanted silicon, employing a combination of computer simulations and atomic-resolution tunneling microscopy. Using atomically-clean Si(111)-7 x 7 as a sink for bulk point defects created by 5 keV Xe and Ar irradiation, they observe distinct, temperature-dependent surface arrival rates for vacancies and interstitials. A combination of simulation tools provides a detailed description of the processes that underlie the observed temperature-dependence of defect segregation, and the predictions of the simulations agree closely with the experimental observations

  15. The effect of implant design and bone quality on insertion torque, resonance frequency analysis, and insertion energy during implant placement in low or low- to medium-density bone.

    Science.gov (United States)

    Wang, Tong-Mei; Lee, Ming-Shu; Wang, Juo-Song; Lin, Li-Deh

    2015-01-01

    This study investigated the effect of implant design and bone quality on insertion torque (IT), implant stability quotient (ISQ), and insertion energy (IE) by monitoring the continuous change in IT and ISQ while implants were inserted in artificial bone blocks that simulate bone of poor or poor-to-medium quality. Polyurethane foam blocks (Sawbones) of 0.16 g/cm³ and 0.32 g/cm³ were respectively used to simulate low density and low- to medium-density cancellous bone. In addition, some test blocks were laminated with a 1-mm 0.80 g/cm³ polyurethane layer to simulate cancellous bone with a thin cortical layer. Four different implants (Nobel Biocare Mk III-3.75, Mk III-4.0, Mk IV-4.0, and NobelActive-4.3) were placed into the different test blocks in accordance with the manufacturer's instructions. The IT and ISQ were recorded at every 0.5-mm of inserted length during implant insertion, and IE was calculated from the torque curve. The peak IT (PIT), final IT (FIT), IE, and final ISQ values were statistically analyzed. All implants showed increasing ISQ values when the implant was inserted more deeply. In contrast to the ISQ, implants with different designs showed dissimilar IT curve patterns during the insertion. All implants showed a significant increase in the PIT, FIT, IE, and ISQ when the test-block density increased or when the 1-mm laminated layer was present. Tapered implants showed FIT or PIT values of more than 40 Ncm for all of the laminated test blocks and for the nonlaminated test blocks of low to medium density. Parallel-wall implants did not exhibit PIT or FIT values of more than 40 Ncm for all of the test blocks. NobelActive-4.3 showed a significantly higher FIT, but a significantly lower IE, than Mk IV-4.0. While the existence of cortical bone or implant designs significantly affects the dynamic IT profiles during implant insertion, it does not affect the ISQ to a similar extent. Certain implant designs are more suitable than others if high IT is

  16. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  17. Depth profiling of boron implanted silicon by positron beam

    International Nuclear Information System (INIS)

    Oevuenc, S.

    2004-01-01

    Positron depth profiling analyses of low energy implants of silicon aim to observe tbe structure and density of the vacancies generating by implantation and the effect of annealing. This work present the results to several set of data starting S and W parameters. Boron implanted Silicon samples with different implantation energies,20,22,24,and 26 keV are analyzed by Slow positron beam (0-40 keV and 10 5 e + /s )(Variable Energy Positron) at the Positron Centre Delf-HOLLAND

  18. The temperature effect of low-energy ion beam implantation on seed

    International Nuclear Information System (INIS)

    Chang Shenghe; Su Mingjie; Qin Guangyong; Wu Yuping; Zhao Haizhen

    2005-01-01

    The temperature effects of low-energy ion beam implantation on the seed germination were studied. Maize dry seeds were covered with copy paper, aluminum foil and without cover, respectively. Results showed that the germination rate of the seeds covered with paper which was the bad heat transmitter was the highest among three treatments, while that covered with aluminum foil which can transmit heat energy well was the least. The germination rate of the seeds covered with nothing was the second. Temperature affected seeds germination markedly. Generally the temperature of the target room inhibited the seeds' germination. After minus the effects of the temperature in the target room, the germination rates of the seeds were modified in this paper. The modified germination rate curve was also provided. (authors)

  19. Gas sensing of ruthenium implanted tungsten oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tesfamichael, T., E-mail: t.tesfamichael@qut.edu.au [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia); Ahsan, M. [William A. Cook Australia, 95 Brandl Street Eight Mile Plains, Brisbane, QLD 4113 (Australia); Notarianni, M. [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia); Groß, A.; Hagen, G.; Moos, R. [University of Bayreuth, Faculty of Engineering Science, Department of Functional Materials, Universitätsstr. 30, 95440 Bayreuth (Germany); Ionescu, M. [ANSTO, Institute for Environmental Research, Locked Bag 2001, Kirrawee DC, NSW 2232 (Australia); Bell, J. [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia)

    2014-05-02

    Different amounts of Ru were implanted into thermally evaporated WO{sub 3} thin films by ion implantation. The films were subsequently annealed at 600 °C for 2 h in air to remove defects generated during the ion implantation. The Ru concentrations of four samples have been quantified by Rutherford Backscattering Spectrometry as 0.8, 5.5, 9 and 11.5 at.%. The un-implanted WO{sub 3} films were highly porous but the porosity decreased significantly after ion implantation as observed by Transmission Electron Microscopy and Scanning Electron Microscopy. The thickness of the films also decreased with increasing Ru-ion dose, which is mainly due to densification of the porous films during ion implantation. From Raman Spectroscopy two peaks at 408 and 451 cm{sup −1} (in addition to the typical vibrational peaks of the monoclinic WO{sub 3} phase) associated with Ru were observed. Their intensity increased with increasing Ru concentration. X-ray Photoelectron Spectroscopy showed a metallic state of Ru with binding energy of Ru 3d{sub 5/2} at 280.1 eV. This peak position remained almost unchanged with increasing Ru concentration. The resistances of the Ru-implanted films were found to increase in the presence of NO{sub 2} and NO with higher sensor response to NO{sub 2}. The effect of Ru concentration on the sensing performance of the films was not explicitly observed due to reduced film thickness and porosity with increasing Ru concentration. However, the results indicate that the implantation of Ru into WO{sub 3} films with sufficient film porosity and film thickness can be beneficial for NO{sub 2} sensing at temperatures in the range of 250 °C to 350 °C. - Highlights: • Densification of WO{sub 3} thin films has occurred after Ru ion implantation. • Thickness and porosity of the films decrease with increasing Ru ion dose. • The amount of oxygen vacancies and defects increases with increasing Ru ion dose. • Ru has shown a crucial role in enhancing sensor response

  20. Rechargeable membraneless glucose biobattery: Towards solid-state cathodes for implantable enzymatic devices

    Science.gov (United States)

    Yazdi, Alireza Ahmadian; Preite, Roberto; Milton, Ross D.; Hickey, David P.; Minteer, Shelley D.; Xu, Jie

    2017-03-01

    Enzymatic biobatteries can be implanted in living organisms to exploit the chemical energy stored in physiological fluids. Generally, commonly-used electron donors (such as sugars) are ubiquitous in physiological environments, while electron acceptors such as oxygen are limited due to many factors including solubility, temperature, and pressure. The wide range of solid-state cathodes, however, may replace the need for oxygen breathing electrodes and serve in enzymatic biobatteries for implantable devices. Here, we have fabricated a glucose biobattery suitable for in vivo applications employing a glucose oxidase (GOx) anode coupled to a solid-state Prussian Blue (PB) thin-film cathode. PB is a non-toxic material and its electrochemistry enables fast regeneration if used in a secondary cell. This novel biobattery can effectively operate in a membraneless architecture as PB can reduce the peroxide produced by some oxidase enzymes. The resulting biobattery delivers a maximum power and current density of 44 μW cm-2 and 0.9 mA cm-2 , respectively, which is ca. 37% and 180% higher than an equivalent enzymatic fuel cell equipped with a bilirubin oxidase cathode. Moreover, the biobattery demonstrated a stable performance over 20 cycles of charging and discharging periods with only ca. 3% loss of operating voltage.

  1. Ge-semiconductor detectors with a p-implanted n+-contact

    International Nuclear Information System (INIS)

    Protic, D.; Riepe, G.

    1979-01-01

    P-implanted large-surface-detectors with improved properties can be produced by implantation of the n + -contact with relatively low dose and high energy. After an annealing process a nearly perfect lattice structure is obtained. By a subsequent p-implantation step with high dose and low energy, the surface restisivity can be reduced. The p + -contacts are obtained by B-implantation. (DG) [de

  2. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  3. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  4. Impact of an implanted neuroprosthesis on community ambulation in incomplete SCI.

    Science.gov (United States)

    Lombardo, Lisa M; Kobetic, Rudolf; Pinault, Gilles; Foglyano, Kevin M; Bailey, Stephanie N; Selkirk, Stephen; Triolo, Ronald J

    2018-03-01

    Test the effect of a multi-joint control with implanted electrical stimulation on walking after spinal cord injury (SCI). Single subject research design with repeated measures. Hospital-based biomechanics laboratory and user assessment of community use. Female with C6 AIS C SCI 30 years post injury. Lower extremity muscle activation with an implanted pulse generator and gait training. Walking speed, maximum distance, oxygen consumption, upper extremity (UE) forces, kinematics and self-assessment of technology. Short distance walking speed at one-year follow up with or without stimulation was not significantly different from baseline. However, average walking speed was significantly faster (0.22 m/s) with stimulation over longer distances than volitional walking (0.12 m/s). In addition, there was a 413% increase in walking distance from 95 m volitionally to 488 m with stimulation while oxygen consumption and maximum upper extremity forces decreased by 22 and 16%, respectively. Stimulation also produced significant (P ≤ 0.001) improvements in peak hip and knee flexion, ankle angle at foot off and at mid-swing. An implanted neuroprosthesis enabled a subject with incomplete SCI to walk longer distances with improved hip and knee flexion and ankle dorsiflexion resulting in decreased oxygen consumption and UE support. Further research is required to determine the robustness, generalizability and functional implications of implanted neuroprostheses for community ambulation after incomplete SCI.

  5. Surface characterization of titanium based dental implants; Caracterizacao de implantes odontologicos a base de titanio

    Energy Technology Data Exchange (ETDEWEB)

    Castilho, Guilherme Augusto Alcaraz

    2006-07-01

    Dental implantology uses metallic devices made of commercially pure titanium in order to replace lost teeth. Titanium presents favorable characteristics as bio material and modern implants are capable of integrate, witch is the union between bone and implant without fibrous tissue development. Three of the major Brazilian implant manufacturers were chosen to join the study. A foreign manufacturer participated as standard. The manufacturers had three specimens of each implant with two different surface finishing, as machined and porous, submitted to analysis. Surface chemical composition and implant morphology were analyzed by X-ray photoelectron spectroscopy (XP S), scanning electron microscopy (SEM) and microprobe. Implant surface is mainly composed of titanium, oxygen and carbon. Few contaminants commonly present on implant surface were found on samples. Superficial oxide layer is basically composed of titanium dioxide (TiO{sub 2}), another oxides as Ti O and Ti{sub 2}O{sub 3} were also found in small amount. Carbon on implant surface was attributed to manufacturing process. Nitrogen, Phosphorous and Silicon appeared in smaller concentration on surface. There was no surface discrepancy among foreign and Brazilian made implants. SEM images were made on different magnification, 35 X to 3500 X, and showed similarity among as machined implants. Porous surface finishing implants presented distinct morphology. This result was attributed to differences on manufacturing process. Implant bioactivity was accessed through immersion on simulated body solution (SBF) in order to verify formation of an hydroxyapatite (HA) layer on surface. Samples were divided on three groups according to immersion time: G1 (7 days), G2 (14 days), G3 (21 days), and deep in SBF solution at 37 deg C. After being removed from solution, XPS analyses were made and then implants have been submitted to microprobe analysis. XPS showed some components of SBF solution on sample surface but microprobe

  6. Electron loss from multiply protonated lysozyme ions in high energy collisions with molecular oxygen

    DEFF Research Database (Denmark)

    Hvelplund, P; Nielsen, SB; Sørensen, M

    2001-01-01

    We report on the electron loss from multiply protonated lysozyme ions Lys-Hn(n)+ (n = 7 - 17) and the concomitant formation of Lys-Hn(n+1)+. in high-energy collisions with molecular oxygen (laboratory kinetic energy = 50 x n keV). The cross section for electron loss increases with the charge state...... of the precursor from n = 7 to n = 11 and then remains constant when n increases further. The absolute size of the cross section ranges from 100 to 200 A2. The electron loss is modeled as an electron transfer process between lysozyme cations and molecular oxygen....

  7. Hydrogen-Oxygen PEM Regenerative Fuel Cell Energy Storage System

    Science.gov (United States)

    Bents, David J.; Scullin, Vincent J.; Chang, Bei-Jiann; Johnson, Donald W.; Garcia, Christopher P.

    2005-01-01

    An introduction to the closed cycle hydrogen-oxygen polymer electrolyte membrane (PEM) regenerative fuel cell (RFC), recently constructed at NASA Glenn Research Center, is presented. Illustrated with explanatory graphics and figures, this report outlines the engineering motivations for the RFC as a solar energy storage device, the system requirements, layout and hardware detail of the RFC unit at NASA Glenn, the construction history, and test experience accumulated to date with this unit.

  8. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  9. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  10. Value of monoenergetic dual-energy CT (DECT) for artefact reduction from metallic orthopedic implants in post-mortem studies.

    Science.gov (United States)

    Filograna, Laura; Magarelli, Nicola; Leone, Antonio; Guggenberger, Roman; Winklhofer, Sebastian; Thali, Michael John; Bonomo, Lorenzo

    2015-09-01

    The aim of this ex vivo study was to assess the performance of monoenergetic dual-energy CT (DECT) reconstructions to reduce metal artefacts in bodies with orthopedic devices in comparison with standard single-energy CT (SECT) examinations in forensic imaging. Forensic and clinical impacts of this study are also discussed. Thirty metallic implants in 20 consecutive cadavers with metallic implants underwent both SECT and DECT with a clinically suitable scanning protocol. Extrapolated monoenergetic DECT images at 64, 69, 88, 105, 120, and 130 keV and individually adjusted monoenergy for optimized image quality (OPTkeV) were generated. Image quality of the seven monoenergetic images and of the corresponding SECT image was assessed qualitatively and quantitatively by visual rating and measurements of attenuation changes induced by streak artefact. Qualitative and quantitative analyses showed statistically significant differences between monoenergetic DECT extrapolated images and SECT, with improvements in diagnostic assessment in monoenergetic DECT at higher monoenergies. The mean value of OPTkeV was 137.6 ± 4.9 with a range of 130 to 148 keV. This study demonstrates that monoenergetic DECT images extrapolated at high energy levels significantly reduce metallic artefacts from orthopedic implants and improve image quality compared to SECT examination in forensic imaging.

  11. Value of monoenergetic dual-energy CT (DECT) for artefact reduction from metallic orthopedic implants in post-mortem studies

    Energy Technology Data Exchange (ETDEWEB)

    Filograna, Laura [University of Zurich, Department of Forensic Medicine and Imaging, Institute of Forensic Medicine, Zurich (Switzerland); Catholic University of Rome, School of Medicine, University Hospital ' ' A. Gemelli' ' , Department of Radiological Sciences, Rome (Italy); Magarelli, Nicola; Leone, Antonio; Bonomo, Lorenzo [Catholic University of Rome, School of Medicine, University Hospital ' ' A. Gemelli' ' , Department of Radiological Sciences, Rome (Italy); Guggenberger, Roman; Winklhofer, Sebastian [University Hospital Zurich, Institute of Diagnostic and Interventional Radiology, Zurich (Switzerland); Thali, Michael John [University of Zurich, Department of Forensic Medicine and Imaging, Institute of Forensic Medicine, Zurich (Switzerland)

    2015-09-15

    The aim of this ex vivo study was to assess the performance of monoenergetic dual-energy CT (DECT) reconstructions to reduce metal artefacts in bodies with orthopedic devices in comparison with standard single-energy CT (SECT) examinations in forensic imaging. Forensic and clinical impacts of this study are also discussed. Thirty metallic implants in 20 consecutive cadavers with metallic implants underwent both SECT and DECT with a clinically suitable scanning protocol. Extrapolated monoenergetic DECT images at 64, 69, 88, 105, 120, and 130 keV and individually adjusted monoenergy for optimized image quality (OPTkeV) were generated. Image quality of the seven monoenergetic images and of the corresponding SECT image was assessed qualitatively and quantitatively by visual rating and measurements of attenuation changes induced by streak artefact. Qualitative and quantitative analyses showed statistically significant differences between monoenergetic DECT extrapolated images and SECT, with improvements in diagnostic assessment in monoenergetic DECT at higher monoenergies. The mean value of OPTkeV was 137.6 ± 4.9 with a range of 130 to 148 keV. This study demonstrates that monoenergetic DECT images extrapolated at high energy levels significantly reduce metallic artefacts from orthopedic implants and improve image quality compared to SECT examination in forensic imaging. (orig.)

  12. Value of monoenergetic dual-energy CT (DECT) for artefact reduction from metallic orthopedic implants in post-mortem studies

    International Nuclear Information System (INIS)

    Filograna, Laura; Magarelli, Nicola; Leone, Antonio; Bonomo, Lorenzo; Guggenberger, Roman; Winklhofer, Sebastian; Thali, Michael John

    2015-01-01

    The aim of this ex vivo study was to assess the performance of monoenergetic dual-energy CT (DECT) reconstructions to reduce metal artefacts in bodies with orthopedic devices in comparison with standard single-energy CT (SECT) examinations in forensic imaging. Forensic and clinical impacts of this study are also discussed. Thirty metallic implants in 20 consecutive cadavers with metallic implants underwent both SECT and DECT with a clinically suitable scanning protocol. Extrapolated monoenergetic DECT images at 64, 69, 88, 105, 120, and 130 keV and individually adjusted monoenergy for optimized image quality (OPTkeV) were generated. Image quality of the seven monoenergetic images and of the corresponding SECT image was assessed qualitatively and quantitatively by visual rating and measurements of attenuation changes induced by streak artefact. Qualitative and quantitative analyses showed statistically significant differences between monoenergetic DECT extrapolated images and SECT, with improvements in diagnostic assessment in monoenergetic DECT at higher monoenergies. The mean value of OPTkeV was 137.6 ± 4.9 with a range of 130 to 148 keV. This study demonstrates that monoenergetic DECT images extrapolated at high energy levels significantly reduce metallic artefacts from orthopedic implants and improve image quality compared to SECT examination in forensic imaging. (orig.)

  13. Implantation, recoil implantation, and sputtering

    International Nuclear Information System (INIS)

    Kelly, R.

    1984-01-01

    Underlying ion-beam modification of surfaces is the more basic subject of particle-surface interaction. The ideas can be grouped into forward and backward features, i.e. those affecting the interior of the target and those leading to particle expulsion. Forward effects include the stopping of the incident particles and the deposition of energy, both governed by integral equations which are easily set up but difficult to solve. Closely related is recoil implantation where emphasis is placed not on the stopping of the incident particles but on their interaction with target atoms with resulting implantation of these atoms. Backward effects, all of which are denoted as sputtering, are in general either of collisional, thermal, electronic, or exfoliational origin. (Auth.)

  14. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  15. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  16. Passivation of CdZnTe surfaces by oxidation in low energy atomic oxygen

    International Nuclear Information System (INIS)

    Chen, H.; Chattopadhyay, K.; Chen, K.; Burger, A.; George, M.A.; Gregory, J.C.; Nag, P.K.; Weimer, J.J.; James, R.B.

    1999-01-01

    A method of surface passivation of Cd 1-x Zn x Te (CZT) x-ray and gamma ray detectors has been established by using microwave-assisted atomic oxygen bombardment. Detector performance is significantly enhanced due to the reduction of surface leakage current. CZT samples were exposed to an atomic oxygen environment at the University of Alabama in Huntsville close-quote s Thermal Atomic Oxygen Facility. This system generates neutral atomic oxygen species with kinetic energies of 0.1 - 0.2 eV. The surface chemical composition and its morphology modification due to atomic oxygen exposure were studied by x-ray photoelectron spectroscopy and atomic force microscopy and the results were correlated with current-voltage measurements and with room temperature spectral responses to 133 Ba and 241 Am radiation. A reduction of leakage current by about a factor of 2 is reported, together with significant improvement in the gamma-ray line resolution. copyright 1999 American Vacuum Society

  17. An in vitro investigation of bacteria-osteoblast competition on oxygen plasma-modified PEEK.

    Science.gov (United States)

    Rochford, Edward T J; Subbiahdoss, Guruprakash; Moriarty, T Fintan; Poulsson, Alexandra H C; van der Mei, Henny C; Busscher, Henk J; Richards, R Geoff

    2014-12-01

    Polyetheretherketone (PEEK) films were oxygen plasma treated to increase surface free energy and characterized by X-ray photoelectron microscopy, atomic force microscopy, and water contact angles. A parallel plate flow chamber was used to measure Staphylococcus epidermidis, Staphylococcus aureus, and U-2 OS osteosarcomal cell-line adhesion to the PEEK films in separate monocultures. In addition, bacteria and U-2 OS cells were cocultured to model competition between osteoblasts and contaminating bacteria for the test surfaces. Plasma treatment of the surfaces increased surface oxygen content and decreased the hydrophobicity of the materials, but did not lead to a significant difference in bacterial or U-2 OS cell adhesion in the monocultures. In the S. epidermidis coculture experiments, the U-2 OS cells adhered in greater numbers on the treated surfaces compared to the untreated PEEK and spread to a similar extent. However, in the presence of S. aureus, cell death of the U-2 OS occurred within 10 h on all surfaces. The results of this study suggest that oxygen plasma treatment of PEEK may maintain the ability of osteoblast-like cells to adhere and spread, even in the presence of S. epidermidis contamination, without increasing the risk of preoperative bacterial adhesion. Therefore, oxygen plasma-treated PEEK remains a promising method to improve implant surface free energy for osseointegration. © 2014 Wiley Periodicals, Inc.

  18. Evolution of arsenic in high fluence plasma immersion ion implanted silicon: Behavior of the as-implanted surface

    Energy Technology Data Exchange (ETDEWEB)

    Vishwanath, V. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States); Demenev, E. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Department of Molecular Science and Nanosystems, Ca’Foscari University, Dorsoduro 2137, 30123 Venice (Italy); Giubertoni, D., E-mail: giuberto@fbk.eu [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Vanzetti, L. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Koh, A.L. [Stanford Nanocharacterization Laboratory, Stanford University, 476 Lomita Mall, Stanford, CA 94305 (United States); Steinhauser, G. [Colorado State University, Environmental and Radiological Health Sciences, Fort Collins, CO 80523 (United States); Leibniz Universität Hannover, Institut für Radioökologie und Strahlenschutz, 30419 Hannover (Germany); Pepponi, G.; Bersani, M. [Center for Materials and Microsystems, Fondazione Bruno Kessler, Via Sommarive 18, 38123 Povo, Trento (Italy); Meirer, F., E-mail: f.meirer@uu.nl [Inorganic Chemistry and Catalysis, Utrecht University, Utrecht 3584 CG (Netherlands); Foad, M.A. [Applied Materials, 3225 Oakmead Village Drive, Santa Clara, CA 95052 (United States)

    2015-11-15

    Highlights: • Samples prepared by high fluence, low-energy PIII of AsH{sub 3}{sup +} on Si(1 0 0) were studied. • PIII is of high technological interest for ultra-shallow doping and activation. • We used a multi-technique approach to study the As-implanted surface. • We show that PIII presents a new set of problems that needs to be tackled. • The presented study goes toward understanding the root mechanisms involved. - Abstract: High fluence (>10{sup 15} ions/cm{sup 2}) low-energy (<2 keV) plasma immersion ion implantation (PIII) of AsH{sub 3}{sup +} on (1 0 0) silicon was investigated, with the focus on stability and retention of the dopant. At this dose, a thin (∼3 nm) amorphous layer forms at the surface, which contains about 45% arsenic (As) in a silicon and oxygen matrix. The presence of silicon indicates that the layer is not only a result of deposition, but predominantly ion mixing. High fluence PIII introduces high concentration of arsenic, modifying the stopping power for incoming ions resulting in an increased deposition. When exposed to atmosphere, the arsenic rich layer spontaneously evolves forming arsenolite As{sub 2}O{sub 3} micro-crystals at the surface. The micro-crystal formation was monitored over several months and exhibits typical crystal growth kinetics. At the same time, a continuous growth of native silicon oxide rich in arsenic was observed on the exposed surface, suggesting the presence of oxidation enhancing factors linked to the high arsenic concentration at the surface.

  19. Does Ferrule Effect Affect Implant-Abutment Stability?

    Science.gov (United States)

    Mohajerfar, Maryam; Beyabanaki, Elaheh; Geramy, Allahyar; Siadat, Hakimeh; Alikhasi, Marzieh

    2016-12-01

    This study investigated the influence of placing implant-supported crowns on the torque loss of the abutment screw before and after loading. Twenty implant-abutment assemblies were randomly assigned to two groups. The first group was consisted of abutments with abutment-level finishing line (abutment-level), and in the second group the crown margin was placed on the implant shoulder (implant-level). Initial torque loss was recorded for all specimens. After 500000 cyclic load of 75 N and frequency of 2 Hz, post loading torque loss was recorded. Finite element model of each group was also modeled and screw energy, and stress were analyzed and compared between two groups. ANOVA for repeated measurements showed that the torque loss did not change significantly after cyclic loading (P=0.73). Crown margin also had no significant effect on the torque loss (P=0.56). However, the energy and stress of screw in abutment-level model (4.49 mJ and 22.74 MPa) was higher than implant-level model (3.52 mJ and 20.81 MPa). Although embracing the implant with crown produced less stress and energy in the abutment-implant screw, it did not have any significant influence on the torque loss of the screw. Copyright© 2016 Dennis Barber Ltd

  20. Thermal desorption and bombardment-induced release of deuterium implanted into stainless steels at low energy

    International Nuclear Information System (INIS)

    Farrell, G.; Donnelly, S.E.

    1978-01-01

    Thermal desorption spectra have been obtained for low energy (15-750 eV) deuterons implanted into types 321 and 304 stainless steel, to total fluences in the range 10 13 - 10 17 deuterons/cm 2 . In each case the spectra show a peak at about 350 K, but in the 321 steel there is a second peak in the region of 900 K, the population and peak temperature of which increase with energy. Activation energies of 0.99 and 2.39 eV and a rate constant of 7 x 10 15 /s have been derived for the peaks and it is thought that the first peak corresponds to release from sites close to the surface, while the second peak may be related to trapping at impurities such as Ti. Measurements have also been made of the release of deuterium resulting from post-implantation bombardment with hydrogen ions. It is found that depletion of the first peak in the 321 steel is the result of gas sputtering, but depletion of the second peak is the result of the formation of HD during desorption, while depletion of the peak in the 304 stainless steel also results from HD formation even though this peak is the same as the first peak in the 321 steel. Estimates have also been made of the deuterium self-sputtering cross section at various energies, which show a monotonic decrease as energy increases. (Auth.)

  1. Influence of oxygen on the ion-beam synthesis of silicon carbide buried layers in silicon

    International Nuclear Information System (INIS)

    Artamanov, V.V.; Valakh, M.Ya.; Klyui, N.I.; Mel'nik, V.P.; Romanyuk, A.B.; Romanyuk, B.N.; Yukhimchuk, V.A.

    1998-01-01

    The properties of silicon structures with silicon carbide (SiC) buried layers produced by high-dose carbon implantation followed by a high-temperature anneal are investigated by Raman and infrared spectroscopy. The influence of the coimplantation of oxygen on the features of SiC buried layer formation is also studied. It is shown that in identical implantation and post-implantation annealing regimes a SiC buried layer forms more efficiently in CZ Si wafers or in Si (CZ or FZ) subjected to the coimplantation of oxygen. Thus, oxygen promotes SiC layer formation as a result of the formation of SiO x precipitates and accommodation of the volume change in the region where the SiC phase forms. Carbon segregation and the formation of an amorphous carbon film on the SiC grain boundaries are also discovered

  2. Electron attachment to oxygen, ozone and other compounds of atmospheric relevance as studied with ultra-high energy resolution

    International Nuclear Information System (INIS)

    Maerk, T.D.; Matejcik, S.; Kiendler, A.; Cicman, P.; Senn, G.; Skalny, J.; Stampfli, P.; Illenberger, E.; Chu, Y.; Stamatovic, A.

    1996-01-01

    The processes of electron attachment to oxygen, ozone, ozone/oxygen cluster and oxygen cluster as well as other compounds of atmospheric relevance (CF 2 Cl 2 , CHCl 3 and CCl 3 Br) were studied with ultra-high energy resolution crossed beam technique

  3. Supplemental Perioperative Oxygen to Reduce Surgical Site Infection after High Energy Fracture Surgery

    Science.gov (United States)

    2017-10-01

    AWARD NUMBER: W81XWH-12-1-0588 TITLE: Supplemental Perioperative Oxygen to Reduce Surgical Site Infection after High- Energy Fracture Surgery...High- Energy Fracture Surgery 5a. CONTRACT NUMBER W81XWH-12-1-0588 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) Robert V. O’Toole, MD...14 4 1. INTRODUCTION: The overall scope of this project is to address the treatment of high- energy military fractures, which has

  4. Optimization of High-Energy Implanter Beamline Pumping

    International Nuclear Information System (INIS)

    LaFontaine, Marvin; Pharand, Michel; Huang Yongzhang; Pokidov, Ilya; Ferrara, Joseph

    2006-01-01

    A high-energy implanter process chamber and its pumping configuration were designed to minimize the residual gas density in the endstation. A modified Nastran trade mark sign finite-element analysis (FEA) code was used to calculate the pressure distribution and gas flow within the process chamber. The modified FE method was readily applied to the internal geometry of the scan chamber, the corrector magnet waveguide, and the process chamber, which included the scan arm assembly, 300mm wafer, and plasma electron flood gun (PEF). Using the modified Nastran code, the gas flow and pressure distribution within the beamline geometry were calculated. The gas load consisted of H2, which is generated by photoresist (PR) outgassing from the 300mm wafer, and Xe from the plasma electron flood gun. Several pumping configurations were assessed, with each consisting of various locations and pumping capacities of vacuum pumps. The pressure distribution results for each configuration are presented, along with pumping efficiency results which are helpful in selecting the optimum pump configuration. The analysis results were compared to measured data, indicating a good correlation between the two

  5. Oxygen toxicity

    Directory of Open Access Journals (Sweden)

    C. A. van der Westhuizen

    1990-07-01

    Full Text Available Oxygen has been discovered about 200 years ago. Since then the vital physiological involvement of oxygen in various biologi­cal processes, mainly energy production, has been established. However, in the body molecular oxygen can be converted to toxic oxygen metabolites such as superoxide anion, hydrogen peroxide, the hydroxyl radical and singlet oxygen. These toxic metabolites are produced mainly in the mitochondria, plasma membranes and endoplasmic reticulum.

  6. Thin hydroxyapatite surface layers on titanium produced by ion implantation

    CERN Document Server

    Baumann, H; Bilger, G; Jones, D; Symietz, I

    2002-01-01

    In medicine metallic implants are widely used as hip replacement protheses or artificial teeth. The biocompatibility is in all cases the most important requirement. Hydroxyapatite (HAp) is frequently used as coating on metallic implants because of its high acceptance by the human body. In this paper a process is described by which a HAp surface layer is produced by ion implantation with a continuous transition to the bulk material. Calcium and phosphorus ions are successively implanted into titanium under different vacuum conditions by backfilling oxygen into the implantation chamber. Afterwards the implanted samples are thermally treated. The elemental composition inside the implanted region was determined by nuclear analysis methods as (alpha,alpha) backscattering and the resonant nuclear reaction sup 1 H( sup 1 sup 5 N,alpha gamma) sup 1 sup 2 C. The results of X-ray photoelectron spectroscopy indicate the formation of HAp. In addition a first biocompatibility test was performed to compare the growing of m...

  7. Structural properties of the formation of zinc-containing nanoparticles obtained by ion implantation in Si (001 and subsequent thermal annealing

    Directory of Open Access Journals (Sweden)

    Ksenia B. Eidelman

    2017-09-01

    We show that a damaged layer with a large concentration of radiation induced defects forms near the surface as a result of the implantation of Zn+ ions with an energy of 50 keV. In the as-implanted state, nanoparticles of metallic Zn with a size of about 25 nm form at a depth of 40 nm inside the damaged silicon layer. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to structural changes in the defect layer and the formation of Zn2SiO4 nanoparticles at a depth of 25 nm with an average size of 3 nm, as well as oxidation of the existing Zn particles to the Zn2SiO4 phase. The oxidation of the metallic Zn nanoparticles starts from the surface of the particles and leads to the formation of particles with a “core-shell” structure. Analysis of the phase composition of the silicon layer after two-stage implantation with O+ and Zn+ ions showed that Zn and Zn2SiO4 particles form in the as-implanted state. Subsequent annealing at 800 °C in a dry oxygen atmosphere leads to an increase in the particle size but does not change the phase composition of the near-surface layer. ZnO nanoparticles were not observed under these experimental conditions of ion beam synthesis.

  8. Physical Properties of Silicone Gel Breast Implants.

    Science.gov (United States)

    Jewell, Mark L; Bengtson, Bradley P; Smither, Kate; Nuti, Gina; Perry, TracyAnn

    2018-04-28

    Surgical applications using breast implants are individualized operations to fill and shape the breast. Physical properties beyond shape, size, and surface texture are important considerations during implant selection. Compare form stability, gel material properties, and shell thickness of textured shaped, textured round, and smooth round breast implants from 4 manufacturers: Allergan, Mentor, Sientra, and Establishment Labs through bench testing. Using a mandrel height gauge, form stability was measured by retention of dimensions on device movement from a horizontal to vertical supported orientation. Dynamic response of gel material (gel cohesivity, resistance to gel deformation, energy absorption) was measured using a synchronized target laser following application of graded negative pressure. Shell thickness was measured using digital thickness gauge calipers. Form stability, gel material properties, and shell thickness differed across breast implants. Of textured shaped devices, Allergan Natrelle 410 exhibited greater form stability than Mentor MemoryShape and Sientra Shaped implants. Allergan Inspira round implants containing TruForm 3 gel had greater form stability, higher gel cohesivity, greater resistance to gel deformation, and lower energy absorption than those containing TruForm 2 gel and in turn, implants containing TruForm 1 gel. Shell thickness was greater for textured versus smooth devices, and differed across styles. Gel cohesivity, resistance to gel deformation, and energy absorption are directly related to form stability, which in turn determines shape retention. These characteristics provide information to aid surgeons choosing an implant based on surgical application, patient tissue characteristics, and desired outcome.

  9. X-Ray diffraction studies of silicon implanted with high energy ions

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.; Graeff, W.

    1998-01-01

    The character of lattice deformation in silicon implanted with high energy alpha-particles and protons was studied using a number of X-ray methods. The experiments included double-crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter distribution proportional to the vacancy-interstitial distribution coming from the Biersack-ziegler theory. The theoretical rocking curves and distribution in back-reflection double-crystal and section topographs well corresponding to the experimental results were calculated using numerical integration of the takagi-taupin equations

  10. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  11. Implantable Biomedical Signal Monitoring Using RF Energy Harvestingand On-Chip Antenna

    Directory of Open Access Journals (Sweden)

    Jiann-Shiun Yuan

    2015-08-01

    Full Text Available This paper presents the design of an energy harvesting wireless and battery-less silicon-on-chip (SoC device that can be implanted in the human body to monitor certain health conditions. The proposed architecture has been designed on TSMC 0.18μm CMOS ICs and is an integrated system with a rectenna (antenna and rectifier and transmitting circuit, all on a single chip powered by an external transmitter and that is small enough to be inserted in the human eye, heart or brain. The transmitting and receiving antennas operate in the 5.8- GHz ISM band and have a -10dB gain. The distinguishing feature of this design is the rectenna that comprises of a singlestage diode connected NMOS rectifier and a 3-D on-chip antenna that occupies only 2.5 × 1 × 2.8 mm3 of chip area and has the ability to communicate within proximity of 5 cm while giving 10% efficiency. The external source is a reader that powers up the RF rectifier in the implantable chip triggering it to start sending data back to the reader enabling an efficient method of health evaluation for the patient.

  12. Low energy helium implantation of aluminum

    International Nuclear Information System (INIS)

    Wilson, K.L.; Thomas, G.J.

    1976-02-01

    A series of 20 keV He + implantations was conducted on well-annealed MARZ grade aluminum at fluxes of 6 x 10 14 and 6 x 10 13 He + /cm 2 sec. Three distinct, temperature dependent He release mechanisms were found by He re-emission measurements during implantation, and by subsequent SEM and TEM investigations. At 0.08 of the melting temperature (T/sub m/) gas re-emission rose smoothly after a critical dose of 3 x 10 17 He + /cm 2 , with extensive blistering. The intermediate temperature range (approximately 0.3 T/sub m/) was characterized by repeated flake exfoliation and bursts of He after a dose of 3 x 10 17 He + /cm 2 . Rapid He evolution, with hole formation was found above 0.7 T/sub m/. No significant differences in either gas re-emission or surface deformation were found between the two fluxes employed

  13. Metallic artefact reduction with monoenergetic dual-energy CT: systematic ex vivo evaluation of posterior spinal fusion implants from various vendors and different spine levels.

    Science.gov (United States)

    Guggenberger, R; Winklhofer, S; Osterhoff, G; Wanner, G A; Fortunati, M; Andreisek, G; Alkadhi, H; Stolzmann, P

    2012-11-01

    To evaluate optimal monoenergetic dual-energy computed tomography (DECT) settings for artefact reduction of posterior spinal fusion implants of various vendors and spine levels. Posterior spinal fusion implants of five vendors for cervical, thoracic and lumbar spine were examined ex vivo with single-energy (SE) CT (120 kVp) and DECT (140/100 kVp). Extrapolated monoenergetic DECT images at 64, 69, 88, 105 keV and individually adjusted monoenergy for optimised image quality (OPTkeV) were generated. Two independent radiologists assessed quantitative and qualitative image parameters for each device and spine level. Inter-reader agreements of quantitative and qualitative parameters were high (ICC = 0.81-1.00, κ = 0.54-0.77). HU values of spinal fusion implants were significantly different among vendors (P metallic artefacts from implants than SECT. Use of individual keV values for vendor and spine level is recommended. • Artefacts pose problems for CT following posterior spinal fusion implants. • CT images are interpreted better with monoenergetic extrapolation using dual-energy (DE) CT. • DECT extrapolation improves image quality and reduces metallic artefacts over SECT. • There were considerable differences in monoenergy values among vendors and spine levels. • Use of individualised monoenergy values is indicated for different metallic hardware devices.

  14. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  15. Adherent zirconia films by reactive ion implantation

    International Nuclear Information System (INIS)

    Bunker, S.N.; Armini, A.J.

    1993-01-01

    Conventional methods of forming ceramic coatings on metal substrates, such as CVD or plasma spray, typically retain a sharp interface and may have adhesion problems. In order to produce a completely mixed interface for better adhesion, a method using reactive ion implantation was used which can grow a thick stoichiometric film of an oxide ceramic starting from inside the substrate. Zirconium oxide ceramic films have been produced by this technique using a high-energy zirconium ion beam in an oxygen gas ambient. Compositional data are shown based on Auger electron spectroscopy of the film. Tribological properties of the layer were determined from wear and friction measurements using a pin-on-disk test apparatus. The adhesion was measured both by a scratch technique as well as by thermal shock. Results show an extremely adherent ZrO 2 film with good tribological properties

  16. Thin polymer films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Silva, Paulo A.F.; Mota, Rogerio P.; Schreiner, Wido H.; Cruz, Nilson C.

    2005-01-01

    This work describes an investigation of the properties of polymer films prepared by plasma immersion ion implantation and deposition. Films were synthesized from low pressure benzene glow discharges, biasing the samples with 25 kV negative pulses. The total energy deposited in the growing layer was varied tailoring simultaneously pulse frequency and duty cycle. The effect of the pulse characteristics on the chemical composition and mechanical properties of the films was studied by X-ray photoelectron spectroscopy (XPS) and nanoindentation, respectively. Analysis of the deconvoluted C 1s XPS peaks demonstrated that oxygen was incorporated in all the samples. The chemical modifications induced structural reorganization, characterized by chain cross-linking and unsaturation, affecting material properties. Hardness and plastic resistance parameter increased under certain bombardment conditions. An interpretation is proposed in terms of the total energy delivered to the growing layer

  17. Reliable and energy-efficient communications for wireless biomedical implant systems.

    Science.gov (United States)

    Ntouni, Georgia D; Lioumpas, Athanasios S; Nikita, Konstantina S

    2014-11-01

    Implant devices are used to measure biological parameters and transmit their results to remote off-body devices. As implants are characterized by strict requirements on size, reliability, and power consumption, applying the concept of cooperative communications to wireless body area networks offers several benefits. In this paper, we aim to minimize the power consumption of the implant device by utilizing on-body wearable devices, while providing the necessary reliability in terms of outage probability and bit error rate. Taking into account realistic power considerations and wireless propagation environments based on the IEEE P802.l5 channel model, an exact theoretical analysis is conducted for evaluating several communication scenarios with respect to the position of the wearable device and the motion of the human body. The derived closed-form expressions are employed toward minimizing the required transmission power, subject to a minimum quality-of-service requirement. In this way, the complexity and power consumption are transferred from the implant device to the on-body relay, which is an efficient approach since they can be easily replaced, in contrast to the in-body implants.

  18. Search for an anomalous near-surface yield deficit in Rutherford backscattering spectra from implanted germanium and silicon

    International Nuclear Information System (INIS)

    Lawson, E.M.; Appleton, B.R.

    1983-09-01

    Rutherford backscattering and channelling analysis of high-dose, room-temperature, ion-implanted germanium has revealed an anomalous near-surface yield deficit. Implant dose and species dependencies and the effect of annealing have been examined. A marked loss of implanted impurity was also noted. The yield deficit is attributed to the absorption of oxygen and other light mass contaminants into a highly porous implanted layer upon exposure to air. Loss of implant species is attributed to enhanced sputtering effects

  19. Radiation damage in urania crystals implanted with low-energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Tien Hien, E-mail: tien-hien.nguyen@u-psud.fr [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Garrido, Frédérico; Debelle, Aurélien; Mylonas, Stamatis [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Nowicki, Lech [The Andrzej Soltan Institute for Nuclear Studies, Hoza 69, 00-681 Warsaw (Poland); Thomé, Lionel; Bourçois, Jérôme; Moeyaert, Jérémy [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France)

    2014-05-01

    Implantations with low-energy ions (470-keV Xe and 500-keV La with corresponding ion range Rp ∼ 85 nm and range straggling ΔRp ∼ 40 nm) have been performed to investigate both radiation and chemical effects due to the incorporation of different species in UO{sub 2} (urania) crystals. The presence of defects was monitored in situ after each implantation fluence step by the RBS/C technique. Channelling data were analysed afterwards by Monte-Carlo simulations with a model of defects involving (i) randomly displaced atoms (RDA) and (ii) distorted rows, i.e. bent channels (BC). While increasing the ion fluence, the accumulation of RDA leads to a steep increase of the defect fraction in the range from 4 to 7 dpa regardless of the nature of bombarding ions followed by a saturation plateau over a large dpa range. A clear difference of 6% in the yield of saturation plateaus between irradiation with Xe and La ions was observed. Conversely, the evolutions of the fraction of BC showed a similar regular increase with increasing ion fluence for both ions. Moreover, this increase is shifted to a larger fluence in comparison to the sharp increase step of RDA. This phenomenon indicates a continuous structural modification of UO{sub 2} crystals under irradiation unseen by the measurement of RDA.

  20. Relationship between wave energy and free energy from pickup ions in the Comet Halley environment

    Science.gov (United States)

    Huddleston, D. E.; Johnstone, A. D.

    1992-01-01

    The free energy available from the implanted heavy ion population at Comet Halley is calculated by assuming that the initial unstable velocity space ring distribution of the ions evolves toward a bispherical shell. Ultimately this free energy adds to the turbulence in the solar wind. Upstream and downstream free energies are obtained separately for the conditions observed along the Giotto spacecraft trajectory. The results indicate that the waves are mostly upstream propagating in the solar wind frame. The total free energy density always exceeds the measured wave energy density because, as expected in the nonlinear process of ion scattering, the available energy is not all immediately released. An estimate of the amount which has been released can be obtained from the measured oxygen ion distributions and again it exceeds that observed. The theoretical analysis is extended to calculate the k spectrum of the cometary-ion-generated turbulence.

  1. Study of the degradation and recovery of the optical properties of H+-implanted ZnO pigments

    Science.gov (United States)

    Li, Chundong; Lv, Jinpeng; Yao, Shulong; Hu, Jiangang; Liang, Zhiqiang

    2013-01-01

    We studied the influences of proton implantation and oxygen post-annealing on the optical properties of ZnO pigments using a combination of Raman scattering, positron annihilation and photoluminescence techniques. Raman scattering results indicated that oxygen vacancies and interstitial zinc defects were produced after proton implantation. Positron annihilation spectroscopy and photoluminescence measurements demonstrated that the zinc vacancies do not contribute to the optical absorption, but give rise to the visible band emission. Interestingly, the proton implantation induced optical degradation can be annealed out at 800 °C in an O2 atmosphere. We conclude that the defect centers responsible for the optical absorption are primarily composed of VO+, ionized Zni and ionized Oi.

  2. Study of the degradation and recovery of the optical properties of H+-implanted ZnO pigments

    International Nuclear Information System (INIS)

    Li, Chundong; Lv, Jinpeng; Yao, Shulong; Hu, Jiangang; Liang, Zhiqiang

    2013-01-01

    We studied the influences of proton implantation and oxygen post-annealing on the optical properties of ZnO pigments using a combination of Raman scattering, positron annihilation and photoluminescence techniques. Raman scattering results indicated that oxygen vacancies and interstitial zinc defects were produced after proton implantation. Positron annihilation spectroscopy and photoluminescence measurements demonstrated that the zinc vacancies do not contribute to the optical absorption, but give rise to the visible band emission. Interestingly, the proton implantation induced optical degradation can be annealed out at 800 °C in an O 2 atmosphere. We conclude that the defect centers responsible for the optical absorption are primarily composed of V O + , ionized Zn i and ionized O i

  3. Characteristic electron energy loss spectra in SiC buried layers formed by C+ implantation into crystalline silicon

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Kwok, R.W.M.

    1998-01-01

    SiC buried layers were synthesized by a metal vapor vacuum arc ion source, with C + ions implanted into crystalline Si substrates. According to X-ray photoelectron spectroscopy, the characteristic electron energy loss spectra of the SiC buried layers were studied. It was found that the characteristic electron energy loss spectra depend on the profiles of the carbon content, and correlate well with the order of the buried layers

  4. Variable energy positron beam study of Xe-implanted uranium oxide

    International Nuclear Information System (INIS)

    Djourelov, Nikolay; Marchand, Benoît; Marinov, Hristo; Moncoffre, Nathalie; Pipon, Yves; Nédélec, Patrick; Toulhoat, Nelly; Sillou, Daniel

    2013-01-01

    Doppler broadening of annihilation gamma-line combined with a slow positron beam was used to measure the momentum density distribution of annihilating pair in a set of sintered UO 2 samples. The influence of surface polishing, of implantation with 800-keV 136 Xe 2+ at fluences of 1 × 10 15 and 1 × 10 16 Xe cm −2 , and of annealing were studied by following the changes of the momentum distribution shape by means of S and W parameters. The program used for this purpose was VEPFIT. At the two fluences in the stoichiometric as-implanted UO 2 , formation of Xe bubbles was not detected. The post-implantation annealing and over-stoichiometry in the as-implanted sample caused Xe precipitation and formation of Xe bubbles.

  5. Study of structural modifications induced by ion implantation in austenitic stainless steel; Etude des modifications structurales induites par implantation ionique dans les aciers austenitiques

    Energy Technology Data Exchange (ETDEWEB)

    Dudognon, J

    2006-12-15

    Ion implantation in steels, although largely used to improve the properties of use, involves structural modifications of the surface layer, which remain still prone to controversies. Within this context, various elements (N, Ar, Cr, Mo, Ag, Xe and Pb) were implanted (with energies varying from 28 to 280 keV) in a 316LVM austenitic stainless steel. The implanted layer has a thickness limited to 80 nm and a maximum implanted element concentration lower than 10 % at. The analysis of the implanted layer by grazing incidence X ray diffraction highlights deformations of austenite lines, appearance of ferrite and amorphization of the layer. Ferritic phase which appears at the grain boundaries, whatever the implanted element, is formed above a given 'threshold' of energy (produced of fluency by the energy of an ion). The formation of ferrite as well as the amorphization of the implanted layer depends only on energy. In order to understand the deformations of austenite diffraction lines, a simulation model of these lines was elaborated. The model correctly describes the observed deformations (broadening, shift, splitting) with the assumption that the expansion of the austenitic lattice is due to the presence of implanted element and is proportional to the element concentration through a coefficient k'. This coefficient only depends on the element and varies linearly with its radius. (author)

  6. Applications of ion implantation for modifying the interactions between metals and hydrogen gas

    Science.gov (United States)

    Musket, R. G.

    1989-04-01

    Ion implantations into metals have been shown recently to either reduce or enhance interactions with gaseous hydrogen. Published studies concerned with modifications of these interactions are reviewed and discussed in terms of the mechanisms postulated to explain the observed changes. The interactions are hydrogenation, hydrogen permeation, and hydrogen embrittlement. In particular, the results of the reviewed studies are (a) uranium hydriding suppressed by implantation of oxygen and carbon, (b) hydrogen gettered in iron and nickel using implantation of titanium, (c) hydriding of titanium catalyzed by implanted palladium, (d) tritium permeation of 304L stainless steel reduced using selective oxidation of implanted aluminum, and (e) hydrogen attack of a low-alloy steel accelerated by implantation of helium. These studies revealed ion implantation to be an effective method for modifying the interactions of hydrogen gas with metals.

  7. Effect of titanium implantation on the mechanical properties of silicon nitride

    International Nuclear Information System (INIS)

    Fujihana, T.; Nishimura, O.; Yabe, K.; Hayashi, H.; Iwaki, M.

    1995-01-01

    A study has been made of the chemical composition and mechanical properties of Ti + -implanted Si 3 N 4 surface layers. Implantation of 48 Ti + was performed with doses ranging from 10 15 to 10 17 ions cm -2 at an energy of 150 keV, and at nearly room temperature. XPS was used to analyze the depth dependence of atomic fraction and chemical bonding states of Ti + -implanted layers. The near-surface hardness was measured by a Vickers hardness tester. The friction and wear properties were measured under unlubricated conditions at room temperature using a pin on disk-plane and a block on wheel-periphery configurations, in which the pin and wheel used were AISI 1045 and ASTM W1-9, respectively. Implanted Ti-atoms formed a gaussian distribution predicted by the range theory. At the average projected range, most of Ti-atoms existed as a metallic state and TiN bonding was also formed. Oxygen and carbon were found near the surface layers. In addition to the surface peak, O-atoms accumulated in front of the average projected range of Ti. Such O-atoms formed bonds of Si-oxides and Ti-oxides. Carbon existed as a graphitic state. With increasing a Ti dose, the near-surface hardness decreased, and the wear rate increased at the running-in stage having the high friction coefficient. The steady wear attributed to the stable friction coefficient appeared after the running-in stage, although such a stable stage was not observed for unimplanted Si 3 N 4 . The mechanism for the change in mechanical properties of Si 3 N 4 induced by Ti + -implantation will be discussed in relation to XPS characteristics

  8. Implantation and annealing effects in molecular organic films

    CERN Document Server

    Pakhomov, G L; Shashkin, V I; Tura, J M; Ribo, J M; Ottaviano, L

    2002-01-01

    Ion implantation and annealing effects on the surface of phthalocyanine thin films have been studied by means of atomic force microscopy and electron spectroscopy for chemical analysis. Both the topology and the chemical composition of the surface are affected by irradiation. The influence of the irradiation dose is shown. The chemical degradation of the layer results mainly in the decrease of atomic concentration of nitrogen and chlorine, and in the increase of atomic concentration of oxygen. At highest dose, carbonization becomes important. Furthermore, N 1s, C 1s and Cl 2p core levels testify that the formation of new chemical species occurs in implanted pthalocyanine films. All these processes are modified by subsequent heat treatment in different ways, depending on the applied implantation fluence.

  9. Energy transfers between N_2(A"3Σ) nitrogen metastable molecules and oxygen atoms and molecules

    International Nuclear Information System (INIS)

    De Souza, Antonio Rogerio

    1985-01-01

    This research thesis aims at determining reaction coefficients for energy transfers between nitrogen in its metastable status and oxygen atoms and molecules, the variation of these coefficients with respect to temperature (mainly in the 200-400 K range), products formed and more particularly branching rates of O("1S) oxygen and of NO_2. Reaction coefficients are experimentally determined by using the technique of post-discharge in flow. The experimental set-up is described and the study of the best operating conditions is reported. In the next part, the author reports the study of the energy transfer between nitrogen in its metastable status N_2(A) and oxygen molecules. Reaction coefficients are determined for the first three vibrational levels. The author then reports the study of the transfer of N_2(A) molecules on oxygen atoms in their fundamental status. Reactions coefficients and their variations are determined for the three first vibrational levels. The author describes the dissociation method and the method of detection of atomic oxygen. A kinetic model is proposed for the analysis of formed products during a post-discharge in flow, and the branching rate for the formation of O("1S) oxygen between 190 and 365 K is determined. The author finally discusses publications on the role of these reactions in the interpretation of some atmospheric phenomena

  10. X-ray diffraction studies of silicon implanted with high energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Wieteska, K [Institute of Atomic Energy, Otwock-Swierk, (Poland); Wierzchowski, W [Institute of Electronic Materials Technology, Warsaw, (Poland); Graeff, W [Hasylab at Desy, Hamburg, (Germany)

    1997-12-31

    The character of lattice deformation in silicon in implanted with high energy {alpha} particles and protons was studied with a number of X-ray methods. The experiments included double crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter depth distribution proportional to the vacancy-interstitial distribution coming from the Biersack-Ziegler theory. The theoretical rocking curves and density distribution in back-reflection double-crystal and section topography well corresponding to experimental results were calculated using numerical integration of the Takagi-Taupin equations. 9 figs.

  11. Subnanosecond timing with ion-implanted detectors

    International Nuclear Information System (INIS)

    Rijken, H.A.; Klein, S.S.; Jacobs, W.; Teeuwen, L.J.H.G.W.; Voigt, M.J.A. de; Burger, P.

    1992-01-01

    The energy resolution of ion-implanted charged particle detectors may be improved by decreasing the thickness of the implanted detector window to minimize energy straggling. Because of the resistance of this layer, however, the timing depends on the position of entry. Two solutions to this conflict between energy resolution and time resolution are studied: evaporating a very thin aluminum layer on the detector window and fabricating a rectangular detector. Both solutions are shown to be successful with a total time resolution in the low subnanosecond region (<200 ps). (orig.)

  12. Silicon exfoliation by hydrogen implantation: Actual nature of precursor defects

    Energy Technology Data Exchange (ETDEWEB)

    Kuisseu, Pauline Sylvia Pokam, E-mail: pauline-sylvia.pokam-kuisseu@cnrs-orleans.fr [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Pingault, Timothée; Ntsoenzok, Esidor [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Regula, Gabrielle [IM2NP-CNRS-Université d’Aix-Marseille, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Mazen, Frédéric [CEA-Leti, MINATEC campus, 17, rue des Martyrs, 38054 Grenoble Cedex 9 (France); Sauldubois, Audrey [Université d’Orléans, rue de Chartres – Collegium ST, 45067 Orléans (France); Andreazza, Caroline [ICMN-CNRS-Université d’Orléans, 1b rue de la férollerie, 45071 Orléans (France)

    2017-06-15

    MeV energy hydrogen implantation in silicon followed by a thermal annealing is a very smart way to produce high crystalline quality silicon substrates, much thinner than what can be obtained by diamond disk or wire sawing. Using this kerf-less approach, ultra-thin substrates with thicknesses between 15 µm and 100 µm, compatible with microelectronic and photovoltaic applications are reported. But, despite the benefits of this approach, there is still a lack of fundamental studies at this implantation energy range. However, if very few papers have addressed the MeV energy range, a lot of works have been carried out in the keV implantation energy range, which is the one used in the smart-cut® technology. In order to check if the nature and the growth mechanism of extended defects reported in the widely studied keV implantation energy range could be extrapolated in the MeV range, the thermal evolution of extended defects formed after MeV hydrogen implantation in (100) Si was investigated in this study. Samples were implanted at 1 MeV with different fluences ranging from 6 × 10{sup 16} H/cm{sup 2} to 2 × 10{sup 17} H/cm{sup 2} and annealed at temperatures up to 873 K. By cross-section transmission electron microscopy, we found that the nature of extended defects in the MeV range is quite different of what is observed in the keV range. In fact, in our implantation conditions, the generated extended defects are some kinds of planar clusters of gas-filled lenses, instead of platelets as commonly reported in the keV energy range. This result underlines that hydrogen behaves differently when it is introduced in silicon at high or low implantation energy. The activation energy of the growth of these extended defects is independent of the chosen fluence and is between (0.5–0.6) eV, which is very close to the activation energy reported for atomic hydrogen diffusion in a perfect silicon crystal.

  13. Development of industrial ion implantation technology

    International Nuclear Information System (INIS)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author)

  14. Development of industrial ion implantation technology

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Byung Hoh; Jung, Kee Suk; Kim, Wan; Song, Woo Sub; Hwang, Chul Kyoo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1994-02-01

    We developed an ion implanter fitted for the treatment of 12 inch or larger wafers to make 256 or higher Mega D-Ram wafers. Design features are dual usage of gas/solid for the ion source loading, production of multi-balanced ions, and the possible oxygen ion implantation. BOSII program was used for the ion optics calculation. Beams are triangularly scanned to wafers for the even implantation by a proper magnetic field application. More than 10 mA ion current is produced. For the efficient implantation to be made, target is made to rotate with tilted angle at a displaced axis. High speed tools, diamond tools, precision dies, and razor blades were implanted and the performance was evaluated after two or three times of line application. Of those materials studied, PCB drills and end mills are on the commercial treatment stages. Industrial materials as SKD-11, WC-Co, NAK-55 was compositely treated with ion beam and coating. Resultant properties were analyzed using AES, XRD, and TEM. For the case of xenon ions, excellent TiN coating resulted and its application to microcircuit lead frame increased the performance to more than 30 percent. 94 figs, 29 pix, 19 tabs, 50 refs. (Author).

  15. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  16. Implanted-tritium permeation experiments

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Holland, D.F.; Casper, L.A.; Hsu, P.Y.; Miller, L.G.; Schmunk, R.E.; Watts, K.D.; Wilson, C.J.; Kershner, C.J.; Rogers, M.L.

    1982-04-01

    In fusion reactors, charge exchange neutral atoms of tritium coming from the plasma will be implanted into the first wall and other interior structures. EG and G Idaho is conducting two experiments to determine the magnitude of permeation into the coolant streams and the retention of tritium in those structures. One experiment uses an ion gun to implant deuterium. The ion gun will permit measurements to be made for a variety of implantation energies and fluxes. The second experiment utilizes a fission reactor to generate a tritium implantation flux by the 3 He(n,p) 3 H reaction. This experiment will simulate the fusion reactor radiation environment. We also plan to verify a supporting analytical code development program, in progress, by these experiments

  17. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  18. Low-Flammability PTFE for High-Oxygen Environments

    Science.gov (United States)

    Walle, E.; Fallon, B.; Sheppard, A.

    1986-01-01

    Modified forming process removes volatile combustible materials. Flammability of cable-wrapping tape reduced by altering tape-manufacturing process. In new manufacturing process, tape formed by proprietary process of screw extrusion, followed by washing in solvent and drying. Tape then wrapped as before. Spectrogram taken after extrusion, washing, and drying shows lower hydrocarbon content. PTFE formed by new process suited to oxygen-rich environments. Safe in liquid oxygen of Space Shuttle tank and in medical uses; thin-wall shrinkable tubing in hospital test equipment, surgical instruments, and implants.

  19. Sorbent-based Oxygen Production for Energy Systems

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Vijay [Western Research Inst. (WRI), Laramie, WY (United States)

    2017-01-31

    Project DE-FE0024075 deals with the development of a moderate-temperature sorbent-based oxygen production technology. Sorbent-based oxygen production process utilizes oxygen-storage properties of Perovskites to (1) adsorb oxygen from air in a solid sorbent, and (2) release the adsorbed oxygen into a sweep gas such as CO2 and/or steam for gasification systems or recycled flue gas for oxy-combustion systems. Pure oxygen can be produced by the use of vacuum instead of a sweep gas to affect the pressure swing. By developing more efficient and stable, higher sorption capacity, newer class of materials operating at moderate temperatures this process represents a major advancement in air separation technology. Newly developed perovskite ceramic sorbent materials with order-disorder transition have a higher O2 adsorption capacity, potentially 200 °C lower operating temperatures, and up to two orders of magnitude faster desorption rates than those used in earlier development efforts. The performance advancements afforded by the new materials lead to substantial savings in capital investment and operational costs. Cost of producing oxygen using sorbents could be as much as 26% lower than VPSA and about 13% lower than a large cryogenic air separation unit. Cost advantage against large cryogenic separation is limited because sorbent-based separation numbers up sorbent modules for achieving the larger capacity.

  20. Yttrium ion implantation on the surface properties of magnesium

    International Nuclear Information System (INIS)

    Wang, X.M.; Zeng, X.Q.; Wu, G.S.; Yao, S.S.

    2006-01-01

    Owing to their excellent physical and mechanical properties, magnesium and its alloys are receiving more attention. However, their application has been limited to the high reactivity and the poor corrosion resistance. The aim of the study was to investigate the beneficial effects of ion-implanted yttrium using a MEVVA ion implanter on the surface properties of pure magnesium. Isothermal oxidation tests in pure O 2 at 673 and 773 K up to 90 min indicated that the oxidation resistance of magnesium had been significantly improved. Surface morphology of the oxide scale was analyzed using scanning electron microscope (SEM). Auger electron spectroscopy (AES) and X-ray diffraction (XRD) analyses indicated that the implanted layer was mainly composed of MgO and Y 2 O 3 , and the implanted layer with a duplex structure could decrease the inward diffusion of oxygen and reduce the outward diffusion of Mg 2+ , which led to improving the oxidation resistance of magnesium. Potentiodynamic polarization curves were used to evaluate the corrosion resistance of the implanted magnesium. The results show yttrium implantation could enhance the corrosion resistance of implanted magnesium compared with that of pure magnesium

  1. Engineering High-Energy Interfacial Structures for High-Performance Oxygen-Involving Electrocatalysis.

    Science.gov (United States)

    Guo, Chunxian; Zheng, Yao; Ran, Jingrun; Xie, Fangxi; Jaroniec, Mietek; Qiao, Shi-Zhang

    2017-07-10

    Engineering high-energy interfacial structures for high-performance electrocatalysis is achieved by chemical coupling of active CoO nanoclusters and high-index facet Mn 3 O 4 nano-octahedrons (hi-Mn 3 O 4 ). A thorough characterization, including synchrotron-based near edge X-ray absorption fine structure, reveals that strong interactions between both components promote the formation of high-energy interfacial Mn-O-Co species and high oxidation state CoO, from which electrons are drawn by Mn III -O present in hi-Mn 3 O 4 . The CoO/hi-Mn 3 O 4 demonstrates an excellent catalytic performance over the conventional metal oxide-based electrocatalysts, which is reflected by 1.2 times higher oxygen evolution reaction (OER) activity than that of Ru/C and a comparable oxygen reduction reaction (ORR) activity to that of Pt/C as well as a better stability than that of Ru/C (95 % vs. 81 % retained OER activity) and Pt/C (92 % vs. 78 % retained ORR activity after 10 h running) in alkaline electrolyte. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Implantable biochemical fuel cell. [German patent

    Energy Technology Data Exchange (ETDEWEB)

    Richter, G; Rao, J R

    1978-09-14

    Implantable biochemical fuel cells for the operation of heart pacemakers or artificial hearts convert oxidisable body substances such as glucose on the anode side and reduce the oxygen contained in body fluids at the cathode. The anode and cathode are separated by membranes which are impermeable to albumen and blood corpuscles in body fluids. A chemical shortcircuit cannot occur in practice if, according to the invention, one or more selective oxygen electrodes with carbon as catalyst are arranged so that the mixture which diffuses into the cell from body fluids during operation reaches the fuel cell electrode through the porous oxygen electrode. The membranes used must be permeable to water. Cellulose, polymerised polyvinyl alcohol or an ion exchanger with a buffering capacity between pH5 and 8 act as permeable materials.

  3. Interaction of implanted deuterium and helium with beryllium: radiation enhanced oxidation

    International Nuclear Information System (INIS)

    Langley, R.A.

    1979-01-01

    The interaction of implanted deuterium and helium with beryllium is of significant interest in the application of first wall coatings and other components of fusion reactors. Electropolished polycrystalline beryllium was first implanted with an Xe backscatter marker at 1.98 MeV followed by either implantation with 5 keV diatomic deuterium or helium. A 2.0 MeV He beam was used to analyze for impurity buildup; namely oxygen. The oxide layer thickness was found to increase linearly with increasing implant fluence. A 2.5 MeV H + beam was used to depth profile the D and He by ion backscattering. In addition the retention of the implant was measured as a function of the implant fluence. The mean depth of the implant was found to agree with theoretical range calculations. Scanning electron microscopy was used to observe blister formation. No blisters were observed for implanted D but for implanted He blisters occurred at approx. 1.75 x 10 17 He cm -2 . The blister diameter increased with increasing implant fluence from about 0.8 μm at 10 18 He cm -2 to 5.5 μm at 3 x 10 18 He cm -2

  4. SU-F-T-126: Microdosimetic Evaluation of Proton Energy Distributions in the Vicinity of Metal Implants

    Energy Technology Data Exchange (ETDEWEB)

    Heczko, S; McAuley, GA; Slater, JM [Loma Linda University, Loma Linda, CA (United States); Wroe, A [Loma Linda University, Loma Linda, CA (United States); Loma Linda University Medical Center, Loma Linda, CA (United States)

    2016-06-15

    Purpose: To evaluate the impact of titanium and surgical stainless steel implants on the microscopic dose distribution in proton treatment plans Methods: Geant4 Monte Carlo simulations were used to analyze the microdosimetric distribution of proton radiation in the vicinity of 3.1 mm thick CP Grade 4 titanium (Ti) or 316 stainless steel (SS316) plates in a water phantom. Additional simulations were performed using either water, or water with a density equivalent to the respective metals (Tiwater, SS316water) (to reflect common practice in treatment planning). Implants were placed at the COM of SOBPs of 157 MeV (range of ∼15 cm in water) protons with 30 or 60 mm modulation. Primary and secondary particle dose and fluence, frequency-weighted and dose-weighted average lineal energy, average radiation quality factor, dose equivalent and energy deposition histograms in the plate vicinity were compared. Results: Preliminary results show frequency-weighted (yf) and dose-weighted lineal energy (yd) was increased downstream of the Ti plate (yf = 3.1 keV/µm; yd = 5.5 keV/µm) and Tiwater (yf = 4.1 keV/µm; yd = 6.8 keV/µm) compared to that of water (ie, the absence of a plate) (yf = 2.5 keV/µm; yd = 4.5 keV/µm). In addition, downstream proton dose deposition was also elevated due to the presence of the Ti plate or Tiwater. The additional dose deposited at higher lineal energy implies that tissues downstream of the plate will receive a higher dose equivalent. Detailed analyses of the Ti, Tiwater, SS316, and SS316 water simulations will be presented. Conclusion: The presence of high-density materials introduces changes in the spatial distribution of radiation in the vicinity of an implant. Further work quantifying these effects could be incorporated into future treatment planning systems resulting in more accurate treatment plans. This project was sponsored with funding from the Department of Defense (DOD # W81XWH-10-2-0192).

  5. SU-F-T-126: Microdosimetic Evaluation of Proton Energy Distributions in the Vicinity of Metal Implants

    International Nuclear Information System (INIS)

    Heczko, S; McAuley, GA; Slater, JM; Wroe, A

    2016-01-01

    Purpose: To evaluate the impact of titanium and surgical stainless steel implants on the microscopic dose distribution in proton treatment plans Methods: Geant4 Monte Carlo simulations were used to analyze the microdosimetric distribution of proton radiation in the vicinity of 3.1 mm thick CP Grade 4 titanium (Ti) or 316 stainless steel (SS316) plates in a water phantom. Additional simulations were performed using either water, or water with a density equivalent to the respective metals (Tiwater, SS316water) (to reflect common practice in treatment planning). Implants were placed at the COM of SOBPs of 157 MeV (range of ∼15 cm in water) protons with 30 or 60 mm modulation. Primary and secondary particle dose and fluence, frequency-weighted and dose-weighted average lineal energy, average radiation quality factor, dose equivalent and energy deposition histograms in the plate vicinity were compared. Results: Preliminary results show frequency-weighted (yf) and dose-weighted lineal energy (yd) was increased downstream of the Ti plate (yf = 3.1 keV/µm; yd = 5.5 keV/µm) and Tiwater (yf = 4.1 keV/µm; yd = 6.8 keV/µm) compared to that of water (ie, the absence of a plate) (yf = 2.5 keV/µm; yd = 4.5 keV/µm). In addition, downstream proton dose deposition was also elevated due to the presence of the Ti plate or Tiwater. The additional dose deposited at higher lineal energy implies that tissues downstream of the plate will receive a higher dose equivalent. Detailed analyses of the Ti, Tiwater, SS316, and SS316 water simulations will be presented. Conclusion: The presence of high-density materials introduces changes in the spatial distribution of radiation in the vicinity of an implant. Further work quantifying these effects could be incorporated into future treatment planning systems resulting in more accurate treatment plans. This project was sponsored with funding from the Department of Defense (DOD # W81XWH-10-2-0192).

  6. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  7. The influence of nitrogen implantation on the electrical properties of amorphous IGZO

    Science.gov (United States)

    Zhan, S. L.; Zhao, M.; Zhuang, D. M.; Fu, E. G.; Cao, M. J.; Guo, L.; Ouyang, L. Q.

    2017-09-01

    In this study, nitrogen (N) implantation was adopted to regulate the carrier concentration and the Hall mobility of amorphous Indium Gallium Zinc Oxide (a-IGZO) films. The Hall Effect measurement demonstrates that the increase of implantation fluence can decrease the carrier concentration of a-IGZO by three orders to 1016 cm-3, which attributes to the reduction of oxygen defects. The addition of nitrogen atoms can result in the increase of Hall mobility to 9.93 cm2/V s with the subsequent decrease to 6.49 cm2/V s, which reflects the reduction of the average potential barrier height (φ0) to be 22.0 meV with subsequent increase to 74.8 meV in the modified percolation model. The results indicate that nitrogen can serve as an effective p-type dopants and oxygen defect suppressors. N-implantation with an appropriate fluence can effectively improve the Hall mobility and reduce the carrier concentration simultaneously.

  8. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  9. Modifications of the hydriding kinetics of a metallic surface, using ion implantation

    International Nuclear Information System (INIS)

    Crusset, D.

    1992-10-01

    Uranium reacts with hydrogen to form an hydride: this reaction leads to the total destruction of the material. To modify the reactivity of an uranium surface towards hydrogen, ion implantation was selected, among surface treatments techniques. Four elements (carbon, nitrogen, oxygen, sulfur) were implanted to different doses. The results show a modification of the hydriding mechanism and a significant increase in the reaction induction times, notably at high implantation doses. Several techniques (SIMS, X-rays phases analysis and residual stresses determination) were used to characterize the samples and understand the different mechanisms involved

  10. Effects of non-implantation factors on survival rate of microbe irradiated by low-energy N+

    International Nuclear Information System (INIS)

    Yang Tianyou; Chen Linhai; Qin Guangyong; Li Zongwei; Su Mingjie; Wang Yanping; Chang Shenghe; Huo Yuping; Li Zongyi

    2006-01-01

    The effects of non-implantation factors, such as drying, vacuum and the staying time of the E.coli LE392 culture, on survival rate of E.coli LE392 were studied when E.coli LE392 was irradiated by the low-energy N + . The results show that the survival rate of E.coli LE392 does not reduce steadily all the time but rapidly drops sometime during drying. The survival rate of E.coli LE392 declines sharply as the samples are placed in vacuum, then falls in distinctively with increasing of time. the tolerance of E.coli LE392 towards vacuum increasingly strengthens when the E.coli LE392 culture is placed at room temperature. Preparing the culture in batchs can ensure the consistency of the irradiated samples and avoid errors caused by the inconsistent samples. When the non-implantation factors are controlled, E.coli is implanted by 30 kev N + of 1 x 10 14 cm -2 and 3 x 10 15 cm -2 , respectively. And the results show no difference in the E.coli's survival rates between batchs at the same dose. (authors)

  11. Multilayer out-of-plane overlap electrostatic energy harvesting structure actuated by blood pressure for powering intra-cardiac implants

    Science.gov (United States)

    Deterre, M.; Risquez, S.; Bouthaud, B.; Dal Molin, R.; Woytasik, M.; Lefeuvre, E.

    2013-12-01

    We present an innovative multilayer out-of-plane electrostatic energy harvesting device conceived in view of scavenging energy from regular blood pressure in the heart. This concept involves the use of a deformable packaging for the implant in order to transmit the blood pressure to the electrostatic transducer. As shown in previous work, this is possible by using thin metal micro-bellows structure, providing long term hermeticity and high flexibility. The design of the electrostatic device has overcome several challenges such as the very low frequency of the mechanical excitation (1 to 2 Hz) and the small available room in the medical implant. Analytical and numerical models have been used to maximize the capacitance variation, and hence to optimize the energy conversion. We have theoretically shown that a 25-layer transducer with 6-mm diameter and 1-mm thickness could harvest at least 20 mJ per heart beat in the left ventricle under a maximum voltage of 75 V. These results show that the proposed concept is promising and could power the next generation of leadless pacemakers.

  12. Application of Bacterial Laccases for Sustainable Energy Production

    DEFF Research Database (Denmark)

    Lörcher, Samuel; Koschorreck, Katja; Shipovskov, Stepan

    for a number of special applications, such as disposable implantable power suppliers for medical sensor-transmitters and drug delivery/activator systems and self-powered enzyme-based biosensors; and they do offer practical advantages of using abundant organic raw materials for clean and sustainable energy...... in vivo glucose monitoring in diabetes patients). However, the most attractive are oxygen-reducing enzymes such as blue-copper-containing laccases coupled to electrodes, which provide the 4e- bioelectroreduction of O2 to H2O (1.23 V vs. NHE) at potentials approaching the thermodynamic ones. Exploitation...... of laccase-based biocathodes in the biofuel cells and in the hybrid biobattery-type or photovoltaic power sources could essentially broaden their application, enabling extraction of energy from the sea water/water dissolved oxygen. Here we demonstrate up to 0.8 mW cm-2 extracted power densities and 1.5 month...

  13. Applications of ion implantation for modifying the interactions between metals and hydrogen gas

    International Nuclear Information System (INIS)

    Musket, R.G.

    1989-01-01

    Ion implantations into metals have been shown recently to either reduce or enhance interactions with gaseous hydrogen. Published studies concerned with modifications of these interactions are reviewed and discussed in terms of the mechanisms postulated to explain the observed changes. The interactions are hydrogenation, hydrogen permeation and hydrogen embrittlement. In particular, the results of the reviewed studies are 1. uranium hydriding suppressed by implantation of oxygen and carbon, 2. hydrogen gettered in iron and nickel using implantation of titanium, 3. hydriding of titanium catalyzed by implanted palladium, 4. tritium permeation of 304L stainless steel reduced using selective oxidation of implanted aluminum, and 5. hydrogen attack of a low-alloy steel accelerated by implantation of helium. These studies revealed ion implantation to be an effective method for modifying the interactions of hydrogen gas with metals. (orig.)

  14. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  15. Mechanical properties of ion-implanted alumina

    International Nuclear Information System (INIS)

    Pope, S.G.

    1988-01-01

    Monolithic oxide ceramics are being proposed as structural materials in continuously more-demanding applications. The demands being placed on these materials have caused concern pertaining to the continued growth of oxide structural ceramics due to limited toughness. The realization that ceramic strength and toughness can be affected by surface conditions has led to many surface-modification techniques, all striving to improve the mechanical properties of ceramics. Along these lines, the effects of ion implantation as a surface modification technique for improvement of the mechanical properties of alumina were studied. Initially, sapphire samples were implanted with elemental ion species that would produce oxide precipitates within the sapphire surface when annealed in an oxygen-containing atmosphere. Optimum conditions as determined from implantation into sapphire were then used to modify a polycrystalline alumina. Specific modifications in microhardness, indentation fracture toughness and flexure strength are reported for the parameters studied. Microstructure and phase relationships related to modified surfaces properties are also reported

  16. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  17. Effect of oxygen defects on transport properties and Tc of YBa2Cu3O6+x: Displacement energy for plane and chain oxygen and implications for irradiation-induced resistivity and Tc suppression

    International Nuclear Information System (INIS)

    Tolpygo, S.K.; Lin, J.; Gurvitch, M.; Hou, S.Y.; Phillips, J.M.

    1996-01-01

    The effect of electron irradiation with energy from 20 to 120 keV on the resistivity, Hall coefficient, and superconducting critical temperature T c of YBa 2 Cu 3 O 6+x thin films has been studied. The threshold energy of incident electrons for T c suppression has been found, and the displacement energy for oxygen in CuO 2 planes has been evaluated as 8.4 eV for irradiation along the c axis. The kinetics of production of the in-plane oxygen vacancies has been studied and found to be governed by athermal recombination of vacancy-interstitial pairs. The evaluated recombination volume constitutes about 21 unit cells. The increase in the T-linear resistivity slope and Hall coefficient at unchanged T c was observed in irradiations with subthreshold incident energies and was ascribed to the effect of chain oxygen displacements. The upper limit on the displacement energy for chain oxygen has been estimated as 2.8 eV. In x=0.9 samples the T c suppression by in-plane oxygen defects and increase in residual resistivity have been found to be, respectively, -280 K and 1.5 mΩcm per defect in the unit cell. It is shown that T c suppression by in-plane oxygen defects is a universal function of the transport impurity scattering rate and can be described qualitatively by pair-breaking theory for d-wave superconductors with nonmagnetic potential scatterers. Evaluation of scattering and pair-breaking rates as well as the scattering cross section and potential is given. A comparison of the influence of in-plane oxygen defects on transport properties with that of other in-plane defects, such as Zn and Ni substitutions for Cu, is also made. copyright 1996 The American Physical Society

  18. Silicon on insulator by ion implantation: A dream or a reality

    Energy Technology Data Exchange (ETDEWEB)

    Pinizzotto, R F [Ultrastructure, Inc., Richardson, TX (USA)

    1985-03-01

    One method of producing a silicon-on-oxide structure is to implant a sufficient dose of oxygen into a conventional silicon substrate to synthesize a layer of SiO/sub 2/ just below the surface. If the proper implant conditions are maintained, the top silicon layer will be a single crystal. The required doses are large, but the use of commercially available medium current implanters can reduce the time to 25 minutes per wafer. This adds about $ 10 per chip in process related costs. A very large implanter (100 mA analyzed beam) may not be the best approach for scaling up the process. The power in the beam and the power required for operation of the machine are both enormous. A more conservative approach of using multiple medium current implanters may prove to be more economical in the long run.

  19. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  20. Positron implantation studies of YBa2Cu3O7-x

    International Nuclear Information System (INIS)

    Anwand, W.; Brauer, G.; Coleman, P.G.; Knights, A.P.; Teske, K.; Schuster, G.; Rudolph, K.

    1995-01-01

    Slow positron implantation spectroscopy has been applied to the study of a set of samples of superconducting YBa 2 Cu 3 O 7-x . The depth-sensitive positron response to oxygen content and preparation history is presented. An outline is given of the experimental method - solid electrolyte coulometry in carrier-gas mode - used to measure oxygen exchange for the same set of samples. (orig.)

  1. Atomic scale simulations of hydrogen implantation defects in hydrogen implanted silicon - smart Cut technology

    International Nuclear Information System (INIS)

    Bilteanu, L.

    2010-12-01

    The topic of this thesis is related to the implantation step of the SmartCut TM technology. This technology uses hydrogen in order to transfer silicon layers on insulating substrates. The transfer is performed through a fracture induced by the formation of bidimensional defects well known in literature as 'platelets'. More exactly, we have studied within this thesis work the defects appearing in the post implant state and the evolution of the implantation damage towards a state dominated by platelets. The study is organised into two parts: in the first part we present the results obtained by atomic scale simulations while in the second part we present an infrared spectroscopy study of the evolution of defects concentrations after annealing at different temperatures. The atomic scale simulations have been performed within the density functional theory and they allowed us to compute the formation energies and the migration and recombination barriers. The defects included in our study are: the atomic and diatomic interstitials, the hydrogenated vacancies and multi-vacancies and the several platelets models. The obtained energies allowed us to build a stability hierarchy for these types of defects. This scheme has been confronted with some infrared analysis on hydrogen implanted silicon samples (37 keV) in a sub-dose regime which does not allow usually the formation of platelets during the implantation step. The analysis of the infrared data allowed the detailed description of the defects concentration based on the behaviour of peaks corresponding to the respective defects during annealing. The comparison between these evolutions and the energy scheme obtained previously allowed the validation of an evolution scenario of defects towards the platelet state. (author)

  2. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  3. Plasma immersion ion implantation for reducing metal ion release

    Energy Technology Data Exchange (ETDEWEB)

    Diaz, C.; Garcia, J. A.; Maendl, S.; Pereiro, R.; Fernandez, B.; Rodriguez, R. J. [Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain); Leibniz-Institut fuer Oberflaechenmodifizierung, 04318 Leipzig (Germany); Universidad de Oviedo, Departamento Quimica Fisica y Analitica (Spain); Centro de Ingenieria Avanzada de Superficies AIN, 31191, Cordovilla-Pamplona (Spain)

    2012-11-06

    Plasma immersion ion implantation of Nitrogen and Oxygen on CoCrMo alloys was carried out to improve the tribological and corrosion behaviors of these biomedical alloys. In order to optimize the implantation results we were carried experiments at different temperatures. Tribocorrosion tests in bovine serum were used to measure Co, Cr and Mo releasing by using Inductively Coupled Plasma Mass Spectrometry analysis after tests. Also, X-ray Diffraction analysis were employed in order to explain any obtained difference in wear rate and corrosion tests. Wear tests reveals important decreases in rate of more than one order of magnitude for the best treatment. Moreover decreases in metal release were found for all the implanted samples, preserving the same corrosion resistance of the unimplanted samples. Finally this paper gathers an analysis, in terms of implantation parameters and achieved properties for industrial implementation of these treatments.

  4. Configuration and mobility of hydrogen implanted in aluminium

    International Nuclear Information System (INIS)

    Bugeat, J.P.; Chami, A.C.; Ligeon, E.

    1976-01-01

    Localization methods through channeling and nuclear reaction analysis using low energy ion beam were applied to the study of deuterium and hydrogen implanted in aluminium single crystals. It was shown that implanted hydrogen occupies a tetrahedral site in the lattice as far as the implantation temperature is lower than 175K. This fact is interpreted by considering an interaction between hydrogen and monovacancies created during the implantation [fr

  5. Energy requirements for gestation and lactation in a delayed implanter, the American badger.

    Science.gov (United States)

    Harlow, H J; Miller, B; Ryder, T; Ryder, L

    1985-01-01

    1. Two adult female badgers were water-deprived and/or fasted during the last one-half to two-thirds of pregnancy while a third pregnant female received water ad libitum and was fed meat and dog food. 2. The litter size, birth weights, post partum energy consumption, growth rate, development of homeothermy, tooth eruption and date of weaning, as well as other developmental characteristics, were not significantly different between cubs born to the fed or fasted mothers. 3. The energy demands for gestation are apparently small and are accommodated by fat reserves during periods of food deprivation. However, the calculated energy for lactation is 16 times that of gestation, which is quadruple the expenditure for most mammals. 4. As a result of delayed implantation, the length of gestation and litter weights of badgers are considerably below those predicted from allometric equations. 5. The period of lactation is therefore extremely critical to the survival of both the cubs and lactating adults which require heavy fat stores and possibly torpor to ensure sufficient energy availability during prolonged winter food shortage.

  6. Formation and surface strengthening of nano-meter embedded phases during high energy Ti implanted and annealed steel

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Cui Ping; Wang Ping

    1999-12-01

    Observation of transmission electron microscope indicated that the phase of FeTi 2 with 3.5-20 nm in diameter is embedded in high energy Ti implanted layer. It's average diameter is 8 nm. The nano-meter phases were embedded among dislocations and grain boundary in Ti implanted steel at 400 degree C. The wear resistance has been improved. The embedded structure can be changed obviously after annealing. The structure has been changed slightly after annealing at annealing temperature raging from 350 to 500 degree C, however, the hardness and wear resistance of implanted layer increased greatly. The maximum of hardness is obtained when the sample was annealed at 500 degree C for 20 min. It can be seen that the strengthening of implanted layer has enhanced by annealing indeed. The grain boundary and dislocations have disappeared; the diameter of nano-meter phases increased from 10 nm to 15 nm after annealing at temperature of 750 degree C and 1000 degree respectively. The average densities of nano-meter phases are 8.8 x 10 10 /cm 2 and 6.5 x 10 10 /cm 2 respectively for both of annealing temperature. The hardness decreased obviously when the annealing temperature is greater than 750 degree C

  7. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  8. Interaction of implanted deuterium and helium with beryllium: radiation enhanced oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Langley, R.A.

    1979-01-01

    The interaction of implanted deuterium and helium with beryllium is of significant interest in the application of first wall coatings and other components of fusion reactors. Electropolished polycrystalline beryllium was first implanted with an Xe backscatter marker at 1.98 MeV followed by either implantation with 5 keV diatomic deuterium or helium. A 2.0 MeV He beam was used to analyze for impurity buildup; namely oxygen. The oxide layer thickness was found to increase linearly with increasing implant fluence. A 2.5 MeV H/sup +/ beam was used to depth profile the D and He by ion backscattering. In addition the retention of the implant was measured as a function of the implant fluence. The mean depth of the implant was found to agree with theoretical range calculations. Scanning electron microscopy was used to observe blister formation. No blisters were observed for implanted D but for implanted He blisters occurred at approx. 1.75 x 10/sup 17/ He cm/sup -2/. The blister diameter increased with increasing implant fluence from about 0.8 ..mu..m at 10/sup 18/ He cm/sup -2/ to 5.5 ..mu..m at 3 x 10/sup 18/ He cm/sup -2/.

  9. Study of the degradation and recovery of the optical properties of H{sup +}-implanted ZnO pigments

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chundong [Key Laboratory on Materials Behavior and Evaluation Technology in Space Environment, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Lv, Jinpeng, E-mail: hitlv@yahoo.com.cn [Key Laboratory on Materials Behavior and Evaluation Technology in Space Environment, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China); Yao, Shulong; Hu, Jiangang; Liang, Zhiqiang [Key Laboratory on Materials Behavior and Evaluation Technology in Space Environment, School of Materials Science and Engineering, Harbin Institute of Technology, Harbin 150001 (China)

    2013-01-15

    We studied the influences of proton implantation and oxygen post-annealing on the optical properties of ZnO pigments using a combination of Raman scattering, positron annihilation and photoluminescence techniques. Raman scattering results indicated that oxygen vacancies and interstitial zinc defects were produced after proton implantation. Positron annihilation spectroscopy and photoluminescence measurements demonstrated that the zinc vacancies do not contribute to the optical absorption, but give rise to the visible band emission. Interestingly, the proton implantation induced optical degradation can be annealed out at 800 °C in an O{sub 2} atmosphere. We conclude that the defect centers responsible for the optical absorption are primarily composed of V{sub O}{sup +}, ionized Zn{sub i} and ionized O{sub i}.

  10. Study of low energy hydrogen ion implantation effects in silicon: electric properties

    International Nuclear Information System (INIS)

    Barhdadi, A.

    1985-07-01

    Several analysis methods have been developed: hydrogen distribution analysis by nuclear reaction, crystal disorder evaluation by R.B.S., chemical impurities identification by SIMS, optical measurements, electrical characterization of surface barriers, deep level spectroscopy DLTS, ... All these analyses have been made after implantation then after thermal annealing. A model explaining the effect of implantation then after thermal annealing. A model explaining the effect of implanted hydrogen is proposed, the implantation creates an important quantity of defects in a thin layer near the surface; a chemical attack removes them. In Schottky devices, this layer has a basic role on carrier transport phenomena. Other results are given, some of them allow to give an account of the passivation by hydrogen implantation [fr

  11. A rechargeable carbon-oxygen battery

    DEFF Research Database (Denmark)

    2014-01-01

    The invention relates to a rechargeable battery and a method to operate a rechargeable battery having high efficiency and high energy density for storing energy. The battery stores electrical energy in the bonds of carbon and oxygen atoms by converting carbon dioxide into solid carbon and oxygen....

  12. Implantable power generation system utilizing muscle contractions excited by electrical stimulation.

    Science.gov (United States)

    Sahara, Genta; Hijikata, Wataru; Tomioka, Kota; Shinshi, Tadahiko

    2016-06-01

    An implantable power generation system driven by muscle contractions for supplying power to active implantable medical devices, such as pacemakers and neurostimulators, is proposed. In this system, a muscle is intentionally contracted by an electrical stimulation in accordance with the demands of the active implantable medical device for electrical power. The proposed system, which comprises a small electromagnetic induction generator, electrodes with an electrical circuit for stimulation and a transmission device to convert the linear motion of the muscle contractions into rotational motion for the magneto rotor, generates electrical energy. In an ex vivo demonstration using the gastrocnemius muscle of a toad, which was 28 mm in length and weighed 1.3 g, the electrical energy generated by the prototype exceeded the energy consumed for electrical stimulation, with the net power being 111 µW. It was demonstrated that the proposed implantable power generation system has the potential to replace implantable batteries for active implantable medical devices. © IMechE 2016.

  13. Reduction of dark-band-like metal artifacts caused by dental implant bodies using hypothetical monoenergetic imaging after dual-energy computed tomography.

    Science.gov (United States)

    Tanaka, Ray; Hayashi, Takafumi; Ike, Makiko; Noto, Yoshiyuki; Goto, Tazuko K

    2013-06-01

    The aim of this study was to evaluate the usefulness of hypothetical monoenergetic images after dual-energy computed tomography (DECT) for assessment of the bone encircling dental implant bodies. Seventy-two axial images of implantation sites clipped out from image data scanned using DECT in dual-energy mode were used. Subjective assessment on reduction of dark-band-like artifacts (R-DBAs) and diagnosability of adjacent bone condition (D-ABC) in 3 sets of DECT images-a fused image set (DE120) and 2 sets of hypothetical monoenergetic images (ME100, ME190)-was performed and the results were statistically analyzed. With regards to R-DBAs and D-ABC, significant differences among DE120, ME100, and ME190 were observed. The ME100 and ME190 images revealed more artifact reduction and diagnosability than those of DE120. DECT imaging followed by hypothetical monoenergetic image construction can cause R-DBAs and increase D-ABC and may be potentially used for the evaluation of postoperative changes in the bone encircling implant bodies. Copyright © 2013 Elsevier Inc. All rights reserved.

  14. Real-time in vivo detection of biomaterial-induced reactive oxygen species

    OpenAIRE

    Liu, Wendy F.; Ma, Minglin; Bratlie, Kaitlin M.; Dang, Tram T.; Langer, Robert; Anderson, Daniel G.

    2010-01-01

    The non-specific host response to implanted biomaterials is often a key challenge of medical device design. To evaluate biocompatibility, measuring the release of reactive oxygen species (ROS) produced by inflammatory cells in response to biomaterial surfaces is a well-established method. However, the detection of ROS in response to materials implanted in vivo has not yet been demonstrated. Here, we develop a bioluminescence whole animal imaging approach to observe ROS released in response to...

  15. Comparison Between Cerebral Tissue Oxygen Tension and Energy Metabolism in Experimental Subdural Hematoma

    DEFF Research Database (Denmark)

    Nielsen, Troels Halfeld; Engell, Susanne I; Johnsen, Rikke Aagaard

    2011-01-01

    BACKGROUND: An experimental swine model (n = 7) simulating an acute subdural hematoma (ASDH) was employed (1) to explore the relation between the brain tissue oxygenation (PbtO(2)) and the regional cerebral energy metabolism as obtained by microdialysis, and (2) to define the lowest level of PbtO(2...

  16. Oxygen effect and intracellular oxygen content (adaptation hypothesis)

    Energy Technology Data Exchange (ETDEWEB)

    Yarmonenko, S P; Ehpshtejn, I M [Akademiya Meditsinskikh Nauk SSSR, Moscow. Onkologicheskij Tsentr

    1977-01-01

    Experimental data indicating that a radiomodifying action of hypoxia is dependent on the ''prehistory'' of the irradiated object are considered. This dependence manifests itself in a decreased protective action of acute hypoxia on the hypoxia-adapted objects. To explain this a hypothesis is proposed connecting a degree of cell radiosensitivity modification, determined by the oxygen effect, with the intracellular oxygen content. The latter, in accord with current ideas, is regulated by variations in the diffusion resistance to oxygen shown by the cytoplasmic membranes depending on the energy level of the cell and the degree of its oxygenation.

  17. Oxygen effect and intracellular oxygen content (adaptation hypothesis)

    International Nuclear Information System (INIS)

    Yarmonenko, S.P.; Ehpshtejn, I.M.

    1977-01-01

    Experimental data indicating that a radiomodifying action of hypoxia is dependent on the ''prehistory'' of the irradiated object are considered. This dependence manifests itself in a decreased protective action of acute hypoxia on the hypoxia-adapted objects. To explain this a hypothesis is proposed connecting a degree of cell radiosensitivity modification, determined by the oxygen effect, with the intracellular oxygen content. The latter, in accord with current ideas, is regulated by variations in the diffusion resistance to oxygen shown by the cytoplasmic membranes depending on the energy level of the cell and the degree of its oxygenation

  18. Modeling of oxygen transport and cellular energetics explains observations on in vivo cardiac energy metabolism.

    Directory of Open Access Journals (Sweden)

    Daniel A Beard

    2006-09-01

    Full Text Available Observations on the relationship between cardiac work rate and the levels of energy metabolites adenosine triphosphate (ATP, adenosine diphosphate (ADP, and phosphocreatine (CrP have not been satisfactorily explained by theoretical models of cardiac energy metabolism. Specifically, the in vivo stability of ATP, ADP, and CrP levels in response to changes in work and respiratory rate has eluded explanation. Here a previously developed model of mitochondrial oxidative phosphorylation, which was developed based on data obtained from isolated cardiac mitochondria, is integrated with a spatially distributed model of oxygen transport in the myocardium to analyze data obtained from several laboratories over the past two decades. The model includes the components of the respiratory chain, the F0F1-ATPase, adenine nucleotide translocase, and the mitochondrial phosphate transporter at the mitochondrial level; adenylate kinase, creatine kinase, and ATP consumption in the cytoplasm; and oxygen transport between capillaries, interstitial fluid, and cardiomyocytes. The integrated model is able to reproduce experimental observations on ATP, ADP, CrP, and inorganic phosphate levels in canine hearts over a range of workload and during coronary hypoperfusion and predicts that cytoplasmic inorganic phosphate level is a key regulator of the rate of mitochondrial respiration at workloads for which the rate of cardiac oxygen consumption is less than or equal to approximately 12 mumol per minute per gram of tissue. At work rates corresponding to oxygen consumption higher than 12 mumol min(-1 g(-1, model predictions deviate from the experimental data, indicating that at high work rates, additional regulatory mechanisms that are not currently incorporated into the model may be important. Nevertheless, the integrated model explains metabolite levels observed at low to moderate workloads and the changes in metabolite levels and tissue oxygenation observed during graded

  19. Hypoxia-Inducible Factor-1α: A Potential Factor for the Enhancement of Osseointegration between Dental Implants and Tissue-Engineered Bone

    Directory of Open Access Journals (Sweden)

    Duohong Zou

    2011-07-01

    Full Text Available Introduction: Tissue-engineered bones are widely utilized to protect healthy tissue, reduce pain, and increase the success rate of dental implants. one of the most challenging obstacles lies in obtaining effective os-seointegration between dental implants and tissue-engineered structures. Deficiencies in vascularization, osteogenic factors, oxygen, and other nutrients inside the tissue-engineered bone during the early stages following implantation all inhibit effective osseointe-gration. Oxygen is required for aerobic metabolism in bone and blood vessel tissues, but oxygen levels inside tissue-engineered bone are not suf-ficient for cell proliferation. HIF-1α is a pivotal regulator of hypoxic and ischemic vascular responses, driving transcriptional activation of hundreds of genes involved in vascular reactivity, angiogenesis, arteriogenesis, and osteogenesis.The hypothesis: Hypoxia-Inducible Factor-1α seems a potential factor for the enhancement of osseointegration between dental implants and tissue-engineered bone.Evaluation of the hypothesis: Enhancement of HIF-1α protein expression is recognized as the most promising approach for angiogenesis, because it can induce multiple angiogenic targets in a coordinated manner. Therefore, it will be a novel potential therapeutic methods targeting HIF-1α expression to enhance osseointegration be-tween dental implants and tissue-engineered bone.

  20. ECR ion source for variable energy cyclotron

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Dasgupta, B; Mallik, C; Das, S K; Bandopadhaya, D K; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1995-09-01

    Some performance characteristics of 6.4 GHz two stage ECR ion source which was under development at this centre is presented. The present ion source will facilitate acceleration of light heavy ions with the existing k=130 variable energy cyclotron. Multiply charged heavy ion (MCHI) beam from the source will also be utilized for atomic physics studies. Oxygen beam has already been used for ion implantation studies. The external injection system under development is nearing completion. Heavy ion beam from cyclotron is expected by end of 1995. (author).

  1. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  2. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  3. Feasibility study of self-lubrication by chlorine implantation

    International Nuclear Information System (INIS)

    Akhajdenung, T.; Aizawa, T.; Yoshitake, M.; Mitsuo, A.

    2003-01-01

    Implantation of chlorine into titanium nitride (TiN) coating on the high-speed steel substrate has succeeded in significant reduction of wear rate and friction coefficient for original TiN under dry wear condition. Through precise investigation on the surface reaction in the wear track, in situ formation of oxygen-deficient titanium oxides was found to play a role as a lubricious oxide. In the present paper, this self-lubrication mechanism is further investigated for various wearing conditions. For wide range of sliding speed and normal load in the wear map, the wear volume of a counter material is actually reduced with comparison to the un-implanted TiN. Effect of the ion implantation dose on this self-lubrication mechanism is also studied for practical use. Some comments are made on further application of this self-lubrication to manufacturing

  4. RAPD analysis of alfalfa DNA mutation via N+ implantation

    International Nuclear Information System (INIS)

    Li Yufeng; Huang Qunce; Yu Zengliang; Liang Yunzhang

    2003-01-01

    Germination capacity of alfalfa seeds under low energy N + implantation manifests oscillations going down with dose strength. From analyzing alfalfa genome DNA under low energy N + implantation by RAPD (Random Amplified Polymorphous DNA), it is recommended that 30 polymorphic DNA fragments be amplified with 8 primers in total 100 primers, and fluorescence intensity of the identical DNA fragment amplified by RAPD is different between CK and treatments. Number of different polymorphic DNA fragments between treatment and CK via N + implantation manifests going up with dose strength

  5. GC-MS analysis of ethanol solution with D2O as solvent implanted by low energy N+

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Yu Zengliang

    2001-10-01

    Low energy ions were produced by N 2 glow-discharge. The positive ones were accelerated into ethanol solution with D 2 O as solvent to induce chemical reactions. GC-MS analysis showed that DCH 2 CH 2 OH, HOCH 2 CH 2 OD were produced by such kind of implantation. Thus, it was proved that the reaction was mainly caused by radicals generated by decomposition of water molecules, D . and . OD played an important role in the process. Meanwhile, CH 3 COOD and HOCH 2 CH 2 ND 2 were also found in the products, so it was concluded that the reaction was carried out under an oxidative atmosphere, which was thought to be probably related to . OD radicals, too. The capture of D from D 2 O by N + to form . ND 2 radicals was not only an initial step to produce HOCH 2 CH 2 ND 2 but also served as a probable pattern for 'nitrogen deposition'. All these were helpful to reveal the mechanism of the reaction induced by low energy N + implanting into solution samples

  6. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  7. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N.; Evans, P.J.; Noorman, J.T. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L.S. [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J. [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1996-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  8. Heavy ion time-of-flight ERDA of high dose metal implanted germanium

    Energy Technology Data Exchange (ETDEWEB)

    Dytlewski, N; Evans, P J; Noorman, J T [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Wielunski, L S [Commonwealth Scientific and Industrial Research Organisation (CSIRO), Lindfield, NSW (Australia). Div. of Applied Physics; Bunder, J [New South Wales Univ., Wollongong, NSW (Australia). Wollongong Univ. Coll

    1997-12-31

    With the thick Ge substrates used in ion implantation, RBS can have difficulty in resolving the mass-depth ambiguities when analysing materials composed of mixtures of elements with nearly equal masses. Additional, and complimentary techniques are thus required. This paper reports the use of heavy ion time-of-flight elastic recoil detection analysis (ToF- ERDA), and conventional RBS in the analysis of Ge(100) implanted with high dose Ti and Cu ions from a MEWA ion source . Heavy ion ToF ERDA has been used to resolve, and profile the implanted transition metal species, and also to study any oxygen incorporation into the sample resulting from the implantation, or subsequential reactions with air or moisture. This work is part of a study on high dose metal ion implantation of medium atomic weight semiconductor materials. 13 refs., 6 figs.

  9. Computer simulation for the formation of the insulator layer of silicon-on-insulator devices by N sup + and O sup + Co-implantation

    CERN Document Server

    Lin Qing; Xie Xin Yun; Lin Chenglu; Liu Xiang Hua

    2002-01-01

    A buried sandwiched layer consisting of silicon dioxide (upper part), silicon oxynitride (medium part) and silicon nitride (lower part) is formed by N sup + and O sup + co-implantation in silicon wafers at a constant temperature of 550 degree C. The microstructure is performed by cross-sectional transmission electron microscopy. To predict the quality of the buried sandwiched layer, the authors study the computer simulation for the formation of the SIMON (separated by implantation of oxygen and nitrogen) structure. The simulation program for SIMOX (separated by implantation of oxygen) is improved in order to be applied in O sup + and N sup + co-implantation on the basis of different formation mechanism between SIMOX and SIMNI (separated by implantation of nitrogen) structures. There is a good agreement between experiment and simulation results verifying the theoretical model and presumption in the program

  10. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    International Nuclear Information System (INIS)

    Xu Gang; Wang Xiaoteng; Gan Cailing; Fang Yanqiong; Zhang Meng

    2012-01-01

    Highlights: ► We analyzed biological effects of N + implantation on dry Jatropha curcas seed. ► N + implantation greatly decreased seedling survival rate. ► At doses beyond 15 × 10 16 ion cm −2 , biological repair took place. ► CAT was essential for H 2 O 2 removal. POD mainly functioned as seed was severely hurt. ► HAsA–GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N + with energy of 25 keV was applied to treat the dry seed at six different doses. N + beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 10 16 to 15 × 10 16 ions cm −2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 10 16 ion cm −2 , biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 10 16 ions cm −2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA–GSH cycle appeared to be for regeneration of HAsA.

  11. Real-time changes in hippocampal energy demands during a spatial working memory task.

    Science.gov (United States)

    Kealy, John; Bennett, Rachel; Woods, Barbara; Lowry, John P

    2017-05-30

    Activity-dependent changes in hippocampal energy consumption have largely been determined using microdialysis. However, real-time recordings of brain energy consumption can be more accurately achieved using amperometric sensors, allowing for sensitive real-time monitoring of concentration changes. Here, we test the theory that systemic pre-treatment with glucose in rats prevents activity-dependent decreases in hippocampal glucose levels and thus enhances their performance in a spontaneous alternation task. Male Sprague Dawley rats were implanted into the hippocampus with either: 1) microdialysis probe; or 2) an oxygen sensor and glucose biosensor co-implanted together. Animals were pre-treated with either saline or glucose (250mg/kg) 30min prior to performing a single 20-min spontaneous alternation task in a +-maze. There were no significant differences found between either treatment group in terms of spontaneous alternation performance. Additionally, there was a significant difference found between treatment groups on hippocampal glucose levels measured using microdialysis (a decrease associated with glucose pre-treatment in control animals) but not amperometry. There were significant increases in hippocampal oxygen during +-maze exploration. Combining the findings from both methods, it appears that hippocampal activity in the spontaneous alternation task does not cause an increase in glucose consumption, despite an increase in regional cerebral blood flow (using oxygen supply as an index of blood flow) and, as such, pre-treatment with glucose does not enhance spontaneous alternation performance. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Characterization of junctions produced by medium-energy ion implantation in silicon; Caracterisation de jonctions obtenues par implantation d'ions de moyenne energie dans le silicium

    Energy Technology Data Exchange (ETDEWEB)

    Monfret, A [Commissariat a l' Energie Atomique, Grenoble (France). Centre d' Etudes Nucleaires

    1970-07-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [French] On examine les caracteristiques de diodes obtenues par implantation d'ions bore et phosphore de 20 keV dans le silicium. On met en evidence le comportement particulier de ces diodes et on presente certaines correlations avec la technologie. L'expose comprend trois parties: - la premiere partie est consacree au calcul du profil de dopage en mode canalise ou non. - Dans la deuxieme partie, on decrit l'appareillage et les conditions experimentales d'implantation. - Dans la troisieme partie, on presente les resultats experimentaux. On propose un modele schematique pour expliquer le comportement des tenues en tension des diodes. L'etude des courants de fuite en fonction de la preparation des echantillons et des traitements thermiques permet de determiner des conditions optimales d'elaboration. Au cours de cette etude on met en evidence l'influence de deux stades de recuit: le premier a 150 deg. C pour les implantations de

  13. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  14. Formation of metal-alloy nanoclusters in silica by ion implantation and annealing in selected atmosphere

    International Nuclear Information System (INIS)

    Battaglin, G.; Cattaruzza, E.; Gonella, F.; Mattei, G.; Mazzoldi, P.; Sada, C.; Zhang, X.

    2000-01-01

    The formation of binary alloy clusters in sequentially ion-implanted Au-Cu or Au-Ag silica glass has been studied as a function of the annealing atmosphere. Alloy formation has been evidenced in the as-implanted samples. The selective influence on Au precipitation of either oxygen or hydrogen annealing atmosphere governs the alloy cluster formation and the thermal stability

  15. Controlling Oxygen Mobility in Ruddlesden–Popper Oxides

    Directory of Open Access Journals (Sweden)

    Dongkyu Lee

    2017-03-01

    Full Text Available Discovering new energy materials is a key step toward satisfying the needs for next-generation energy conversion and storage devices. Among the various types of oxides, Ruddlesden–Popper (RP oxides (A2BO4 are promising candidates for electrochemical energy devices, such as solid oxide fuel cells, owing to their attractive physicochemical properties, including the anisotropic nature of oxygen migration and controllable stoichiometry from oxygen excess to oxygen deficiency. Thus, understanding and controlling the kinetics of oxygen transport are essential for designing optimized materials to use in electrochemical energy devices. In this review, we first discuss the basic mechanisms of oxygen migration in RP oxides depending on oxygen nonstoichiometry. We then focus on the effect of changes in the defect concentration, crystallographic orientation, and strain on the oxygen migration in RP oxides. We also briefly review their thermal and chemical stability. Finally, we conclude with a perspective on potential research directions for future investigation to facilitate controlling oxygen ion migration in RP oxides.

  16. Effects of radiation damage in ion-implanted thin films of metal-oxide superconductors

    International Nuclear Information System (INIS)

    Clark, G.J.; Marwick, A.D.; Koch, R.H.; Laibowitz, R.B.

    1987-01-01

    The effects of ion implantation into thin films of the superconductor YBa 2 Cu 3 O/sub x/ have been studied. Using oxygen and arsenic ions, the superconducting transition temperature T/sub c/, the change in room-temperature electrical properties from conducting to insulating, and the crystalline to amorphous structural transition in the films were studied as a function of ion dose. The deposited energy required to change T/sub c/ was found to be 0.2 eV/atom, while 1--2 eV/atom was required to affect the room-temperature conductivity, and 4 eV/atom to render the film amorphous. This hierarchy of effects is discussed in terms of the damage mechanisms involved

  17. Surface and local electronic structure modification of MgO film using Zn and Fe ion implantation

    Science.gov (United States)

    Singh, Jitendra Pal; Lim, Weon Cheol; Lee, Jihye; Song, Jonghan; Lee, Ik-Jae; Chae, Keun Hwa

    2018-02-01

    Present work is motivated to investigate the surface and local electronic structure modifications of MgO films implanted with Zn and Fe ions. MgO film was deposited using radio frequency sputtering method. Atomic force microscopy measurements exhibit morphological changes associated with implantation. Implantation of Fe and Zn ions leads to the reduction of co-ordination geometry of Mg2+ ions in host lattice. The effect is dominant at bulk of film rather than surface as the large concentration of implanted ions resides inside bulk. Moreover, the evidences of interaction among implanted ions and oxygen are not being observed using near edge fine structure measurements.

  18. Particle energy loss spectroscopy and SEM studies of topography development in thin aluminium films implanted with high doses of helium

    International Nuclear Information System (INIS)

    Barfoot, K.M.; Webb, R.P.; Donnelly, S.E.

    1984-01-01

    Development of topography in thin (55.5 μg cm -2 ) self-supporting aluminium films, caused by high fluence (approx. 10 17 ions cm -2 ) irradiation with 5 keV helium ions, has been observed. This has been achieved by measuring the topography-enhanced energy straggling of 0.40 MeV 4 He + ions transmitted through the foils and detected with an electrostatic analyser of resolution 0.2 keV. Features, about 0.7 μm in width, are observed with scanning electron microscopy. TRIM Monte Carlo calculations of the implantation processes are performed in order to follow the helium implantation and damage depth distributions. It is deduced that a form of thin film micro-wrinkling has occurred which is caused by the relief of stress brought about by the implantation of helium. (author)

  19. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  20. Structural Changes in Polymer Films by Fast Ion Implantation

    Science.gov (United States)

    Parada, M. A.; Minamisawa, R. A.; Muntele, C.; Muntele, I.; De Almeida, A.; Ila, D.

    2006-11-01

    In applications from food wrapping to solar sails, polymers films can be subjected to intense charged panicle bombardment and implantation. ETFE (ethylenetetrafluoroethylene) with high impact resistance is used for pumps, valves, tie wraps, and electrical components. PFA (tetrafluoroethylene-per-fluoromethoxyethylene) and FEP (tetrafluoroethylene-hexa-fluoropropylene) are sufficiently biocompatible to be used as transcutaneous implants since they resist damage from the ionizing space radiation, they can be used in aerospace engineering applications. PVDC (polyvinyllidene-chloride) is used for food packaging, and combined with others plastics, improves the oxygen barrier responsible for the food preservation. Fluoropolymers are also known for their radiation dosimetry applications, dependent on the type and energy of the radiation, as well as of the beam intensity. In this work ETFE, PFA, FEP and PVDC were irradiated with ions of keV and MeV energies at several fluences and were analyzed through techniques as RGA, OAP, FTIR, ATR and Raman spectrophotometry. CF3 is the main specie emitted from PFA and FEP when irradiated with MeV protons. H and HF are released from ETFE due to the broken C-F and C-H bonds when the polymer is irradiated with keV Nitrogen ions and protons. At high fluence, especially for keV Si and N, damage due to carbonization is observed with the formation of hydroperoxide and polymer dehydroflorination. The main broken bonds in PVDC are C-O and C-Cl, with the release of Cl and the formation of double carbon bonds. The ion fluence that causes damage, which could compromise fluoropolymer film applications, has been determined.

  1. Creation of oxygen-enriched layers at the surface of GaAs single crystal

    International Nuclear Information System (INIS)

    Kulik, M.; Maczka, D.; Kobzev, A.P.

    1999-01-01

    The optical properties and the element depth profiles at the (100) plane high resistant and noncomposite GaAs single crystals implanted with In ions were investigated. The results have been compared with those obtained for virgin samples. The optic properties for all of the samples (implanted and not implanted, annealed and not annealed) have been measured using the ellipsometric method. The element depth profiles for the same samples have been obtained by the RBS and NRA techniques. It has been shown that the post-implantation annealing at a temperature more than 600 deg C leads to a ten time increase in contents of oxygen atoms in the implanted layer with respect to the not annealed sample. The thickness of the transparence layer at the surface of GaAs single crystal increases also after implantation with In ions and subsequent annealing

  2. Dependence of Initial Oxygen Concentration on Ozone Yield Using Inductive Energy Storage System Pulsed Power Generator

    Science.gov (United States)

    Go, Tomio; Tanaka, Yasushi; Yamazaki, Nobuyuki; Mukaigawa, Seiji; Takaki, Koichi; Fujiwara, Tamiya

    Dependence of initial oxygen concentration on ozone yield using streamer discharge reactor driven by an inductive energy storage system pulsed power generator is described in this paper. Fast recovery type diodes were employed as semiconductor opening switch to interrupt a circuit current within 100 ns. This rapid current change produced high-voltage short pulse between a secondary energy storage inductor. The repetitive high-voltage short pulse was applied to a 1 mm diameter center wire electrode placed in a cylindrical pulse corona reactor. The streamer discharge successfully occurred between the center wire electrode and an outer cylinder ground electrode of 2 cm inner diameter. The ozone was produced with the streamer discharge and increased with increasing pulse repetition rate. The ozone yield changed in proportion to initial oxygen concentration contained in the injected gas mixture at 800 ns forward pumping time of the current. However, the decrease of the ozone yield by decreasing oxygen concentration in the gas mixture at 180 ns forward pumping time of the current was lower than the decrease at 800 ns forward pumping time of the current. This dependence of the initial oxygen concentration on ozone yield at 180 ns forward pumping time is similar to that of dielectric barrier discharge reactor.

  3. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  4. Early stages of oxidation of ion-implanted nickel at high temperature

    International Nuclear Information System (INIS)

    Peide, Z.; Grant, W.A.; Procter, R.P.M.

    1981-01-01

    The early stages of oxidation of nickel implanted with nickel, chromium, or lithium ions in oxygen at 1100 0 C have been studied using various electron-optical techniques. The unimplanted metal develops initially a fine-grained, convoluted scale having a ridged, cellular structure. Subsequently, the oxide grains increase in size significantly and oxidation becomes predominantly controlled by diffusion of Ni /sup 2+/ ions across a compact, columnar scale. Implantation of the surface with nickel ions has no significant effect on the initial oxidation behavior. However, after implantation with chromium or lithium ions, the development of the NiO scale is, in the early stages of oxidation, suppressed by formation of NiCr 2 O 4 or LiO 2 nodules, respectively. Subsequently, the implanted species are incorporated into the steady-state NiO scale where they dope the oxide and thus influence the diffusion rate of Ni /sup 2+/ ions through it. As would be predicted, the steady-state oxidation rate of chromium-implanted nickel is increased while that of lithium- implanted nickel is decreased compared with that of the unimplanted metal

  5. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  6. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  7. Effects of incident energy and angle on carbon cluster ions implantation on silicon substrate: a molecular dynamics study

    Science.gov (United States)

    Wei, Ye; Sang, Shengbo; Zhou, Bing; Deng, Xiao; Chai, Jing; Ji, Jianlong; Ge, Yang; Huo, Yuanliang; Zhang, Wendong

    2017-09-01

    Carbon cluster ion implantation is an important technique in fabricating functional devices at micro/nanoscale. In this work, a numerical model is constructed for implantation and implemented with a cutting-edge molecular dynamics method. A series of simulations with varying incident energies and incident angles is performed for incidence on silicon substrate and correlated effects are compared in detail. Meanwhile, the behavior of the cluster during implantation is also examined under elevated temperatures. By mapping the nanoscopic morphology with variable parameters, numerical formalism is proposed to explain the different impacts on phrase transition and surface pattern formation. Particularly, implantation efficiency (IE) is computed and further used to evaluate the performance of the overall process. The calculated results could be properly adopted as the theoretical basis for designing nano-structures and adjusting devices’ properties. Project supported by the National Natural Science Foundation of China (Nos. 51622507, 61471255, 61474079, 61403273, 51502193, 51205273), the Natural Science Foundation of Shanxi (Nos. 201601D021057, 201603D421035), the Youth Foundation Project of Shanxi Province (Nos. 2015021097), the Doctoral Fund of MOE of China (No. 20131402110013), the National High Technology Research and Development Program of China (No. 2015AA042601), and the Specialized Project in Public Welfare from The Ministry of Water Resources of China (Nos. 1261530110110).

  8. Surface characterization of the cement for retention of implant supported dental prostheses: In vitro evaluation of cement roughness and surface free energy

    Energy Technology Data Exchange (ETDEWEB)

    Brajkovic, Denis [Clinic for Dentistry, Department of Maxillofacial Surgery, Faculty of Medical Sciences, University of Kragujevac, Svetozara Markovica 69, 34000 Kragujevac (Serbia); Antonijevic, Djordje; Milovanovic, Petar [Laboratory for Anthropology, Institute of Anatomy, School of Medicine, University of Belgrade, Dr. Subotica 4/2, 11000 Belgrade (Serbia); Kisic, Danilo [Laboratory for Atomic Physics, Institute of Nuclear Sciences “Vinca”, University of Belgrade, Belgrade (Serbia); Zelic, Ksenija; Djuric, Marija [Laboratory for Anthropology, Institute of Anatomy, School of Medicine, University of Belgrade, Dr. Subotica 4/2, 11000 Belgrade (Serbia); Rakocevic, Zlatko, E-mail: zlatkora@vinca.rs [Laboratory for Atomic Physics, Institute of Nuclear Sciences “Vinca”, University of Belgrade, Belgrade (Serbia)

    2014-08-30

    Graphical abstract: - Highlights: • Surface free energy and surface roughness influence bacterial adhesion. • Bacterial colonization causes periimplantitis and implant loss. • Zinc-based, glass-ionomers and resin-cements were investigated. • Glass-ionomers-cements present the lowest values of surface free energy and roughness. • Glass-ionomer-cements surface properties result with reduced bacterial adhesion. - Abstract: Background: Material surface free energy and surface roughness strongly influence the bacterial adhesion in oral cavity. The aim of this study was to analyze these two parameters in various commercial luting agents used for cementation of implant restorations. Materials and methods: Zinc-based, glass-ionomers, resin modified glass-ionomer and resin-cements were investigated. Contact angle and surface free energy were measured by contact angle analyzer using Image J software program. Materials’ average roughness and fractal dimension were calculated based on Atomic Force Microscope topography images. Results: Zinc phosphate cements presented significantly higher total surface free energy and significantly lower dispersive component of surface free energy compared to other groups, while resin-cements showed significantly lower polar component than other groups. The surface roughness and fractal dimension values were statistically the highest in the zinc phosphate cements and the lowest for the glass-ionomers cements. Conclusion: Glass-ionomers-cements presented lower values of surface free energy and surface roughness than zinc phosphate and resin cements, indicating that their surfaces are less prone to biofilm adhesion. Practical implications: Within limitations of an in vitro trial, our results indicate that glass-ionomers-cements could be the cements of choice for fixation of cement retained implant restorations due to superior surface properties compared to zinc phosphate and resin cements, which may result in reduced plaque formation

  9. Surface characterization of the cement for retention of implant supported dental prostheses: In vitro evaluation of cement roughness and surface free energy

    International Nuclear Information System (INIS)

    Brajkovic, Denis; Antonijevic, Djordje; Milovanovic, Petar; Kisic, Danilo; Zelic, Ksenija; Djuric, Marija; Rakocevic, Zlatko

    2014-01-01

    Graphical abstract: - Highlights: • Surface free energy and surface roughness influence bacterial adhesion. • Bacterial colonization causes periimplantitis and implant loss. • Zinc-based, glass-ionomers and resin-cements were investigated. • Glass-ionomers-cements present the lowest values of surface free energy and roughness. • Glass-ionomer-cements surface properties result with reduced bacterial adhesion. - Abstract: Background: Material surface free energy and surface roughness strongly influence the bacterial adhesion in oral cavity. The aim of this study was to analyze these two parameters in various commercial luting agents used for cementation of implant restorations. Materials and methods: Zinc-based, glass-ionomers, resin modified glass-ionomer and resin-cements were investigated. Contact angle and surface free energy were measured by contact angle analyzer using Image J software program. Materials’ average roughness and fractal dimension were calculated based on Atomic Force Microscope topography images. Results: Zinc phosphate cements presented significantly higher total surface free energy and significantly lower dispersive component of surface free energy compared to other groups, while resin-cements showed significantly lower polar component than other groups. The surface roughness and fractal dimension values were statistically the highest in the zinc phosphate cements and the lowest for the glass-ionomers cements. Conclusion: Glass-ionomers-cements presented lower values of surface free energy and surface roughness than zinc phosphate and resin cements, indicating that their surfaces are less prone to biofilm adhesion. Practical implications: Within limitations of an in vitro trial, our results indicate that glass-ionomers-cements could be the cements of choice for fixation of cement retained implant restorations due to superior surface properties compared to zinc phosphate and resin cements, which may result in reduced plaque formation

  10. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  11. Oxygen consumption rate v. rate of energy utilization of fishes: a comparison and brief history of the two measurements.

    Science.gov (United States)

    Nelson, J A

    2016-01-01

    Accounting for energy use by fishes has been taking place for over 200 years. The original, and continuing gold standard for measuring energy use in terrestrial animals, is to account for the waste heat produced by all reactions of metabolism, a process referred to as direct calorimetry. Direct calorimetry is not easy or convenient in terrestrial animals and is extremely difficult in aquatic animals. Thus, the original and most subsequent measurements of metabolic activity in fishes have been measured via indirect calorimetry. Indirect calorimetry takes advantage of the fact that oxygen is consumed and carbon dioxide is produced during the catabolic conversion of foodstuffs or energy reserves to useful ATP energy. As measuring [CO2 ] in water is more challenging than measuring [O2 ], most indirect calorimetric studies on fishes have used the rate of O2 consumption. To relate measurements of O2 consumption back to actual energy usage requires knowledge of the substrate being oxidized. Many contemporary studies of O2 consumption by fishes do not attempt to relate this measurement back to actual energy usage. Thus, the rate of oxygen consumption (M˙O2 ) has become a measurement in its own right that is not necessarily synonymous with metabolic rate. Because all extant fishes are obligate aerobes (many fishes engage in substantial net anaerobiosis, but all require oxygen to complete their life cycle), this discrepancy does not appear to be of great concern to the fish biology community, and reports of fish oxygen consumption, without being related to energy, have proliferated. Unfortunately, under some circumstances, these measures can be quite different from one another. A review of the methodological history of the two measurements and a look towards the future are included. © 2016 The Fisheries Society of the British Isles.

  12. Microhardness of boron, titanium, and nitrogen implanted steel

    International Nuclear Information System (INIS)

    Sowa, M.; Szyszko, W.; Sielanko, J.; Glusiec, L.

    1989-01-01

    Mechanically polished steel (1H18N9T) and (15GTM) samples are implanted with boron, titanium, and nitrogen ions, with dose ranging from 10 16 to 10 17 ions/cm 2 . The implantation energy varied from 100 to 250 keV. Implanted samples are heat-treated at 400 to 800 0 C in vacuum. The microhardness of implanted samples is measured by using a Hanneman tester with loads ranging from 2 to 40 g. The influence of annealing temperature on microhardness of the implanted layers is determined. The diffusion of boron from the implanted layers is also investigated by using the secondary ion mass spectrometer. The diffusion coefficients of boron in steel are determined. (author)

  13. Synthesis of 5'-CMP and 5'-dCMP in aqueous solution induced by low energy ions implantation

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2001-01-01

    Low energy N + ions produced by N 2 are accelerated and then introduced into aqueous solution to induce chemical reactions. This process avoids the need of a vacuum chamber and makes it possible to investigate the actions of low energy ions in aqueous solution. In order to explore prebiotic synthesis of nucleotide via reaction between low energy ions and aqueous solution under the primitive earth conditions, low energy N + is implanted into aqueous solution containing cytosine, D-ribose, D-2-deoxyribose and NH 4 H 2 PO 4 . It is confirmed that 5'-CMP and 5'-dCMP are produced by HPLC and 1 H-NMR analyses. The relation between yields of 5'-CMP and 5'-dCMP and irradiation time has been obtained

  14. Implantable oxygen microelectrode suitable for medium-term investigations of post-surgical tissue hypoxia and changes in tumor tissue oxygenation produced by radiotherapy

    International Nuclear Information System (INIS)

    Burke, T.R.; Johnson, R.J.; Krishnamsetty, C.B.; Sako, K.; Karakousis, C.; Wojtas, F.

    1980-01-01

    Teflon-covered platinum oxygen probes were used to monitor tissue oxygen levels in post-surgical cancer patients and those treated with radiotherapy. Progressive wound healing was usually accompanied by a decrease in tissue pO2. Radiotherapy produced a slight increase in pO2 while hyperthermia effected a significant increase in the oxygen level during 100% oxygen breathing

  15. Assessment of the effects of laser photobiomodulation on peri-implant bone repair through energy dispersive x-ray fluorescence: A study of dogs

    Science.gov (United States)

    Menezes, R. F.; Araújo, N. C.; Carneiro, V. S. M.; Moreno, L. M.; Guerra, L. A. P.; Santos Neto, A. P.; Gerbi, M. E. M.

    2016-03-01

    Bone neoformation is essential in the osteointegration of implants and has been correlated with the repair capacity of tissues, the blood supply and the function of the cells involved. Laser therapy accelerates the mechanical imbrication of peri-implant tissue by increasing osteoblastic activity and inducing ATP, osteopontin and the expression of sialoproteins. Objective: The aim of the present study was to assess peri-implant bone repair using the tibia of dogs that received dental implants and laser irradiation (AsGaAl 830nm - 40mW, CW, f~0.3mm) through Energy Dispersive X-ray Fluorescence (EDXRF). Methodology: Two groups were established: G1 (Control, n=20; two dental implants were made in the tibia of each animal; 10 animals); G2 (Experimental, n=20, two dental implants were made in the tibia each animal + Laser therapy; 10 animals). G2 was irradiated every 48 hours for two weeks, with a total of seven sessions. The first irradiation was conducted during the surgery, at which time a point in the surgical alveolus was irradiated prior to the placement of the implant and four new spatial positions were created to the North, South, East and West (NSEW) of the implant. The subsequent sessions involved irradiation at these four points and at one infra-implant point (in the direction of the implant apex). Each point received 4J/cm2 and a total dose of 20J/cm2 per session (treatment dose=140J/cm2). The specimens were removed 15 and 30 days after the operation for the EDXRF test. The Mann- Whitney statistical test was used to assess the results. Results: The increase in the calcium concentration in the periimplant region of the irradiated specimens (G2) was statistically significant (p repair in the peri-implant region.

  16. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  17. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  18. Non-self-sustained electric discharge in oxygen gas mixtures: singlet delta oxygen production

    CERN Document Server

    Ionin, A A; Kotkov, A A; Kochetov, I V; Napartovich, A P; Seleznev, L V; Sinitsyn, D V; Hager, G D

    2003-01-01

    The possibility of obtaining a high specific input energy in an electron-beam sustained discharge ignited in oxygen gas mixtures O sub 2 : Ar : CO (or H sub 2) at the total gas pressures of 10-100 Torr was experimentally demonstrated. The specific input energy per molecular component exceeded approx 6 kJ l sup - sup 1 atm sup - sup 1 (150 kJ mol sup - sup 1) as a small amount of carbon monoxide was added into a gas mixture of oxygen and argon. It was theoretically demonstrated that one might expect to obtain a singlet delta oxygen yield of 25% exceeding its threshold value needed for an oxygen-iodine laser operation at room temperature, when maintaining a non-self-sustained discharge in oxygen gas mixtures with molecular additives CO, H sub 2 or D sub 2. The efficiency of singlet delta oxygen production can be as high as 40%.

  19. The Synergistic Effect of Proteins and Reactive Oxygen Species on Electrochemical Behaviour of 316L Stainless Steel for Biomedical Applications

    Science.gov (United States)

    Simionescu, N.; Benea, L.; Dumitrascu, V. M.

    2018-06-01

    The stainless steels, especially 316L type is the most used metallic biomaterials for biomedical applications due to their good biocompatibility, low price, excellent corrosion resistance, availability, easy processing and high strength. Due to these favorable properties 316L stainless steel has become the most attractive biomaterial for dental implants, stents and orthopedic implants. However an implant material in the human body is exposed to an action effect of other molecules, including proteins (such as albumin) and reactive oxygen species (such as hydrogen peroxide - H2O2 ) produced by bacteria and immune cells. In the literature there are few studies to follow the effect of proteins and reactive oxygen species on 316L stainless steel used as implant material and are still unclear. The degree of corrosion resistance is the first criterion in the use of a metallic biomaterial in the oral or body environment. The aim of this research work is to investigate the influence of proteins (albumin) and reactive oxygen species (H2O2 ) in combination, taking into account the synergistic effect of these two factors on 316L stainless steel. Albumin is present in the body near implants and reactive oxygen species could appear in inflammatory processes as well. The study shows that the presence of albumin and reactive species influences the corrosion resistance of 316L stainless steel in biological solutions. In this research work the corrosion behavior of 316L stainless steel is analyzed by electrochemical methods such as: open circuit potential (OCP), Electrochemical Impedance Spectroscopy (EIS). It was found that, the electrochemical results are in a good agreement with micro photographs taken before and after corrosion assays. The albumin and reactive oxygen species have influence on 316L stainless steel behavior.

  20. Oxygen exchange at gas/oxide interfaces: how the apparent activation energy of the surface exchange coefficient depends on the kinetic regime.

    Science.gov (United States)

    Fielitz, Peter; Borchardt, Günter

    2016-08-10

    In the dedicated literature the oxygen surface exchange coefficient KO and the equilibrium oxygen exchange rate [Fraktur R] are considered to be directly proportional to each other regardless of the experimental circumstances. Recent experimental observations, however, contradict the consequences of this assumption. Most surprising is the finding that the apparent activation energy of KO depends dramatically on the kinetic regime in which it has been determined, i.e. surface exchange controlled vs. mixed or diffusion controlled. This work demonstrates how the diffusion boundary condition at the gas/solid interface inevitably entails a correlation between the oxygen surface exchange coefficient KO and the oxygen self-diffusion coefficient DO in the bulk ("on top" of the correlation between KO and [Fraktur R] for the pure surface exchange regime). The model can thus quantitatively explain the range of apparent activation energies measured in the different regimes: in the surface exchange regime the apparent activation energy only contains the contribution of the equilibrium exchange rate, whereas in the mixed or in the diffusion controlled regime the contribution of the oxygen self-diffusivity has also to be taken into account, which may yield significantly higher apparent activation energies and simultaneously quantifies the correlation KO ∝ DO(1/2) observed for a large number of oxides in the mixed or diffusion controlled regime, respectively.

  1. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  2. Deep penetration of polonium implanted in cadmium sulfide

    International Nuclear Information System (INIS)

    Weinmann, E.

    1975-01-01

    The distribution of 25 keV 210 Po implanted into CdS platelets was determined by means of energy analysis of the α-particles emitted by the 210 Po. Two samples were implanted along an axial channeling direction, and one along a planar channeling direction. The resulting distributions did not conform to any of the existing range theories or, in the case of axial channeling, to existing diffusion theories. A new diffusion model was formulated and fitted to the experimental data. Good agreement was obtained between this model and experiment for both the axial and the planar channeling conditions. The stopping power of CdS for He ions was measured as a preliminary step to the Po distribution measurement. A new technique was used which consisted in measuring the energy spectrum of either He ions backscattered from Bi implanted in CdS or of α-particles emitted by 210 Po implanted in CdS. A thin layer was then etched off the surface of the sample and the energy spectrum was measured again. The stopping power was calculated from these two spectra and the known thickness of the removed layer. These measurements and calculations were made for energies ranging from 0.963 to 5.3 MeV with accuracies between 12 percent and 16 percent

  3. Comparison of proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra, Australian Capital Territory 0200 (Australia)

    2007-05-02

    We report and compare proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots (QDs). After ion implantation at 20-300 deg. C, the QDs are rapid thermally annealed at 850 deg. C for 30 s. Proton implantation induces less energy shift than P ion implantation for a given concentration of atomic displacements due to the more efficient dynamic annealing of the defects created by protons. The implantation-induced energy shift reaches a maximum value of about 260 meV for a dose of 5 x 10{sup 12} ions cm{sup -2} in the P ion implanted QDs, which also show narrower PL linewidths compared to the proton implanted QDs. We also report the effects of an InGaAs top cap layer on the ion implantation-induced QD intermixing and show that defect production and annihilation processes evolve differently in InGaAs and InP layers and vary with the implantation temperature. When the implantation is performed at higher temperatures, the energy shift of the P ion implanted QDs capped with an InP layer increases due to the reduction in larger defect cluster formation at higher temperatures, while the energy shift of the proton implanted QDs decreases due to increased dynamic annealing irrespective of their cap layers.

  4. Effect of annealing and oxide layer thickness on doping profiles shape of ''through-oxide'' implanted P+ ions in textured silicon

    International Nuclear Information System (INIS)

    El-Dessouki, M.S.; Galloni, R.

    1987-10-01

    Phosphorous ions at energies of 60+100 KeV, and doses (4+5)x10 15 atom/cm 2 have been implanted randomly through SiO 2 layers into textured silicon crystals. The penetration profiles of the P + ions have been determined by means of differential sheet resistivity and Hall-effect, together with the anodic oxidation stripping technique. The effect of the oxide layer thickness, annealing temperature on the junction properties has been studied. The damage produced by implantation, has also been investigated using transmission electron microscope (TEM). From the mobility measurements of the free carriers as a function of depth through the junction, two minima have been observed in through oxide implanted samples. The one nearer to the Si-SiO 2 interface (at about 200A from the interface) was related to the damage produced by the recoil oxygen atoms from the oxide layer into silicon. The deeper minimum is lying at ∼ 0.2μm from the interface and was attributed to the damage produced by the implanted P + ions, which caused clusters and defect loops after annealing. This damage was observed through TEM photographs. The optimum conditions for producing shallow junction without losing much of the implanted P + ions through the oxide layer were estimated. (author). 22 refs, 7 figs, 1 tab

  5. The effects of handling and storage on magnesium based implants — First results

    Energy Technology Data Exchange (ETDEWEB)

    Ullmann, Berit, E-mail: berit.ullmann@tiho-hannover.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Angrisani, Nina, E-mail: nina.angrisani@tiho-hannover.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Reifenrath, Janin, E-mail: janin.reifenrath@tiho-hannover.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Seitz, Jan-M., E-mail: seitz@iw.uni-hannover.de [Leibniz University, Institute of Materials Science, An der Universität 2, 30823 Hannover (Germany); Bormann, Dirk, E-mail: dirk.bormann@trimet.de [Leibniz University, Institute of Materials Science, An der Universität 2, 30823 Hannover (Germany); Trimet Aluminium AG, Automotive, Recycling Harzgerode, Aluminiumallee 1, 06493 Harzgerode (Germany); Bach, Friedrich-Wilhelm, E-mail: bach@iw.uni-hannover.de [Leibniz University, Institute of Materials Science, An der Universität 2, 30823 Hannover (Germany); Meyer-Lindenberg, Andrea, E-mail: meyer-lindenberg@chir.vetmed.uni-muenchen.de [University of Veterinary Medicine, Small Animal Clinic, Bünteweg 9, 30559 Hannover (Germany); Clinic for Small Animal Surgery and Reproduction, Centre of Clinical Veterinary Medicine, Faculty of Veterinary Medicine, Ludwig-Maximilians-Universität München, Veterinärstraße 3, 80539 München (Germany)

    2013-07-01

    The present work aimed to investigate the influence of acetone and formalin as well as the duration and type of storage on magnesium based implants by means of microscopic, μ-computed tomographic, scanning electron microscopic, EDX and metallographic investigations. In contrast to storing in acetone, storage in formalin led to an increase in surface to volume ratio, and a decrease of the volume and the density. The various types of storage exerted no differing effects on the implants but with increasing storage duration, a spreading of oxygen rich areas on the surface, increased precipitations and a decrease in grain size could be observed. - Highlights: • Acetone treatment had no detectable effect on magnesium based implants. • Formalin caused distinct changes of the implant's surface, volume and density. • Storage types had no differing effect on the implants. • Storage duration led to distinct changes of the implant's surface and structure.

  6. Implantation damage in heavy gas implanted 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Nicolaï, J., E-mail: julien.nicolai@univ-poitiers.fr [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Declémy, A. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Gilabert, E. [Centre d’Etude Nucléaire de Bordeaux-Gradignan, 33175 Gradignan Cedex (France); Beaufort, M.-F.; Barbot, J.-F. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France)

    2016-05-01

    Single crystals of SiC were implanted with heavy inert gases (Xe, Ar) at elevated temperatures (300–800 °C) and for a large range of fluence (1 × 10{sup 12}–1 × 10{sup 15} ions cm{sup −2}). Thermodesorption measurements suggest that gas is trapped by implantation-induced vacancy-type defects impeding any gas diffusion. The damage accumulation versus dose was studied through the tensile elastic strain determined by using X-ray diffraction. Results show that at low dose the strain is predictable via a thermally activated direct impact model. The low thermal activation energy at saturation suggests a dynamic recovery process dominated by the migration of interstitial-type defects as its relaxation during post thermal annealing. As compared with light-gas implantation the heavy-gas to defect ratio is low enhancing the formation of strongly perturbed zones rather than the formation of bubble precursors.

  7. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  8. AICAR Administration Attenuates Hemorrhagic Hyperglycemia and Lowers Oxygen Debt in Anesthetized Male Rabbits.

    Science.gov (United States)

    Huang, Yi; Ratz, Paul H; Miner, Amy S; Locke, Victoria A; Chen, Grace; Chen, Yang; Barbee, Robert W

    2017-01-01

    Background: Many strategies have been utilized to treat traumatic shock via improved oxygen delivery (DO 2 ), while fewer have been used to in an attempt to reduce oxygen demand (VO 2 ). The cellular energy sensor 5' adenosine monophosphate-activated protein kinase (AMPK) has the potential to modulate both whole-body DO 2 and VO 2 . Therefore, we determined the effect of the AMPK activator AICAR (5-aminoimidazole-4-carboxamide 1-β-D-ribonucleoside) given acutely or chronically on key metabolites, hemodynamics, and oxygen consumption/delivery before and during hemorrhage in anesthetized male rabbits. Methods: Chronically treated animals received AICAR (40 mg/kg/day, IV) for 10 days prior to hemorrhage, while rabbits in the acute study were infused with AICAR (7.5 mg/kg bolus, 2 mg/kg/min infusion) or vehicle (0.3 ml/kg saline bolus, 0.03 ml/kg/min infusion) IV for 2 h prior to severe hemorrhage. Both acutely and chronically treated animals were sedated (ketamine/xylazine cocktail) the morning of the terminal experiment and surgically prepared for hemorrhage, including the implantation of arterial and venous catheters (for blood removal/sampling and drug/vehicle administration) and thoracotomy for implantation of transit-time flow transducers (for cardiac output determination). Results: AICAR given acutely lowered arterial blood glucose and increased blood lactate levels before hemorrhage, and abolished the well-documented hemorrhage-induced hyperglycemia seen in vehicle treated animals. Animals given AICAR chronically had blunted hemorrhage-induced hyperglycemia without prior baseline changes. Chronically treated AICAR animals showed significantly lower lactate levels during hemorrhage. Rabbits receiving AICAR both acutely and chronically experienced similar falls in mean arterial pressure, cardiac output and hence DO 2 to their vehicle counterparts throughout the hemorrhage period. However, rabbits treated either acutely or chronically with AICAR accumulated lower

  9. AICAR Administration Attenuates Hemorrhagic Hyperglycemia and Lowers Oxygen Debt in Anesthetized Male Rabbits

    Directory of Open Access Journals (Sweden)

    Yi Huang

    2017-09-01

    Full Text Available Background: Many strategies have been utilized to treat traumatic shock via improved oxygen delivery (DO2, while fewer have been used to in an attempt to reduce oxygen demand (VO2. The cellular energy sensor 5′ adenosine monophosphate-activated protein kinase (AMPK has the potential to modulate both whole-body DO2 and VO2. Therefore, we determined the effect of the AMPK activator AICAR (5-aminoimidazole-4-carboxamide 1-β-D-ribonucleoside given acutely or chronically on key metabolites, hemodynamics, and oxygen consumption/delivery before and during hemorrhage in anesthetized male rabbits.Methods: Chronically treated animals received AICAR (40 mg/kg/day, IV for 10 days prior to hemorrhage, while rabbits in the acute study were infused with AICAR (7.5 mg/kg bolus, 2 mg/kg/min infusion or vehicle (0.3 ml/kg saline bolus, 0.03 ml/kg/min infusion IV for 2 h prior to severe hemorrhage. Both acutely and chronically treated animals were sedated (ketamine/xylazine cocktail the morning of the terminal experiment and surgically prepared for hemorrhage, including the implantation of arterial and venous catheters (for blood removal/sampling and drug/vehicle administration and thoracotomy for implantation of transit-time flow transducers (for cardiac output determination.Results: AICAR given acutely lowered arterial blood glucose and increased blood lactate levels before hemorrhage, and abolished the well-documented hemorrhage-induced hyperglycemia seen in vehicle treated animals. Animals given AICAR chronically had blunted hemorrhage-induced hyperglycemia without prior baseline changes. Chronically treated AICAR animals showed significantly lower lactate levels during hemorrhage. Rabbits receiving AICAR both acutely and chronically experienced similar falls in mean arterial pressure, cardiac output and hence DO2 to their vehicle counterparts throughout the hemorrhage period. However, rabbits treated either acutely or chronically with AICAR accumulated

  10. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  11. Hyperbaric oxygen and radiotherapy

    International Nuclear Information System (INIS)

    Mayer, R.; Hamilton-Farrell, M.R.; Kleij, A.J. van der

    2005-01-01

    Background: Hyperbaric oxygen (HBO) therapy is the inhalation of 100% oxygen at a pressure of at least 1.5 atmospheres absolute (150 kPa). It uses oxygen as a drug by dissolving it in the plasma and delivering it to the tissues independent of hemoglobin. For a variety of organ systems, HBO is known to promote new vessel growth into areas with reduced oxygen tension due to poor vascularity, and therewith promotes wound healing and recovery of radiation-injured tissue. Furthermore, tumors may be sensitized to irradiation by raising intratumoral oxygen tensions. Methods: A network of hyperbaric facilities exists in Europe, and a number of clinical studies are ongoing. The intergovernmental framework COST B14 action 'Hyperbaric Oxygen Therapy' started in 1999. The main goal of the Working Group Oncology is preparation and actual implementation of prospective study protocols in the field of HBO and radiation oncology in Europe. Results: In this paper a short overview on HBO is given and the following randomized clinical studies are presented: (a) reirradiation of recurrent squamous cell carcinoma of the head and neck after HBO sensitization; (b) role of HBO in enhancing radiosensitivity on glioblastoma multiforme; (c) osseointegration in irradiated patients; adjunctive HBO to prevent implant failures; (d) the role of HBO in the treatment of late irradiation sequelae in the pelvic region. The two radiosensitization protocols (a, b) allow a time interval between HBO and subsequent irradiation of 10-20 min. Conclusion: Recruitment of centers and patients is being strongly encouraged, detailed information is given on www.oxynet.org. (orig.)

  12. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  13. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  14. Si exfoliation by MeV proton implantation

    International Nuclear Information System (INIS)

    Braley, Carole; Mazen, Frédéric; Tauzin, Aurélie; Rieutord, François; Deguet, Chrystel; Ntsoenzok, Esidor

    2012-01-01

    Proton implantation in silicon and subsequent annealing are widely used in the Smart Cut™ technology to transfer thin layers from a substrate to another. The low implantation energy range involved in this process is usually from a few ten to a few hundred of keV, which enables the separation of up to 2 μm thick layers. New applications in the fields of 3D integration and photovoltaic wafer manufacturing raise the demand for extending this technology to higher energy in order to separate thicker layer from a substrate. In this work, we propose to investigate the effect of proton implantation in single crystalline silicon in the 1–3 MeV range which corresponds to a 15–100 μm range for the hydrogen maximum concentration depth. We show that despites a considerably lower hydrogen concentration at R p , the layer separation is obtained with fluence close to the minimum fluence required for low energy implantation. It appears that the fracture propagation in Si and the resulting surface morphology is affected by the substrate orientation. Defects evolution is investigated with Fourier Transform Infrared Spectroscopy. The two orientations reveal similar type of defects but their evolution under annealing appears to be different.

  15. Adsorption on insulator materials enhanced by D implantation

    International Nuclear Information System (INIS)

    Ibarra, A.; Climent-Font, A.; Munoz-Martin, A.

    2005-01-01

    Many insulator materials used in ITER are exposed to a gas phase composed of D, T and a plasma with hydrocarbons, Fe and other particles combined with the presence of an intense neutron and gamma radiation field. Some of these materials (Al 2 O 3 and SiO 2 ) are implanted at room temperature with low energy D and H ions in order to simulate some of the DT gas effects. The implantation is characterized using optical absorption and elastic recoil detection analysis (ERDA) techniques. It is observed that ion implantation as well as electron or gamma irradiation increases the surface scattering and the concentration of C and H adsorbed at the surface, suggesting that a radiation-induced surface degradation process is taking place and an increase of the surface adsorption capability. The effect is higher for higher dose implantation and for lower energy

  16. Time dependence of silica optical properties during the implantation of fast hydrogen ions: Theory

    CERN Document Server

    Barannik, E; Zhurenko, V; Kononenko, S; Kononenko, O

    2015-01-01

    Formation, excitation and passivation of defects by absorbed hydrogen have been extensively reported in the literature. Here we present a basic luminescence-diffusion model to simulate creation and chemical annealing behavior of non-bridging oxygen hole centers in silica by their treatment under a long-time hydrogen implantation. The model is in a good agreement with experimental data and explains the uncommon nonmonotonic time dependence of the non-bridging oxygen hole centers luminescence during the hydrogen implantation. The proposed model establishes the quantitative relation between the intensity dependence of luminescence on its intrinsic diffusivity, hydrogen concentration, defect concentration and cross-section of their creation. Possibilities to estimate these parameters based on the experimental data for the efficiency of silica luminescence are also discussed.

  17. Oxygenator in short-term LVAD circuit: a rescue in post-LVAD pulmonary complications.

    Science.gov (United States)

    Mohite, Prashant N; Patil, Nikhil P; Popov, Aron-Frederik; Bahrami, Toufan; Simon, Andre R

    2016-10-01

    Pulmonary complications after left ventricular assist device (LVAD) implantation, though infrequent, can be potentially catastrophic. A 62-year-old female with cardiogenic shock, supported on short-term LVAD, developed pulmonary oedema. An oxygenator was introduced into the LVAD circuit, which improved the gas exchange and, eventually, after weaning off the oxygenator, the patient received long-term LVAD. The introduction of an oxygenator into the short-term LAVD circuit is a lifesaving manoeuvre in such a situation. It offers freedom of introducing and removing the oxygenator into the LVAD circuit without opening the chest and competing for LVAD flow. © The Author(s) 2016.

  18. In Vivo Self-Powered Wireless Cardiac Monitoring via Implantable Triboelectric Nanogenerator.

    Science.gov (United States)

    Zheng, Qiang; Zhang, Hao; Shi, Bojing; Xue, Xiang; Liu, Zhuo; Jin, Yiming; Ma, Ye; Zou, Yang; Wang, Xinxin; An, Zhao; Tang, Wei; Zhang, Wei; Yang, Fan; Liu, Yang; Lang, Xilong; Xu, Zhiyun; Li, Zhou; Wang, Zhong Lin

    2016-07-26

    Harvesting biomechanical energy in vivo is an important route in obtaining sustainable electric energy for powering implantable medical devices. Here, we demonstrate an innovative implantable triboelectric nanogenerator (iTENG) for in vivo biomechanical energy harvesting. Driven by the heartbeat of adult swine, the output voltage and the corresponding current were improved by factors of 3.5 and 25, respectively, compared with the reported in vivo output performance of biomechanical energy conversion devices. In addition, the in vivo evaluation of the iTENG was demonstrated for over 72 h of implantation, during which the iTENG generated electricity continuously in the active animal. Due to its excellent in vivo performance, a self-powered wireless transmission system was fabricated for real-time wireless cardiac monitoring. Given its outstanding in vivo output and stability, iTENG can be applied not only to power implantable medical devices but also possibly to fabricate a self-powered, wireless healthcare monitoring system.

  19. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  20. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  1. Characterization of junctions produced by medium-energy ion implantation in silicon

    International Nuclear Information System (INIS)

    Monfret, A.

    1970-01-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [fr

  2. Colorimetric properties of TiN coating implanted by aluminum

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Q.G. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China)]. E-mail: zhouqg99@mails.tsinghua.edu.cn; Bai, X.D. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xue, X.Y. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Ling, Y.H. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Chen, X.W. [Department of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Xu, J. [Beijing Great Wall Ti-Gold Corporation, Beijing 100095 (China); Wang, D.R. [Beijing Great Wall Ti-Gold Corporation, Beijing 100095 (China)

    2005-04-05

    TiN coating was prepared by cathodic arc deposition and implanted aluminum using a metal vacuum vapor arc ion source with doses ranging from 5 x 10{sup 16} to 2 x 10{sup 17} ions/cm{sup 2}. The purpose of this work was to determine the dependence of the colorimetric properties of TiN films on the implanting conditions, especially by the aluminum ion implantation. The colorimetry of coatings was evaluated quantitatively in terms of CIE L * a * b *. The color coordinate values L *, a *, and b * provide a numerical representation of the color of the surface. With the dose increasing, the surface color has no obvious change but the surface turns brighter, and a * as well as b * values all decline. The X-ray diffraction patterns showed that the aluminum implantation induced a slight shift of diffraction peaks. X-ray photoemission spectroscopy was employed to analyze the surface valence states. The oxygen in surface top layer does not decrease a * and b * values, it partially combined with nitrogen.

  3. Integration of oxygen membranes for oxygen production in cement plants

    DEFF Research Database (Denmark)

    Puig Arnavat, Maria; Søgaard, Martin; Hjuler, Klaus

    2015-01-01

    The present paper describes the integration of oxygen membranes in cement plants both from an energy, exergy and economic point of view. Different configurations for oxygen enrichment of the tertiary air for combustion in the pre-calciner and full oxy-fuel combustion in both pre-calciner and kiln...

  4. Density functional theory study the effects of oxygen-containing functional groups on oxygen molecules and oxygen atoms adsorbed on carbonaceous materials.

    Science.gov (United States)

    Qi, Xuejun; Song, Wenwu; Shi, Jianwei

    2017-01-01

    Density functional theory was used to study the effects of different types of oxygen-containing functional groups on the adsorption of oxygen molecules and single active oxygen atoms on carbonaceous materials. During gasification or combustion reactions of carbonaceous materials, oxygen-containing functional groups such as hydroxyl(-OH), carbonyl(-CO), quinone(-O), and carboxyl(-COOH) are often present on the edge of graphite and can affect graphite's chemical properties. When oxygen-containing functional groups appear on a graphite surface, the oxygen molecules are strongly adsorbed onto the surface to form a four-member ring structure. At the same time, the O-O bond is greatly weakened and easily broken. The adsorption energy value indicates that the adsorption of oxygen molecules changes from physisorption to chemisorption for oxygen-containing functional groups on the edge of a graphite surface. In addition, our results indicate that the adsorption energy depends on the type of oxygen-containing functional group. When a single active oxygen atom is adsorbed on the bridge site of graphite, it gives rise to a stable epoxy structure. Epoxy can cause deformation of the graphite lattice due to the transition of graphite from sp2 to sp3 after the addition of an oxygen atom. For quinone group on the edge of graphite, oxygen atoms react with carbon atoms to form the precursor of CO2. Similarly, the single active oxygen atoms of carbonyl groups can interact with edge carbon atoms to form the precursor of CO2. The results show that oxygen-containing functional groups on graphite surfaces enhance the activity of graphite, which promotes adsorption on the graphite surface.

  5. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Energy Technology Data Exchange (ETDEWEB)

    Xu Gang, E-mail: xg335300@yahoo.com.cn [Center for Research and Development of Fine Chemicals, Guizhou University, Guiyang 550025 (China); Institute of Entomology, Guizhou University, Guiyang 550025 (China); Wang Xiaoteng [Department of Agricultural Resources and Environment, College of Agricultural, Guizhou University, Guiyang 550025 (China); Gan Cailing; Fang Yanqiong; Zhang Meng [College of Life Sciences, Guizhou University, Guiyang 550025 (China)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer We analyzed biological effects of N{sup +} implantation on dry Jatropha curcas seed. Black-Right-Pointing-Pointer N{sup +} implantation greatly decreased seedling survival rate. Black-Right-Pointing-Pointer At doses beyond 15 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place. Black-Right-Pointing-Pointer CAT was essential for H{sub 2}O{sub 2} removal. POD mainly functioned as seed was severely hurt. Black-Right-Pointing-Pointer HAsA-GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N{sup +} with energy of 25 keV was applied to treat the dry seed at six different doses. N{sup +} beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 Multiplication-Sign 10{sup 16} to 15 Multiplication-Sign 10{sup 16} ions cm{sup -2} severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 Multiplication-Sign 10{sup 16} ions cm{sup -2} may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  6. Pulse height defect in ion implanted silicon detector for heavy ions with Z=6-28 in the energy range ∼ 0.25-2.5 MeV/u

    International Nuclear Information System (INIS)

    Diwan, P.K.; Sharma, V.; Shyam Kumar; Avasthi, D.K.

    2005-01-01

    The response of ion implanted silicon detector has been studied for heavy ions with Z= 6-28 in the energy range ∼ 0.25-2.5 MeV/u utilizing the 15UD Pelletron Accelerator facility at Nuclear Science Centre, New Delhi, India. The variation of pulse height in ion implanted silicon detector with projectile's atomic number and its energy have been investigated. It has been observed that pulse height-energy calibration for a given projectile is described well by a linear relationship indicating no pulse height defect with the variation in energy for specific Z projectile. Pulse height defect has been found to increase with increasing projectile atomic number. The mean slope of the collected charge signal versus projectile energy depends significantly on the atomic number of the projectile. (author)

  7. Process dependence of H passivation and doping in H-implanted ZnO

    International Nuclear Information System (INIS)

    Zhang, Z; Brillson, L J; Look, D C; Schifano, R; Johansen, K M; Svensson, B G

    2013-01-01

    We used depth-resolved cathodoluminescence spectroscopy (DRCLS), photoluminescence (PL) spectroscopy and temperature-dependent Hall-effect (TDHE) measurements to describe the strong dependence of H passivation and doping in H-implanted ZnO on thermal treatment. Increasing H implantation dose increases passivation of Zn and oxygen vacancy-related defects, while reducing deep level emissions. Over annealing temperatures of 100-400 °C at different times, 1 h annealing at 200 °C yielded the lowest DRCLS deep level emissions, highest TDHE carrier mobility, and highest near band-edge PL emission. These results describe the systematics of dopant implantation and thermal activation on H incorporation in ZnO and their effects on its electrical properties.

  8. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  9. Development of vertical compact ion implanter for gemstones applications

    Science.gov (United States)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L. D.; Singkarat, S.

    2014-08-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  10. Development of vertical compact ion implanter for gemstones applications

    Energy Technology Data Exchange (ETDEWEB)

    Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Wijaikhum, A. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science (Gems and Jewelry), Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented.

  11. Development of vertical compact ion implanter for gemstones applications

    International Nuclear Information System (INIS)

    Intarasiri, S.; Wijaikhum, A.; Bootkul, D.; Suwannakachorn, D.; Tippawan, U.; Yu, L.D.; Singkarat, S.

    2014-01-01

    Ion implantation technique was applied as an effective non-toxic treatment of the local Thai natural corundum including sapphires and rubies for the enhancement of essential qualities of the gemstones. Energetic oxygen and nitrogen ions in keV range of various fluences were implanted into the precious stones. It has been thoroughly proved that ion implantation can definitely modify the gems to desirable colors together with changing their color distribution, transparency and luster properties. These modifications lead to the improvement in quality of the natural corundum and thus its market value. Possible mechanisms of these modifications have been proposed. The main causes could be the changes in oxidation states of impurities of transition metals, induction of charge transfer from one metal cation to another and the production of color centers. For these purposes, an ion implanter of the kind that is traditionally used in semiconductor wafer fabrication had already been successfully applied for the ion beam bombardment of natural corundum. However, it is not practical for implanting the irregular shape and size of gem samples, and too costly to be economically accepted by the gem and jewelry industry. Accordingly, a specialized ion implanter has been requested by the gem traders. We have succeeded in developing a prototype high-current vertical compact ion implanter only 1.36 m long, from ion source to irradiation chamber, for these purposes. It has been proved to be very effective for corundum, for example, color improvement of blue sapphire, induction of violet sapphire from low value pink sapphire, and amelioration of lead-glass-filled rubies. Details of the implanter and recent implantation results are presented

  12. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    International Nuclear Information System (INIS)

    Fedorov, A.V.; Huis, M.A. van; Veen, A. van

    2002-01-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2 . The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of T max =1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3x10 11 s -1

  13. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  14. Deep levels induced by low energy B+ implantation into Ge-preamorphised silicon in correlation with end of range formation

    International Nuclear Information System (INIS)

    Benzohra, Mohamed; Olivie, Francois; Idrissi-Benzohra, Malika; Ketata, Kaouther; Ketata, Mohamed

    2002-01-01

    It is well established that low energy B + ion implantation into Ge- (or Si) implantation pre-amorphised silicon allows ultra-shallow p + n junctions formation. However, this process is known to generate defects such as dislocation loops, vacancies and interstitials which can act as vehicles to different mechanisms inducing electrically active levels into the silicon bulk. The junctions studied have been obtained using 3 keV/10 15 cm -2 B + implantation into Ge-implantation pre-amorphised substrates and into a reference crystalline substrate. Accurate measurements using deep level transient spectroscopy (DLTS) and isothermal transient capacitance ΔC(t,T) were performed to characterise these levels. Such knowledge is crucial to improve the device characteristics. In order to sweep the silicon band gap, various experimental conditions were considered. The analysis of DLTS spectra have first showed three deep levels associated to secondary induced defects. Their concentration profiles were derived from isothermal transient capacitance at depths up to 3.5 μm into the silicon bulk and allowed us to detect a new deep level. The evolution of such defect distribution in correlation with the technological steps is discussed. The end of range (EOR) defect influence on electrical activity of secondary induced defects in ultra-shallow p + n diodes is clearly demonstrated

  15. High-temperature oxidation of ion-implanted tantalum

    International Nuclear Information System (INIS)

    Kaufmann, E.N.; Musket, R.G.; Truhan, J.J.; Grabowski, K.S.; Singer, I.L.; Gossett, C.R.

    1982-01-01

    The oxidation of ion-implanted Ta in two different high temperature regimes has been studied. Oxidations were carried out at 500 0 C in Ar/O 2 mixtures, where oxide growth is known to follow a parabolic rate law in initial stages, and at 1000 0 C in pure O 2 , where a linear-rate behavior obtains. Implanted species include Al, Ce, Cr, Li, Si and Zr at fluences of the order of 10 17 /cm 2 . Oxidized samples were studied using Rutherford backscattering, nuclear reaction analysis, Auger spectroscopy, secondary-ion mass spectroscopy, x-ray diffraction and optical microscopy. Significant differences among the specimens were noted after the milder 500 0 C treatment, specifically, in the amount of oxide formed, the degree of oxygen dissolution in the metal beneath the oxide, and in the redistribution behavior of the implanted solutes. Under the severe 1000 0 C treatment, indications of different solute distributions and of different optical features were found, whereas overall oxidation rate appeared to be unaffected by the presence of the solute. 7 figures

  16. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  17. Interaction of nitrogen with vacancy defects in N+-implanted ZnO studied using a slow positron beam

    International Nuclear Information System (INIS)

    Chen, Z.Q.; Maekawa, M.; Kawasuso, A.; Suzuki, R.; Ohdaira, T.

    2005-01-01

    ZnO crystals were implanted with N + , O + , and Al + , and co-implanted with O + /N + and Al + /N + ions. Positron annihilation measurements indicate introduction of vacancy clusters upon implantation. In the N + -implanted and Al + /N + co-implanted samples, these vacancy clusters are only partially annealed at 800 deg. C, as compared with their entire recovery in the O + - and Al + -implanted samples at 800-900 deg. C, suggesting a strong interaction between nitrogen and vacancy clusters. However, in the O + /N + co-implanted sample, most vacancy clusters disappear at 800 deg. C. Probably oxygen scavenges nitrogen to enhance the annealing of the vacancy clusters. Upon further annealing at 1000-1100 deg. C, nitrogen also forms stable complexes with thermally generated vacancies. These nitrogen-related vacancy complexes need high-temperature annealing at 1200-1250 deg. C to be fully removed

  18. High-throughput DFT calculations of formation energy, stability and oxygen vacancy formation energy of ABO3 perovskites

    Science.gov (United States)

    Emery, Antoine A.; Wolverton, Chris

    2017-10-01

    ABO3 perovskites are oxide materials that are used for a variety of applications such as solid oxide fuel cells, piezo-, ferro-electricity and water splitting. Due to their remarkable stability with respect to cation substitution, new compounds for such applications potentially await discovery. In this work, we present an exhaustive dataset of formation energies of 5,329 cubic and distorted perovskites that were calculated using first-principles density functional theory. In addition to formation energies, several additional properties such as oxidation states, band gap, oxygen vacancy formation energy, and thermodynamic stability with respect to all phases in the Open Quantum Materials Database are also made publicly available. This large dataset for this ubiquitous crystal structure type contains 395 perovskites that are predicted to be thermodynamically stable, of which many have not yet been experimentally reported, and therefore represent theoretical predictions. The dataset thus opens avenues for future use, including materials discovery in many research-active areas.

  19. Strain driven fast osseointegration of implants

    Directory of Open Access Journals (Sweden)

    Wiesmann Hans-Peter

    2005-09-01

    Full Text Available Abstract Background Although the bone's capability of dental implant osseointegration has clinically been utilised as early as in the Gallo-Roman population, the specific mechanisms for the emergence and maintenance of peri-implant bone under functional load have not been identified. Here we show that under immediate loading of specially designed dental implants with masticatory loads, osseointegration is rapidly achieved. Methods We examined the bone reaction around non- and immediately loaded dental implants inserted in the mandible of mature minipigs during the presently assumed time for osseointegration. We used threaded conical titanium implants containing a titanium2+ oxide surface, allowing direct bone contact after insertion. The external geometry was designed according to finite element analysis: the calculation showed that physiological amplitudes of strain (500–3,000 ustrain generated through mastication were homogenously distributed in peri-implant bone. The strain-energy density (SED rate under assessment of a 1 Hz loading cycle was 150 Jm-3 s-1, peak dislocations were lower then nm. Results Bone was in direct contact to the implant surface (bone/implant contact rate 90% from day one of implant insertion, as quantified by undecalcified histological sections. This effect was substantiated by ultrastructural analysis of intimate osteoblast attachment and mature collagen mineralisation at the titanium surface. We detected no loss in the intimate bone/implant bond during the experimental period of either control or experimental animals, indicating that immediate load had no adverse effect on bone structure in peri-implant bone. Conclusion In terms of clinical relevance, the load related bone reaction at the implant interface may in combination with substrate effects be responsible for an immediate osseointegration state.

  20. Polyenergy ion beam synthesis of buried oxynitride layer in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Barabanenkov, M.Yu. E-mail: barab@ipmt-hpm.ac.ru; Agafonov, Yu.A.; Mordkovich, V.N.; Pustovit, A.N.; Vyatkin, A.F.; Zinenko, V.I

    2000-11-01

    The efficiency of silicon oxynitride synthesis in silicon crystals implanted with substoichiometric doses of oxygen and nitrogen ions is investigated both experimentally and theoretically. Si crystals are implanted with oxygen and nitrogen ions with doses of 1.5 and 4.5x10{sup 17} cm{sup -2}, respectively, at fixed oxygen ion energy of 150 keV and nitrogen ion energies varied from 80 to 180 keV. The samples annealed at 1200 deg C for 2 h were analysed by secondary ion mass spectroscopy (SIMS). Theoretically, a `diffusion-alternative sinks' model is applied to the annealing stage of ion beam synthesis of a buried layer of a new phase in solids. It is shown that the maximum of the ternary phase production is attained when nitrogen ions are implanted deeper than oxygen ions. An explanation of this fact is given in terms of that (i) the segregation of oxygen and nitrogen species on the surface of oxide nuclei removes the kinetic restriction of nuclei growth, characteristic of oxide growth, at the expense of only oxygen atoms, and (ii) the higher the implantation energy the smoother the shape of ion range distribution in the target, which, in its turn, causes the predominance of the impurity sink over the impurity diffusion.

  1. Polyenergy ion beam synthesis of buried oxynitride layer in silicon

    International Nuclear Information System (INIS)

    Barabanenkov, M.Yu.; Agafonov, Yu.A.; Mordkovich, V.N.; Pustovit, A.N.; Vyatkin, A.F.; Zinenko, V.I.

    2000-01-01

    The efficiency of silicon oxynitride synthesis in silicon crystals implanted with substoichiometric doses of oxygen and nitrogen ions is investigated both experimentally and theoretically. Si crystals are implanted with oxygen and nitrogen ions with doses of 1.5 and 4.5x10 17 cm -2 , respectively, at fixed oxygen ion energy of 150 keV and nitrogen ion energies varied from 80 to 180 keV. The samples annealed at 1200 deg C for 2 h were analysed by secondary ion mass spectroscopy (SIMS). Theoretically, a `diffusion-alternative sinks' model is applied to the annealing stage of ion beam synthesis of a buried layer of a new phase in solids. It is shown that the maximum of the ternary phase production is attained when nitrogen ions are implanted deeper than oxygen ions. An explanation of this fact is given in terms of that (i) the segregation of oxygen and nitrogen species on the surface of oxide nuclei removes the kinetic restriction of nuclei growth, characteristic of oxide growth, at the expense of only oxygen atoms, and (ii) the higher the implantation energy the smoother the shape of ion range distribution in the target, which, in its turn, causes the predominance of the impurity sink over the impurity diffusion

  2. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  3. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  4. The energy spectra of anomalous oxygen at the time of two successive solar minima

    CERN Document Server

    Kondratyeva, M A; Tretyakova, S P; Zhuravlev, D A

    1999-01-01

    The energy spectra of anomalous oxygen have been determined from nuclear track detectors exposed aboard the Earth-orbiting satellites at altitudes ranging from approx 250-400 km in two consecutive solar minimum periods of 1986-1987 and 1994-1995 with opposite polarity of the solar magnetic field. A comparison of the spectra shows no contradiction to current drift models.

  5. Biofilm and dental implant: The microbial link

    Directory of Open Access Journals (Sweden)

    Sangeeta Dhir

    2013-01-01

    Full Text Available Mouth provides a congenial environment for the growth of the microorganisms as compared to any other part of the human body by exhibiting an ideal nonshedding surface. Dental plaque happens to be a diverse community of the microorganisms found on the tooth surface. Periodontal disease and the peri-implant disease are specific infections that are originating from these resident microbial species when the balance between the host and the microbial pathogenicity gets disrupted. This review discusses the biofilms in relation to the peri-implant region, factors affecting its presence, and the associated treatment to manage this complex microbial colony. Search Methodology: Electronic search of the medline was done with the search words: Implants and biofilms/dental biofilm formation/microbiology at implant abutment interface/surface free energy/roughness and implant, periimplantitis/local drug delivery and dental implant. Hand search across the journals - clinical oral implant research, implant dentistry, journal of dental research, international journal of oral implantology, journal of prosthetic dentistry, perioodntology 2000, journal of periodontology were performed. The articles included in the review comprised of in vivo studies, in vivo (animal and human studies, abstracts, review articles.

  6. Effect of pneumatic tourniquet on muscle oxygen tension.

    Science.gov (United States)

    Santavirta, S; Höckerstedt, K; Niinikoski, J

    1978-10-01

    Recent investigations suggest that circulation in a limb can be reduced with a tourniquet to less than 1 per cent of the control limb, or even completely occluded. The development of tissue oxygen tonometry with implanted silastic tubes has provided new possibilities for assessing muscle tissue oxygen tension. In the present work, this method was employed to register the effect of tourniquet blackade on the lower limb muscle PO2 in rabbits. The duration of the tourniquet blockade was 60, 120 and 180 minutes. The baseline muscle PO2 in the tibialis anterior muscle was 22.6 +/- 0.6 mmHg. During the tourniquet blockade the oxygen tension dropped to minimal values between 9.2 +/- 0.5 and 10.7 +/- 0.6 mmHg in these experimental groups, but the tissue microclimate never reached fully anoxic conditions. The rapid response of muscle PO2 to oxygen breathing after release of the blockade suggests that limb microcirculation tolerates tourniquet occlusion well.

  7. Heavy Ion Formation in Titan's Ionosphere: Magnetospheric Introduction of Free Oxygen and a Source of Titan's Aerosols?

    Science.gov (United States)

    Sittler, E. C., Jr.; Ali, A.; Cooper, J. F.; Hartle, R. E.; Johnson, R. E.; Coates, A. J.; Young, D. T.

    2009-01-01

    Discovery by Cassini's plasma instrument of heavy positive and negative ions within Titan's upper atmosphere and ionosphere has advanced our understanding of ion neutral chemistry within Titan's upper atmosphere, primarily composed of molecular nitrogen, with approx.2.5% methane. The external energy flux transforms Titan's upper atmosphere and ionosphere into a medium rich in complex hydrocarbons, nitriles and haze particles extending from the surface to 1200 km altitudes. The energy sources are solar UV, solar X-rays, Saturn's magnetospheric ions and electrons, solar wind and shocked magnetosheath ions and electrons, galactic cosmic rays (CCR) and the ablation of incident meteoritic dust from Enceladus' E-ring and interplanetary medium. Here it is proposed that the heavy atmospheric ions detected in situ by Cassini for heights >950 km, are the likely seed particles for aerosols detected by the Huygens probe for altitudes <100km. These seed particles may be in the form of polycyclic aromatic hydrocarbons (PAH) containing both carbon and hydrogen atoms CnHx. There could also be hollow shells of carbon atoms, such as C60, called fullerenes which contain no hydrogen. The fullerenes may compose a significant fraction of the seed particles with PAHs contributing the rest. As shown by Cassini, the upper atmosphere is bombarded by magnetospheric plasma composed of protons, H(2+) and water group ions. The latter provide keV oxygen, hydroxyl and water ions to Titan's upper atmosphere and can become trapped within the fullerene molecules and ions. Pickup keV N(2+), N(+) and CH(4+) can also be implanted inside of fullerenes. Attachment of oxygen ions to PAH molecules is uncertain, but following thermalization O(+) can interact with abundant CH4 contributing to the CO and CO2 observed in Titan's atmosphere. If an exogenic keV O(+) ion is implanted into the haze particles, it could become free oxygen within those aerosols that eventually fall onto Titan's surface. The process

  8. Modulation Techniques for Biomedical Implanted Devices and Their Challenges

    Directory of Open Access Journals (Sweden)

    Salina A. Samad

    2011-12-01

    Full Text Available Implanted medical devices are very important electronic devices because of their usefulness in monitoring and diagnosis, safety and comfort for patients. Since 1950s, remarkable efforts have been undertaken for the development of bio-medical implanted and wireless telemetry bio-devices. Issues such as design of suitable modulation methods, use of power and monitoring devices, transfer energy from external to internal parts with high efficiency and high data rates and low power consumption all play an important role in the development of implantable devices. This paper provides a comprehensive survey on various modulation and demodulation techniques such as amplitude shift keying (ASK, frequency shift keying (FSK and phase shift keying (PSK of the existing wireless implanted devices. The details of specifications, including carrier frequency, CMOS size, data rate, power consumption and supply, chip area and application of the various modulation schemes of the implanted devices are investigated and summarized in the tables along with the corresponding key references. Current challenges and problems of the typical modulation applications of these technologies are illustrated with a brief suggestions and discussion for the progress of implanted device research in the future. It is observed that the prime requisites for the good quality of the implanted devices and their reliability are the energy transformation, data rate, CMOS size, power consumption and operation frequency. This review will hopefully lead to increasing efforts towards the development of low powered, high efficient, high data rate and reliable implanted devices.

  9. Lab-based x-ray tomography of a cochlear implant using energy discriminating detectors for metal artefact reduction

    Science.gov (United States)

    Yokhana, Viona S. K.; Arhatari, Benedicta D.; Gureyev, Timur E.; Abbey, Brian

    2018-01-01

    X-ray computed tomography (XCT) is an important clinical diagnostic tool which is also used in a range of biological imaging applications in research. The increasing prevalence of metallic implants in medical and dental radiography and tomography has driven the demand for new approaches to solving the issue of metal artefacts in XCT. Metal artefacts occur when a highly absorbing material is imaged which is in boundary contact with one or more weakly absorbing components, such as soft-tissue. The resulting `streaking' in the reconstructed images creates significant challenges for X-ray analysis due to the non-linear dependence on the absorption properties of the sample. In this paper we introduce a new approach to removing metal artefacts which exploits the capabilities of the recently available, photon-counting PiXirad detector. Our approach works for standard lab-based polychromatic X-ray tubes and does not rely on any postprocessing of the data. The method is demonstrated using both simulated data from a test phantom and experimental data collected from a cochlear implant. The results show that by combining the individual images, which are simultaneously generated for each different energy threshold, artefact -free segmentation of the implant from the surrounding biological tissue is achieved.

  10. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  11. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  12. Short Implants: New Horizon in Implant Dentistry.

    Science.gov (United States)

    Jain, Neha; Gulati, Manisha; Garg, Meenu; Pathak, Chetan

    2016-09-01

    The choice of implant length is an essential factor in deciding the survival rates of these implants and the overall success of the prosthesis. Placing an implant in the posterior part of the maxilla and mandible has always been very critical due to poor bone quality and quantity. Long implants can be placed in association with complex surgical procedures such as sinus lift and bone augmentation. These techniques are associated with higher cost, increased treatment time and greater morbidity. Hence, there is need for a less invasive treatment option in areas of poor bone quantity and quality. Data related to survival rates of short implants, their design and prosthetic considerations has been compiled and structured in this manuscript with emphasis on the indications, advantages of short implants and critical biomechanical factors to be taken into consideration when choosing to place them. Studies have shown that comparable success rates can be achieved with short implants as those with long implants by decreasing the lateral forces to the prosthesis, eliminating cantilevers, increasing implant surface area and improving implant to abutment connection. Short implants can be considered as an effective treatment alternative in resorbed ridges. Short implants can be considered as a viable treatment option in atrophic ridge cases in order to avoid complex surgical procedures required to place long implants. With improvement in the implant surface geometry and surface texture, there is an increase in the bone implant contact area which provides a good primary stability during osseo-integration.

  13. Influence of Palatal Coverage and Implant Distribution on Implant Strain in Maxillary Implant Overdentures.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Mizuno, Yoko; Fujinami, Yozo; Maeda, Yoshinobu

    2016-01-01

    Maxillary implant overdentures are often used in clinical practice. However, there is no agreement or established guidelines regarding prosthetic design or optimal implant placement configuration. The purpose of this study was to examine the influence of palatal coverage and implant number and distribution in relation to impact strain under maxillary implant overdentures. A maxillary edentulous model with implants and experimental overdentures with and without palatal coverage was fabricated. Four strain gauges were attached to each implant, and they were positioned in the anterior, premolar, and molar areas. A vertical occlusal load of 98 N was applied through a mandibular complete denture, and the implant strains were compared using one-way analysis of variance (P = .05). The palatolabial strain was much higher on anterior implants than on other implants in both denture types. Although there was no significant difference between the strain under dentures with and without palatal coverage, palateless dentures tended to result in higher implant strain than dentures with palatal coverage. Dentures supported by only two implants registered higher strain than those supported by four or six implants. Implants under palateless dentures registered higher strain than those under dentures with palatal coverage. Anterior implants exhibited higher palatolabial strain than other implants regardless of palatal coverage and implant configuration; it is therefore recommended that maxillary implant overdentures should be supported by six implants with support extending to the distal end of the arch.

  14. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Science.gov (United States)

    Nassisi, Vincenzo; Delle Side, Domenico; Turco, Vito; Martina, Luigi

    2018-01-01

    In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS) coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  15. Surface modifications of AISI 420 stainless steel by low energy Yttrium ions

    Directory of Open Access Journals (Sweden)

    Nassisi Vincenzo

    2018-01-01

    Full Text Available In this work, we study surface modifications of AISI 420 stainless steel specimens in order to improve their surface properties. Oxidation resistance and surface micro-hardness were analyzed. Using an ion beam delivered by a Laser Ion Source (LIS coupled to an electrostatic accelerator, we performed implantation of low energy yttrium ions on the samples. The ions experienced an acceleration passing through a gap whose ends had a potential difference of 60 kV. The gap was placed immediately before the samples surface. The LIS produced high ions fluxes per laser pulse, up to 3x1011 ions/cm2, resulting in a total implanted flux of 7x1015 ions/cm2. The samples were characterized before and after ion implantation using two analytical techniques. They were also thermally treated to investigate the oxide scale. The crystal phases were identified by an X-ray diffractometer, while the micro-hardness was assayed using the scratch test and a profilometer. The first analysis was applied to blank, implanted and thermally treated sample surface, while the latter was applied only to blank and implanted sample surfaces. We found a slight increase in the hardness values and an increase to oxygen resistance. The implantation technique we used has the advantages, with respect to conventional methods, to modify the samples at low temperature avoiding stray diffusion of ions inside the substrate bulk.

  16. Dynamic changes in oxygenation of intracranial tumor and contralateral brain during tumor growth and carbogen breathing: A multisite EPR oximetry with implantable resonators

    Science.gov (United States)

    Hou, Huagang; Dong, Ruhong; Li, Hongbin; Williams, Benjamin; Lariviere, Jean P.; Hekmatyar, S.K.; Kauppinen, Risto A.; Khan, Nadeem; Swartz, Harold

    2013-01-01

    Introduction Several techniques currently exist for measuring tissue oxygen; however technical difficulties have limited their usefulness and general application. We report a recently developed electron paramagnetic resonance (EPR) oximetry approach with multiple probe implantable resonators (IRs) that allow repeated measurements of oxygen in tissue at depths of greater than 10 mm. Methods The EPR signal to noise (S/N) ratio of two probe IRs was compared with that of LiPc deposits. The feasibility of intracranial tissue pO2 measurements by EPR oximetry using IRs was tested in normal rats and rats bearing intracerebral F98 tumors. The dynamic changes in the tissue pO2 were assessed during repeated hyperoxia with carbogen breathing. Results A 6–10 times increase in the S/N ratio was observed with IRs as compared to LiPc deposits. The mean brain pO2 of normal rats was stable and increased significantly during carbogen inhalation in experiments repeated for 3 months. The pO2 of F98 glioma declined gradually, while the pO2 of contralateral brain essentially remained the same. Although a significant increase in the glioma pO2 was observed during carbogen inhalation, this effect declined in experiments repeated over days. Conclusion EPR oximetry with IRs provides a significant increase in S/N ratio. The ability to repeatedly assess orthotopic glioma pO2 is likely to play a vital role in understanding the dynamics of tissue pO2 during tumor growth and therapies designed to modulate tumor hypoxia. This information could then be used to optimize chemoradiation by scheduling treatments at times of increased glioma oxygenation. PMID:22033225

  17. Laws of phase formation in ion-implanted metals

    International Nuclear Information System (INIS)

    Kazdaev, H.R.; Abylkhalykova, R.B.; Skakov, M.K.

    2004-01-01

    Full text: Main laws of ordered structures formation at molybdenum implantation by elements forming phases of introduction (B, C, N, 0, Si, P, S) are discovered in this work. According to them the character of structural and phase transformations in molybdenum at ion implantation is determined not by kinetic parameters of bombarding particles and their chemical activity but by size factor η x/Me (ratio of nuclear radii of introduced elements and atoms of a matrix). At change of its meaning in the certain limits the following can be observed: superstructures formation (η x/Mo x/Mo x/Mo >0.69). In the latter case at the further implantation doze increasing recrystallization of molybdenum monocrystalline layers amorphized during previous bombarding with chemical connection formation takes place, characterized by us as ion-inducted synthesis. The phenomenon discovered on the samples implanted by phosphorus ions. As the result, the high-temperature phase of molybdenum monophosphide MoP having densely situated lattice was synthesized. The complete confirmation of the main laws of structural and phased transformations at ion implantation established by results on molybdenum monocrystals with OCC lattice was achieved at realization of similar researches on the other transitive metal - zirconium which differs from molybdenum according to a number of attributes: a type of an initial lattice structural condition (large scaled polycrystal), presence of interparticle borders and high solubility of atmospheric impurities (nitrogen, carbon, oxygen). The discovered laws have proved to be true also according to ion implanted samples of monocrystal tungsten and polycrystal tantalum

  18. Hydrogen- and helium-implanted silicon: Low-temperature positron-lifetime studies

    DEFF Research Database (Denmark)

    Mäkinen, S.; Rajainmäki, H.; Linderoth, Søren

    1991-01-01

    High-purity single-crystal samples of float-zoned Si have been implanted with 6.95-MeV protons and with 25-MeV 3He2 ions at 15 K, and the positron-lifetime technique has been used to identify the defects created in the samples, and to study the effects of H and He on the annealing of point defects...... in Si. The results have been compared with those of proton-irradiated Si. A 100–300-K annealing stage was clearly observed in hydrogen (H+) -implanted Si, and this stage was almost identical to that in the p-irradiated Si. The final annealing state of the H+-implanted Si started at about 400 K......, and it is connected to annealing out of negatively charged divacancy-oxygen pairs. This stage was clearly longer than that for the p-irradiated Si, probably due to the breakup of Si-H bonds at about 550 K. The 100-K annealing stage was not seen with the He-implanted samples. This has been explained by assuming...

  19. Development of Mechanical Improvement of the Cladding by Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Han, J G; Lee, S B [Sungkyunkwan University, Seoul (Korea, Republic of); Kim, S H [Kangwon University, Chunchon (Korea, Republic of); Song, G [Suwon College, Suwon (Korea, Republic of)

    1997-07-01

    In this research we analyzed the state of art related to the surface treatment method of nuclear fuel cladding for the development of the surface treatment technique of nuclear fuel cladding by ion beam while investigating major causes of the leakage of fuel rods. Ion implantation simulation code called TRIM-95 was used to decide basic parameters ion beams and wetup an appropriate process for ion implantation. For the mechanical properties measurements, a high temperature wear resistance tester, a fretting wear tester, and a fretting fatigue resistance tester were constructed. Using these testers, some mechanical properties as micro hardness, wear resistance against AISI52100 and AI{sub 2}O{sub 3} balls, and fretting properties were measured and analyzed for the implanted materials as a function of ion dose and processing temperature. Effect of the oxygen atmosphere was measured in the nitrogen implantation. Auger electron spectroscopy(AES) was applied for the depth profile, and X-ray diffraction was used for the nitrogen and oxide measurements. 48 refs., 7 tabs., 46 figs. (author)

  20. Implant stability and marginal bone level of microgrooved zirconia dental implants: A 3-month experimental study on dogs

    Directory of Open Access Journals (Sweden)

    Delgado-Ruíz Rafael Arcesio

    2014-01-01

    Full Text Available Background/Aim. The modification of implant surfaces could affect mechanical implant stability as well as dynamics and quality of peri-implant bone healing. The aim of this 3-month experimental study in dogs was to investigate implant stability, marginal bone levels and bone tissue response to zirconia dental implants with two laser-micro-grooved intraosseous surfaces in comparison with nongrooved sandblasted zirconia and sandblasted, high-temperature etched titanium implants. Methods. Implant surface characterization was performed using optical interferometric profilometry and energy dispersive X-ray spectroscopy. A total of 96 implants (4 mm in diameter and 10 mm in length were inserted randomly in both sides of the lower jaw of 12 Fox Hound dogs divided into groups of 24 each: the control (titanium, the group A (sandblasted zirconia, the group B (sandblasted zirconia plus microgrooved neck and the group C (sandblasted zirconia plus all microgrooved. All the implants were immediately loaded. Insertion torque, periotest values, radiographic crestal bone level and removal torque were recorded during the 3-month follow-up. Qualitative scanning electon micro-scope (SEM analysis of the bone-implant interfaces of each group was performed. Results. Insertion torque values were higher in the group C and control implants (p the control > the group B > the group A (p the control > the group B > the group A (p < 0.05. SEM showed that implant surfaces of the groups B and C had an extra bone growth inside the microgrooves that corresponded to the shape and direction of the microgrooves. Conclusion. The addition of micro-grooves to the entire intraosseous surface of zirconia dental implants enhances primary and secondary implant stability, promotes bone tissue ingrowth and preserves crestal bone levels.

  1. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  2. Effect of oxygen supply on the size of implantable islet-containing encapsulation devices.

    Science.gov (United States)

    Papas, Klearchos K; Avgoustiniatos, Efstathios S; Suszynski, Thomas M

    2016-03-01

    Beta-cell replacement therapy is a promising approach for the treatment of diabetes but is currently limited by the human islet availability and by the need for systemic immunosuppression. Tissue engineering approaches that will enable the utilization of islets or β-cells from alternative sources (such as porcine islets or human stem cell derived beta cells) and minimize or eliminate the need for immunosuppression have the potential to address these critical limitations. However, tissue engineering approaches are critically hindered by the device size (similar to the size of a large flat screen television) required for efficacy in humans. The primary factor dictating the device size is the oxygen availability to islets to support their viability and function (glucose-stimulated insulin secretion [GSIS]). GSIS is affected (inhibited) at a much higher oxygen partial pressure [pO2] than that of viability (e.g. 10 mmHg as opposed to 0.1 mmHg). Enhanced oxygen supply (higher pO2) than what is available in vivo at transplant sites can have a profound effect on the required device size (potentially reduce it to the size of a postage stamp). This paper summarizes key information on the effect of oxygen on islet viability and function within immunoisolation devices and describes the potential impact of enhanced oxygen supply to devices in vivo on device size reduction.

  3. Revisited study of fluorine implantation impact on negative bias temperature instability for input/output device of automotive micro controller unit

    Science.gov (United States)

    Yoshida, Tetsuya; Maekawa, Keiichi; Tsuda, Shibun; Shimizu, Tatsuo; Ogasawara, Makoto; Aono, Hideki; Yamaguchi, Yasuo

    2018-04-01

    We investigate the effect of fluorine implanted in the polycrystalline silicon (poly-Si) gate and source/drain (S/D) region on negative bias temperature instability (NBTI) improvement. It is found that there is a trade-off implantation energy dependence of NBTI between fluorine in the poly-Si gate and that in the S/D region. Fluorine implanted in the poly-Si gate contributes to NBTI improvement under low energy implantation. On the other hand, NBTI is improved by fluorine implanted in the S/D region under high energy. We propose that the two-step implantation process with high and low energy is the optimum condition for NBTI improvement.

  4. Effects of ion implantation on charges in the silicon--silicon dioxide system

    International Nuclear Information System (INIS)

    Learn, A.J.; Hess, D.W.

    1977-01-01

    Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide

  5. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  6. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  7. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  8. Hydrogen interstitial in H-ion implanted ZnO bulk single crystals: Evaluation by elastic recoil detection analysis and electron paramagnetic resonance

    Energy Technology Data Exchange (ETDEWEB)

    Kaida, T.; Kamioka, K.; Nishimura, T. [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kuriyama, K., E-mail: kuri@ionbeam.hosei.ac.jp [College of Engineering and Research Center of Ion Beam Technology, Hosei University, Koganei, Tokyo 184-8584 (Japan); Kushida, K. [Department of Arts and Sciences, Osaka Kyoiku University, Kashiwara, Osaka 582-8582 (Japan); Kinomura, A. [National Institute of Advanced Industrial Science and Technology, Tsukuba, Ibaraki 305-8568 (Japan)

    2015-12-15

    The origins of low resistivity in H ion-implanted ZnO bulk single crystals are evaluated by elastic recoil detection analysis (ERDA), electron paramagnetic resonance (EPR), and Van der Pauw methods. The H-ion implantation (peak concentration: 5.0 × 10{sup 15} cm{sup −2}) into ZnO is performed using a 500 keV implanter. The maximum of the concentration of the implanted H estimated by a TRIM simulation is at 3600 nm in depth. The resistivity decreases from ∼10{sup 3} Ω cm for un implanted ZnO to 6.5 Ω cm for as-implanted, 2.3 × 10{sup −1} Ω cm for 200 °C annealed, and 3.2 × 10{sup −1} Ω cm for 400 °C annealed samples. The ERDA measurements can evaluate the concentration of hydrogens which move to the vicinity of the surface (surface to 300 nm or 100 nm) because of the diffusion by the annealing at 200 °C and 400 °C. The hydrogen concentration near the surface estimated using the 2.0 MeV helium beam is ∼3.8 × 10{sup 13} cm{sup −2} for annealed samples. From EPR measurements, the oxygen vacancy of +charge state (V{sub o}{sup +}) is observed in as-implanted samples. The V{sub o}{sup +} related signal (g = 1.96) observed under no illumination disappears after successive illumination with a red LED and appears again with a blue light illumination. The activation energy of as-implanted, 200 °C annealed, and 400 °C annealed samples estimated from the temperature dependence of carrier concentration lies between 29 meV and 23 meV, suggesting the existence of H interstitial as a shallow donor level.

  9. Diffusion modelling of low-energy ion-implanted BF{sub 2} in crystalline silicon: Study of fluorine vacancy effect on boron diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Marcon, J. [Laboratoire Electronique Microtechnologie et Instrumentation (LEMI), University of Rouen, 76821 Mont Saint Aignan (France)], E-mail: Jerome.Marcon@univ-rouen.fr; Merabet, A. [Laboratoire de Physique et Mecanique des Materiaux Metalliques, Departement d' O.M.P., Faculte des Sciences de l' Ingenieur, Universite de Setif, 19000 Setif (Algeria)

    2008-12-05

    We have investigated and modelled the diffusion of boron implanted into crystalline silicon in the form of boron difluoride BF{sub 2}{sup +}. We have used published data for BF{sub 2}{sup +} implanted with an energy of 2.2 keV in crystalline silicon. Fluorine effects are considered by using vacancy-fluorine pairs which are responsible for the suppression of boron diffusion in crystalline silicon. Following Uematsu's works, the simulations satisfactory reproduce the SIMS experimental profiles in the 800-1000 deg. C temperature range. The boron diffusion model in silicon of Uematsu has been improved taking into account the last experimental data.

  10. The reactivity of ion-implanted SiC

    International Nuclear Information System (INIS)

    McHargue, C.J.; Lewis, M.B.; Williams, J.M.; Appleton, B.R.

    1985-01-01

    Implantation of chromium into single crystal or polycrystalline α-SiC produces a surface amorphous layer for displacement damage greater than about 0.2 displacements per atom at room temperature. The enhanced chemical reactivity of such specimens was studied by two methods: chemical etching rate and oxidation rate. The chemical etching rates in a saturated solution of 50% K 3 Fe(CN) 6 plus 50% KOH were measured. The etching rate for the amorphous layer was 2.4-3.7 times that of the polycrystalline samples and 3.0-4.1 times that of the single-crystal samples. Polycrystalline specimens were exposed to flowing oxygen for 1 h at 1300 0 C. Rutherford backscattering and the nuclear reaction 16 O(d,p) 17 O* were used to determine the amount of oxygen on the surface. The amount of oxygen (and the thickness of oxide) over the amorphous region was 1.67 times that over the crystalline region. The relative thicknesses of the oxide on the amorphous and crystalline regions were confirmed by measuring the sputtering time required to remove the oxygen signal in an Auger spectrometer. (Auth.)

  11. Characteristics of MOSFETs fabricated in silicon-on-insulator material formed by high-dose oxygen ion implantation

    International Nuclear Information System (INIS)

    Lam, H.W.; Pinizzotto, R.F.; Yuan, H.T.; Bellavance, D.W.

    1981-01-01

    By implanting a dose of 6 x 10 17 cm -2 of 32 O 2 + at 300 keV into a silicon wafer, a buried oxide layer is formed. Crystallinity of the silicon layer above the buried oxide layer is maintained by applying a high (>200 0 C) substrate temperature during the ion implantation process. A two-step anneal cycle is found to be adequate to form the insulating buried oxide layer and to repair the implantation damage in the silicon layer on top of the buried oxide. A surface electron mobility as high as 710 cm 2 /Vs has been measured in n-channel MOSFETs fabricated in a 0.5 μm-thick epitaxial layer grown on the buried oxide wafer. A minimum subthreshold current of about 10 pA per micron of channel width at Vsub(DS)=2 V has been measured. (author)

  12. Adsorption Energies of Carbon, Nitrogen, and Oxygen Atoms on the Low-temperature Amorphous Water Ice: A Systematic Estimation from Quantum Chemistry Calculations

    Science.gov (United States)

    Shimonishi, Takashi; Nakatani, Naoki; Furuya, Kenji; Hama, Tetsuya

    2018-03-01

    We propose a new simple computational model to estimate the adsorption energies of atoms and molecules to low-temperature amorphous water ice, and we present the adsorption energies of carbon (3 P), nitrogen (4 S), and oxygen (3 P) atoms based on quantum chemistry calculations. The adsorption energies were estimated to be 14,100 ± 420 K for carbon, 400 ± 30 K for nitrogen, and 1440 ± 160 K for oxygen. The adsorption energy of oxygen is consistent with experimentally reported values. We found that the binding of a nitrogen atom is purely physisorption, while that of a carbon atom is chemisorption, in which a chemical bond to an O atom of a water molecule is formed. That of an oxygen atom has a dual character, with both physisorption and chemisorption. The chemisorption of atomic carbon also implies the possibility of further chemical reactions to produce molecules bearing a C–O bond, though this may hinder the formation of methane on water ice via sequential hydrogenation of carbon atoms. These properties would have a large impact on the chemical evolution of carbon species in interstellar environments. We also investigated the effects of newly calculated adsorption energies on the chemical compositions of cold dense molecular clouds with the aid of gas-ice astrochemical simulations. We found that abundances of major nitrogen-bearing molecules, such as N2 and NH3, are significantly altered by applying the calculated adsorption energy, because nitrogen atoms can thermally diffuse on surfaces, even at 10 K.

  13. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  14. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  15. Tinting of intraocular lens implants

    International Nuclear Information System (INIS)

    Zigman, S.

    1982-01-01

    Intraocular lens (IOL) implants of polymethyl methacrylate (PMMA) lack an important yellow pigment useful as a filter in the visual process and in the protection of the retina from short-wavelength radiant energy. The ability to produce a yellow pigment in the PMMA used in IOL implants by exposure to near-ultraviolet (UV) light was tested. It was found that the highly cross-linked material in Copeland lens blanks was tinted slightly because of this exposure. The absorptive properties of lens blanks treated with near-UV light in this way approached that of the absorptive properties of human lenses. This finding shows that it is possible to alter IOL implants simply so as to induce a pale-yellow pigment in them to improve the visual process and to protect the retinas of IOL users

  16. Tinting of intraocular lens implants

    Energy Technology Data Exchange (ETDEWEB)

    Zigman, S.

    1982-06-01

    Intraocular lens (IOL) implants of polymethyl methacrylate (PMMA) lack an important yellow pigment useful as a filter in the visual process and in the protection of the retina from short-wavelength radiant energy. The ability to produce a yellow pigment in the PMMA used in IOL implants by exposure to near-ultraviolet (UV) light was tested. It was found that the highly cross-linked material in Copeland lens blanks was tinted slightly because of this exposure. The absorptive properties of lens blanks treated with near-UV light in this way approached that of the absorptive properties of human lenses. This finding shows that it is possible to alter IOL implants simply so as to induce a pale-yellow pigment in them to improve the visual process and to protect the retinas of IOL users.

  17. High energy-intensity atomic oxygen beam source for low earth orbit materials degradation studies

    International Nuclear Information System (INIS)

    Cross, J.B.; Blais, N.C.

    1988-01-01

    A high intensity (10 19 O-atoms/s-sr) high energy (5 eV) source of oxygen atoms has been developed that produces a total fluence of 10 22 O-atoms/cm 2 in less than 100 hours of continuous operation at a distance of 15 cm from the source. The source employs a CW CO 2 laser sustained discharge to form a high temperature (15,000 K) plasma in the throat of a 0.3-mm diameter nozzle using 3--8 atmospheres of rare gas/O 2 mixtures. Visible and infrared photon flux levels of 1 watt/cm 2 have been measured 15 cm downstream of the source while vacuum UV (VUV) fluxes are comparable to that measured in low earth orbit. The reactions of atomic oxygen with kapton, Teflon, silver, and various coatings have been studied. The oxidation of kapton (reaction efficiency = 3 /times/ 10/sup /minus/24/ cm /+-/ 50%) has an activation energy of 0.8 Kcal/mole over the temperature range of 25/degree/C to 100/degree/C at a beam energy of 1.5 eV and produces low molecular weight gas phase reaction products (H 2 O, NO, CO 2 ). Teflon reacts with ∼0.1--0.2 efficiency to that of kapton at 25/degree/C and both surfaces show a rug-like texture after exposure to the O-atom beam. Angular scattering distribution measurements of O-atoms show a near cosine distribution from reactive surfaces indicating complete accommodation of the translational energy with the surface while a nonreactive surface (nickel oxide) shows specular-like scattering with 50% accommodation of the translational energy with the surface. A technique for simple on orbit chemical experiments using resistance measurements of coated silver strips is described. 9 figs

  18. Long-term success of dental implants in patients with head and neck cancer after radiation therapy.

    Science.gov (United States)

    Curi, M M; Condezo, A F B; Ribeiro, K D C B; Cardoso, C L

    2018-06-01

    The purpose of this study was to analyze the long-term success and factors potentially influencing the success of dental implants placed in patients with head and neck cancer who underwent radiation therapy with a minimum total dose of 50Gy during the years 1995-2010. Thirty-five patients (169 dental implants) were included in this study. Data on demographic characteristics, tumour type, radiation therapy, implant sites, implant dimensions, and hyperbaric oxygen therapy (HBOT) were obtained from the medical records and analyzed. Implant survival was estimated using Kaplan-Meier survival curves. Seventy-nine dental implants were placed in the maxilla and 90 in the mandible. The mean follow-up after implant installation was 7.4 years (range 0.3-14.7 years). The overall 5-year survival rate for all implants was 92.9%. Sex (Pradiation therapy delivery (P=0.005) had a statistically significant influence on implant survival. Age, time of implantation after irradiation, implant brand and dimensions, and HBOT had no statistically significant influence on implant survival. Osseointegrated dental implants can be used successfully in the oral rehabilitation of patients with head and neck cancer with a history of radiation therapy. Risk factors such as sex and the mode of radiation therapy delivery can affect implant survival. Copyright © 2018 International Association of Oral and Maxillofacial Surgeons. Published by Elsevier Ltd. All rights reserved.

  19. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  20. A novel graded bioactive high adhesion implant coating

    International Nuclear Information System (INIS)

    Brohede, Ulrika; Zhao, Shuxi; Lindberg, Fredrik; Mihranyan, Albert; Forsgren, Johan; Stromme, Maria; Engqvist, Hakan

    2009-01-01

    One method to increase the clinical success rate of metal implants is to increase their bone bonding properties, i.e. to develop a bone bioactive surface leading to reduced risks of interfacial problems. Much research has been devoted to modifying the surface of metals to make them become bioactive. Many of the proposed methods include depositing a coating on the implant. However, there is a risk of coating failure due to low substrate adhesion. This paper describes a method to obtain bioactivity combined with a high coating adhesion via a gradient structure of the coating. Gradient coatings were deposited on Ti (grade 5) using reactive magnetron sputtering with increasing oxygen content. To increase the grain size in the coating, all coatings were post annealed at 385 deg. C. The obtained coating exhibited a gradual transition over 70 nm from crystalline titanium oxide (anatase) at the surface to metallic Ti in the substrate, as shown using cross-section transmission electron microscopy and X-ray photoelectron spectroscopy depth profiling. Using scratch testing, it could be shown that the adhesion to the substrate was well above 1 GPa. The bioactivity of the coating was verified in vitro by the spontaneous formation of hydroxylapatite upon storage in phosphate buffer solution at 37 deg. C for one week. The described process can be applied to implants irrespective of bulk metal in the base and should introduce the possibility to create safer permanent implants like reconstructive devices, dental, or spinal implants.

  1. Beam Profile Disturbances from Implantable Pacemakers or Implantable Cardioverter-Defibrillator Interactions

    Energy Technology Data Exchange (ETDEWEB)

    Gossman, Michael S., E-mail: mgossman@tsrcc.com [Tri-State Regional Cancer Center, Medical Physics Section, Ashland, KY (United States); Comprehensive Heart and Vascular Associates, Heart and Vascular Center, Ashland, KY (United States); Medtronic, Inc., External Research Program, Mounds View, MN (United States); Nagra, Bipinpreet; Graves-Calhoun, Alison; Wilkinson, Jeffrey [Tri-State Regional Cancer Center, Medical Physics Section, Ashland, KY (United States); Comprehensive Heart and Vascular Associates, Heart and Vascular Center, Ashland, KY (United States); Medtronic, Inc., External Research Program, Mounds View, MN (United States)

    2011-01-01

    The medical community is advocating for progressive improvement in the design of implantable cardioverter-defibrillators and implantable pacemakers to accommodate elevations in dose limitation criteria. With advancement already made for magnetic resonance imaging compatibility in some, a greater need is present to inform the radiation oncologist and medical physicist regarding treatment planning beam profile changes when such devices are in the field of a therapeutic radiation beam. Treatment plan modeling was conducted to simulate effects induced by Medtronic, Inc.-manufactured devices on therapeutic radiation beams. As a continuation of grant-supported research, we show that radial and transverse open beam profiles of a medical accelerator were altered when compared with profiles resulting when implantable pacemakers and cardioverter-defibrillators are placed directly in the beam. Results are markedly different between the 2 devices in the axial plane and the sagittal planes. Vast differences are also presented for the therapeutic beams at 6-MV and 18-MV x-ray energies. Maximum changes in percentage depth dose are observed for the implantable cardioverter-defibrillator as 9.3% at 6 MV and 10.1% at 18 MV, with worst distance to agreement of isodose lines at 2.3 cm and 1.3 cm, respectively. For the implantable pacemaker, the maximum changes in percentage depth dose were observed as 10.7% at 6 MV and 6.9% at 18 MV, with worst distance to agreement of isodose lines at 2.5 cm and 1.9 cm, respectively. No differences were discernible for the defibrillation leads and the pacing lead.

  2. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  3. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  4. Defects induced by helium implantation in SiC

    International Nuclear Information System (INIS)

    Oliviero, E.; Barbot, J.F.; Declemy, A.; Beaufort, M.F.; Oliviero, E.

    2008-01-01

    SiC is one of the considered materials for nuclear fuel conditioning and for the fabrication of some core structures in future nuclear generation reactors. For the development of this advance technology, a fundamental research on this material is of prime importance. In particular, the implantation/irradiation effects have to be understood and controlled. It is with this aim that the structural alterations induced by implantation/irradiation in SiC are studied by different experimental techniques as transmission electron microscopy, helium desorption, X-ray diffraction and Rutherford backscattering spectrometry. In this work, the different types of defects induced by helium implantation in SiC, point or primary defects (obtained at low energy (∼100 eV) until spread defects (obtained at higher energy (until ∼2 MeV)) are exposed. The amorphization/recrystallization and swelling phenomena are presented too. (O.M.)

  5. Radiation-damage recovery in undoped and oxidized Li doped Mg O crystals implanted with lithium ions

    Energy Technology Data Exchange (ETDEWEB)

    Alves, E. E-mail: ealves@itn.pt; Silva, R.C. da; Pinto, J.V.; Monteiro, T.; Savoini, B.; Caceres, D.; Gonzalez, R.; Chen, Y

    2003-05-01

    Undoped MgO and oxidized Li-doped MgO single crystals were implanted with 1 x 10{sup 17} Li{sup +}/cm{sup 2} at 175 keV. The Rutherford backscattering spectrometry (RBS)/channeling data obtained after implantation shows that damage was produced throughout the entire range of the implanted ions. Optical absorption measurements indicate that after implantation the most intense band occurs at {approx}5.0 eV, which has been associated with anion vacancies. After annealing at 450 K the intensity of the oxygen-vacancy band decreases monotonically with temperature and completely disappears at 950 K. A broad extinction band centered at {approx}2.14 eV associated with lithium precipitates emerges gradually and anneals out at 1250 K. RBS/channeling shows that recovery of the implantation damage is completed after annealing the oxidized samples at 1250 K.

  6. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    Energy Technology Data Exchange (ETDEWEB)

    Sangwijit, K. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Bang Khen, Chiang Mai 50290 (Thailand); Pitakrattananukool, S. [School of Science, University of Phayao, Muang, Phayao 56000 (Thailand); Anuntalabhochai, S. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand)

    2015-12-15

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 10{sup 12} to 1 × 10{sup 17} ions/cm{sup 2} treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  7. Monte Carlo simulation of boron-ion implantation into single-crystal silicon

    International Nuclear Information System (INIS)

    Klein, K.M.

    1991-01-01

    A physically based Monte Carlo boron implantation model developed comprehends previously neglected but important implant parameters such as native oxide layers, wafer temperature, beam divergence, tilt angle, rotation (twist) angle, and dose, in addition to energy. This model uses as its foundation the MARLOWE Monte Carlo simulation code developed at Oak Ridge National Laboratory for the analysis of radiation effects in materials. This code was carefully adapted for the simulation of ion implantation, and a number of significant improvements have been made, including the addition of atomic pair specific interatomic potentials, the implementation of a newly developed local electron concentration dependent electronic stopping model, and the implementation of a newly developed cumulative damage model. This improved version of the code, known as UT-MARLOWE, allows boron implantation profiles to be accurately predicted as a function of energy, tilt angle, rotation angle, and dose. This code has also been used in the development and implementation of an accurate and efficient two-dimensional boron implantation model

  8. Adaptive Transcutaneous Power Transfer to Implantable Devices: A State of the Art Review.

    Science.gov (United States)

    Bocan, Kara N; Sejdić, Ervin

    2016-03-18

    Wireless energy transfer is a broad research area that has recently become applicable to implantable medical devices. Wireless powering of and communication with implanted devices is possible through wireless transcutaneous energy transfer. However, designing wireless transcutaneous systems is complicated due to the variability of the environment. The focus of this review is on strategies to sense and adapt to environmental variations in wireless transcutaneous systems. Adaptive systems provide the ability to maintain performance in the face of both unpredictability (variation from expected parameters) and variability (changes over time). Current strategies in adaptive (or tunable) systems include sensing relevant metrics to evaluate the function of the system in its environment and adjusting control parameters according to sensed values through the use of tunable components. Some challenges of applying adaptive designs to implantable devices are challenges common to all implantable devices, including size and power reduction on the implant, efficiency of power transfer and safety related to energy absorption in tissue. Challenges specifically associated with adaptation include choosing relevant and accessible parameters to sense and adjust, minimizing the tuning time and complexity of control, utilizing feedback from the implanted device and coordinating adaptation at the transmitter and receiver.

  9. Adaptive Transcutaneous Power Transfer to Implantable Devices: A State of the Art Review

    Directory of Open Access Journals (Sweden)

    Kara N. Bocan

    2016-03-01

    Full Text Available Wireless energy transfer is a broad research area that has recently become applicable to implantable medical devices. Wireless powering of and communication with implanted devices is possible through wireless transcutaneous energy transfer. However, designing wireless transcutaneous systems is complicated due to the variability of the environment. The focus of this review is on strategies to sense and adapt to environmental variations in wireless transcutaneous systems. Adaptive systems provide the ability to maintain performance in the face of both unpredictability (variation from expected parameters and variability (changes over time. Current strategies in adaptive (or tunable systems include sensing relevant metrics to evaluate the function of the system in its environment and adjusting control parameters according to sensed values through the use of tunable components. Some challenges of applying adaptive designs to implantable devices are challenges common to all implantable devices, including size and power reduction on the implant, efficiency of power transfer and safety related to energy absorption in tissue. Challenges specifically associated with adaptation include choosing relevant and accessible parameters to sense and adjust, minimizing the tuning time and complexity of control, utilizing feedback from the implanted device and coordinating adaptation at the transmitter and receiver.

  10. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Long Zhong; Liu Kezhao; Bai Bin; Yan Dongxu

    2010-01-01

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  11. Corrosion resistance of modified layer on uranium formed by plasma immersion ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Long Zhong, E-mail: long2001@163.co [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China); Liu Kezhao; Bai Bin; Yan Dongxu [China Academy of Engineering Physics, Mianyang, Sichuan, 621900 (China)

    2010-02-18

    Nitrogen ion was implanted into uranium surface using plasma immersion ion implantation, and the corrosion resistance of modified layer was studied by corrosion experiment. SEM was used to observe variety of samples surface. In atmosphere, the sample surface had not changed during five months. In heat-humid environment, there was dot-corrosion appearing after two months, but it did not influence the integrity of the modified layer. AES was used to study the diffusion of oxygen and nitrogen during hot-humid corrosion, in three months, both of two elements diffused to the substrate, but the diffusion was weak. The structure of modified layer was not changed. Experimental results show that the modified layer formed by plasma immersion ion implantation has good corrosion resistance.

  12. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  13. Effects of sulphur ion implantation on the electrochemical behaviour of two stainless steels in sulphuric medium

    International Nuclear Information System (INIS)

    Nader-Roux, J.; Becdelievre, A.M. de; Gaillard, F.; Roux, R.; Becdelievre, J. de

    1986-01-01

    The electrochemical behaviour in sulphuric acid of two austenitic stainless steels (AISI 304 L and AISI 321) modified by sulphur ion implantation has been studied. Surface analysis of oxygen and sulphur by LEEIXS and XRFS were performed before and after polarization on unimplanted and on implanted samples. I/E curves with implanted steels reveal an important corrosion peak (α peak) recovering widely the active peak of unimplanted samples. For high implanted doses, another peak (β peak) appears in the passive range. High doses implanted steels polarized in the range of the α peak exhibit a sulphur enriched black surface layer. SEM examination of this layer shows it is constituted by flakes rolling up themselves. The formation of a superficial non-protective sulphide layer and the internal stresses of this layer explain the corrosion enhancement of sulphur implanted materials. After dissolution of this layer the behaviour of unimplanted steels is found again. (author)

  14. Implantable Glucose BioFuel Cells for Medical Devices

    International Nuclear Information System (INIS)

    Cinquin, P; Martin, D K; Cosnier, S; Belgacem, N; Cosnier, M L; Dal Molin, R

    2013-01-01

    An Implantable BioFuel Cell (IBFC) is a device that produces power only from the chemicals that are naturally occurring inside the body. We have been working on two approaches to creating an IBFC. The first approach is to use chemicals such as glucose and oxygen to provide the fuel for an enzymatic IBFC. The second approach is to use electrolytes such as sodium to provide the fuel for a biomimetic IBFC

  15. Damage induced by high energy multiply charged oxygen ions in oxide coated silicon

    Energy Technology Data Exchange (ETDEWEB)

    Dhole, S.D. [Department of Physics, University of Pune, Pune 411 007 (India)]. E-mail: sanjay@physics.unipune.ernet.in; Dahiwale, S.S. [Department of Physics, University of Pune, Pune 411 007 (India); Kulkarni, V.R. [Department of Physics, University of Pune, Pune 411 007 (India); Bogle, K.A. [Department of Physics, University of Pune, Pune 411 007 (India); Shinde, N.S. [Ecotopia Science Institute, Division of Energy Science, Nagoya University, Nagoya (Japan); Bhoraskar, V.N. [Department of Physics, University of Pune, Pune 411 007 (India)

    2006-03-15

    P-type oxide coated silicon samples of resistivity 120 {omega} cm were irradiated with 60 MeV oxygen ions of fixed charge states 4{sup +}, 5{sup +}, 6{sup +} and 7{sup +} at an equal fluence of, {phi}, {approx}10{sup 13} ions/cm{sup 2}. The induced damage was estimated by Hall voltage, Hall coefficient, carrier concentration and lifetime of minority carriers. The results indicate that Hall voltage (V {sub H}) and Hall coefficient (R {sub H}) increases, while carrier concentration (n) decreases with the charge state of impinging oxygen ions. The V {sub H} increases from 22 mV to 76.5 mV at typical current of 0.5 mA, R {sub H} from 0.42 x 10{sup 5} cm{sup 3}/C to 2.16 x 10{sup 5} cm{sup 3}/C and n decreases from 9 x 10{sup 13} cm{sup -3} to 2.88 x 10{sup 13} cm{sup -3} for the different charge states. This fact is an evidence that the oxygen ions with an individual fixed charge state passing through very thin 40 A layer of silicon dioxide, induces significant damage at the SiO{sub 2}-Si interface through the mechanism of electronic stopping power. The lifetime of minority charge carriers, {tau} (bulk property), remains constant at around 6 {mu}s for all the charge states of the 60 MeV energy oxygen ion irradiated samples at a constant fluence of, {phi}, 10{sup 13} ions/cm{sup 2}.

  16. Finishing broiler toms using an estradiol 17 beta implant together with a high energy-low protein final feed.

    Science.gov (United States)

    Moran, E T; Etches, R J

    1983-06-01

    Wrolstad Small White toms were implanted with 10 mg of estradiol 17 beta monopalmitate (EMP) at 8 weeks of age. Common corn-soybean meal feeds were given through to 12 weeks, then one-half the birds from control and EMP groups received either an adequate (16% protein, 3166 kcal ME/kg) or high energy-low protein (HE-LP, 12%, 3373 kcal) feed to 14 weeks. No differences in weight gain and feed conversion occurred between EMP and control treatments at 12 weeks but at 14 weeks when the HE-LP diet had been fed the implanted birds performed better than controls. The HE-LP feed led to body weights and feed efficiencies below that of toms given adequate diet. In all cases, EMP elicited male secondary sex characteristics rather than feminization. Processing losses were increased with EMP and when the HE-LP feed had been given. Both treatments also improved finish assessment and were additive to the extent that a substantial increase in grade occurred. Effects on carcass composition, yield of commercial cuts, and cooking loss were small. Implantation, reduced meat yield percentage of breast and thigh. The increase in grade advantage from combining EMP with a feed that forced fat deposition more than compensated for the adverse effects.

  17. The transmission diffraction patterns of silicon implanted with high-energy α-particles

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.

    1995-01-01

    2 mm thick silicon wafers, implanted with 4.8 MeV α-particles are studied by means of transmission section topography and additionally by Lang and double-crystal methods. It was found that all three methods produced a negligible contrast in the symmetric transmission reflection apart from some fragments of the implanted area's boundaries. The interference fringes were observed in the case of asymmetric reflections. The asymmetric section topographs revealed distinct interference fringes, which cannot be explained in terms of simple bicrystal models. In particular, the curvature of these fringes may be interpreted as being due to the change in the implanted ion dose along the beam intersecting the crystal. Some features of the fringe pattern were reproduced by numerical integration of Takagi-Taupin equations. (author)

  18. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  19. Bone compaction enhances fixation of weightbearing titanium implants

    DEFF Research Database (Denmark)

    Kold, Søren; Rahbek, Ole; Vestermark, Marianne

    2005-01-01

    are weightbearing, the effects of compaction on weightbearing implants were examined. The hypothesis was that compaction would increase implant fixation compared with conventional drilling. Porous-coated titanium implants were inserted bilaterally into the weightbearing portion of the femoral condyles of dogs....... In each dog, one knee had the implant cavity prepared with drilling, and the other knee was prepared with compaction. Eight dogs were euthanized after 2 weeks, and eight dogs were euthanized after 4 weeks. Femoral condyles from an additional eight dogs represented Time 0. Compacted specimens had higher...... bone-implant contact and periimplant bone density at 0 and 2 weeks, but not at 4 weeks. A biphasic response of compaction was found with a pushout test, as compaction increased ultimate shear strength and energy absorption at 0 and 4 weeks, but not at 2 weeks. This biphasic response indicates...

  20. Surface morphologies of He-implanted tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Bannister, M.E., E-mail: bannisterme@ornl.gov [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Meyer, F.W.; Hijazi, H. [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Unocic, K.A.; Garrison, L.M.; Parish, C.M. [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN (United States)

    2016-09-01

    Surface morphologies of tungsten surfaces, both polycrystalline and single-crystal [1 1 0], were investigated using SEM and FIB/SEM techniques after implantations at elevated surfaces temperatures (1200–1300 K) using well-characterized, mono-energetic He ion beams with a wide range of ion energies (218 eV–250 keV). Nanofuzz was observed on polycrystalline tungsten (PCW) following implantation of 100-keV He ions at a flux threshold of 0.9 × 10{sup 16} cm{sup −2} s{sup −1}, but not following 200-keV implantations with similar fluxes. No nanofuzz formation was observed on single-crystal [1 1 0] tungsten (SCW), despite fluxes exceeding those demonstrated previously to produce nanofuzz on polycrystalline tungsten. Pre-damaging the single-crystal tungsten with implanted C impurity interstitials did not significantly affect the surface morphologies resulting from the high-flux He ion implantations. The main factor leading to the different observed surface structures for the pristine and C-implanted single-crystal W samples appeared to be the peak He ion flux characterizing the different exposures. It was speculated that nanofuzz formation was not observed for any SCW target exposures because of increased incubation fluences required for such targets.

  1. Surface analysis of titanium dental implants with different topographies

    Directory of Open Access Journals (Sweden)

    Silva M.H. Prado da

    2000-01-01

    Full Text Available Cylindrical dental implants made of commercially pure titanium were analysed in four different surface finishes: as-machined, Al2O3 blasted with Al2O3 particles, plasma-sprayed with titanium beads and electrolytically coated with hydroxyapatite. Scanning electron microscopy (SEM with Energy Dispersive X-ray Analysis (EDX revealed the topography of the surfaces and provided qualitative results of the chemical composition of the different implants. X-ray Photoelectron Spectroscopy (XPS was used to perform chemical analysis on the surface of the implants while Laser Scanning Confocal Microscopy (LSM produced topographic maps of the analysed surfaces. Optical Profilometry was used to quantitatively characterise the level of roughness of the surfaces. The implant that was plasma-sprayed and the hydroxyapatite coated implant showed the roughest surface, followed by the implant blasted with alumina and the as-machined implant. Some remnant contamination from the processes of blasting, coating and cleaning was detected by XPS.

  2. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  3. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  4. Radiation blistering of Nb implanted sequentially with helium ions of different energies (3-500 keV)

    International Nuclear Information System (INIS)

    Guseva, M.I.; Gusev, V.; Krasulin, U.L.; Martinenko, U.V.; Das, S.K.; Kaminsky, M.S.

    1976-01-01

    Cold rolled, polycrystalline niobium samples were irradiated at room temperature with 4 He + ions sequentially at 14 different energies over an energy range from 3 keV--500 keV in steps of 50 keV. The dose for each energy was chosen to give an approximately uniform concentration of helium between the implant depths corresponding to 3 keV and 500 keV. In one set of experiments the irradiations were started at the Kurchatov Institute with 3 keV 4 He + ions and extended up to 80 keV in several steps. Subsequently, the same target area was irradiated with 4 He + ions at Argonne National Laboratory (ANL) starting at 100 keV and increased to 500 keV in steps of 50 keV. Another set of irradiations were started at ANL with 500 keV 4 He + ions and continued with decreasing ion energies to 100 keV. Subsequently, the same area was irradiated at the Kurchatov Institute starting at 80 keV and continued with decreasing ion energies to 3 keV. Both sets of irradiations were completed for two different total doses, 0.5 C cm -2 and 1.0 C cm -2

  5. Intracorporeal Heat Distribution from Fully Implantable Energy Sources for Mechanical Circulatory Support: A Computational Proof-of-Concept Study

    Directory of Open Access Journals (Sweden)

    Jacopo Biasetti

    2017-10-01

    Full Text Available Mechanical circulatory support devices, such as total artificial hearts and left ventricular assist devices, rely on external energy sources for their continuous operation. Clinically approved power supplies rely on percutaneous cables connecting an external energy source to the implanted device with the associated risk of infections. One alternative, investigated in the 70s and 80s, employs a fully implanted nuclear power source. The heat generated by the nuclear decay can be converted into electricity to power circulatory support devices. Due to the low conversion efficiencies, substantial levels of waste heat are generated and must be dissipated to avoid tissue damage, heat stroke, and death. The present work computationally evaluates the ability of the blood flow in the descending aorta to remove the locally generated waste heat for subsequent full-body distribution and dissipation, with the specific aim of investigating methods for containment of local peak temperatures within physiologically acceptable limits. To this aim, coupled fluid–solid heat transfer computational models of the blood flow in the human aorta and different heat exchanger architectures are developed. Particle tracking is used to evaluate temperature histories of cells passing through the heat exchanger region. The use of the blood flow in the descending aorta as a heat sink proves to be a viable approach for the removal of waste heat loads. With the basic heat exchanger design, blood thermal boundary layer temperatures exceed 50°C, possibly damaging blood cells and proteins. Improved designs of the heat exchanger, with the addition of fins and heat guides, allow for drastically lower blood temperatures, possibly leading to a more biocompatible implant. The ability to maintain blood temperatures at biologically compatible levels will ultimately allow for the body-wise distribution, and subsequent dissipation, of heat loads with minimum effects on the human physiology.

  6. Intracorporeal Heat Distribution from Fully Implantable Energy Sources for Mechanical Circulatory Support: A Computational Proof-of-Concept Study.

    Science.gov (United States)

    Biasetti, Jacopo; Pustavoitau, Aliaksei; Spazzini, Pier Giorgio

    2017-01-01

    Mechanical circulatory support devices, such as total artificial hearts and left ventricular assist devices, rely on external energy sources for their continuous operation. Clinically approved power supplies rely on percutaneous cables connecting an external energy source to the implanted device with the associated risk of infections. One alternative, investigated in the 70s and 80s, employs a fully implanted nuclear power source. The heat generated by the nuclear decay can be converted into electricity to power circulatory support devices. Due to the low conversion efficiencies, substantial levels of waste heat are generated and must be dissipated to avoid tissue damage, heat stroke, and death. The present work computationally evaluates the ability of the blood flow in the descending aorta to remove the locally generated waste heat for subsequent full-body distribution and dissipation, with the specific aim of investigating methods for containment of local peak temperatures within physiologically acceptable limits. To this aim, coupled fluid-solid heat transfer computational models of the blood flow in the human aorta and different heat exchanger architectures are developed. Particle tracking is used to evaluate temperature histories of cells passing through the heat exchanger region. The use of the blood flow in the descending aorta as a heat sink proves to be a viable approach for the removal of waste heat loads. With the basic heat exchanger design, blood thermal boundary layer temperatures exceed 50°C, possibly damaging blood cells and proteins. Improved designs of the heat exchanger, with the addition of fins and heat guides, allow for drastically lower blood temperatures, possibly leading to a more biocompatible implant. The ability to maintain blood temperatures at biologically compatible levels will ultimately allow for the body-wise distribution, and subsequent dissipation, of heat loads with minimum effects on the human physiology.

  7. Metallic artefact reduction with monoenergetic dual-energy CT: systematic ex vivo evaluation of posterior spinal fusion implants from various vendors and different spine levels

    Energy Technology Data Exchange (ETDEWEB)

    Guggenberger, R.; Winklhofer, S.; Andreisek, G.; Alkadhi, H.; Stolzmann, P. [University Hospital Zurich, Institute of Diagnostic and Interventional Radiology, Zurich (Switzerland); Osterhoff, G.; Wanner, G.A. [University Hospital Zurich, Department of Surgery, Division of Trauma Surgery, Zurich (Switzerland); Fortunati, M. [The Spine Center, Thun (Switzerland)

    2012-11-15

    To evaluate optimal monoenergetic dual-energy computed tomography (DECT) settings for artefact reduction of posterior spinal fusion implants of various vendors and spine levels. Posterior spinal fusion implants of five vendors for cervical, thoracic and lumbar spine were examined ex vivo with single-energy (SE) CT (120 kVp) and DECT (140/100 kVp). Extrapolated monoenergetic DECT images at 64, 69, 88, 105 keV and individually adjusted monoenergy for optimised image quality (OPTkeV) were generated. Two independent radiologists assessed quantitative and qualitative image parameters for each device and spine level. Inter-reader agreements of quantitative and qualitative parameters were high (ICC = 0.81-1.00, {kappa} = 0.54-0.77). HU values of spinal fusion implants were significantly different among vendors (P < 0.001), spine levels (P < 0.01) and among SECT, monoenergetic DECT of 64, 69, 88, 105 keV and OPTkeV (P < 0.01). Image quality was significantly (P < 0.001) different between datasets and improved with higher monoenergies of DECT compared with SECT (V = 0.58, P < 0.001). Artefacts decreased significantly (V = 0.51, P < 0.001) at higher monoenergies. OPTkeV values ranged from 123-141 keV. OPTkeV according to vendor and spine level are presented herein. Monoenergetic DECT provides significantly better image quality and less metallic artefacts from implants than SECT. Use of individual keV values for vendor and spine level is recommended. (orig.)

  8. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  9. Trapping of deuterium in krypton-implanted nickel

    International Nuclear Information System (INIS)

    Frank, R.C.; McManus, S.P.; Rehn, L.E.; Baldo, P.

    1986-01-01

    Krypton ions with energy 600 keV were implanted in nickel to fluences of 2 x 10 16 cm -2 under three different conditions. Deuterium was subsequently introduced into the implanted regions by electrolysis at room temperature. After the diffusible deuterium was permitted to escape, the 2 H( 3 He, 1 H) 4 He nuclear reaction was used to analyze for the trapped deuterium during an isochronal annealing program. The region implanted at 100 0 C with no higher temperature anneal had the largest number of traps; the region implanted at 100 0 C and annealed for 100 min at 500 0 C had considerably less; the region implanted at 500 0 C had the least. Electron diffraction patterns confirmed the existence of solid crystalline krypton in all three regions. Transmission electron microscope studies revealed precipitates with an average diameter of 8 nm in the region implanted at 500 0 C. The two regions implanted at 100 0 C contained smaller precipitates. Trap binding enthalpies were obtained by math modeling. In addition to the traps with binding enthalpy of 0.55 eV reported earlier by other investigators for helium implanted in nickel, a smaller number of traps with binding enthalpies up to 0.83 eV were also found. The trapping of deuterium by various types of imperfections, including the solid krypton precipitates, is discussed

  10. Trapping of deuterium in argon-implanted nickel

    International Nuclear Information System (INIS)

    Frank, R.C.; Rehn, L.E.; Baldo, P.

    1985-01-01

    Argon ions with energy 250 keV were implanted at fluences of 2 x 10 16 cm -2 at temperatures of 500, 250, and 21 0 C, in the specimen of relatively pure polycrystalline nickel. Deuterium was introduced into the surface and implanted regions by making the specimen the negative electrode of an electrolytic cell containing 1-N pure deuterated sulfuric acid. Deuterium trapped in the vacancy complexes of the implanted regions was analyzed as a function of temperature using the vacancy complexes of the implanted regions was analyzed as a function of temperature using the 2 H( 3 He, 1 H) 4 He nuclear reaction during an isochronal annealing process. The results indicate that the types of traps and trap densities found in the regions implanted at 21 and 250 0 C were essentially identical while the trap density found in the region implanted at 500 0 C was approximately 40% of that found in the other regions. Math model comparison with the experimental results suggests the existence of at least two types of traps in each region. Trap binding enthalpies used in the math model to fit the experimental data were slightly higher for the region implanted with argon at 500 0 C than for the regions implanted at the lower temperatures. TEM studies revealed the presence of small voids in the region implanted at 500 0 as well as dislocation loops similar to those found in the regions implanted at the lower temperatures. 20 references, 2 figures

  11. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  12. Oxygen adsorption on Cu-9 at. %Al(111) studied by low energy electron diffraction and Auger electron spectroscopy

    Science.gov (United States)

    Yoshitake, Michiko; Bera, Santanu; Yamauchi, Yasuhiro; Song, Weijie

    2003-07-01

    Cu-based alloys have been used for electric cables for long time. In the field of microelectronics, Al had been used for electrical wiring. However, it became clear that electromigration occurs in Al that causes breaking of wires in minute wirings. Due to this problem, Cu wiring is used in most advanced microprocessors. Cu metal is more corrosive than Al and Cu-based alloys with a small amount of Al is expected to solve problems both on electromigration and corrosion. The initial stage of corrosion is oxygen adsorption. We studied surface segregation of Al on Cu-9% Al(111) and oxygen adsorption on the surface with/without Al segregation in ultrahigh vacuum by low energy electron diffraction (LEED) and Auger electron spectroscopy. It was found that Al segregates on the surface to form (√3×√3)R30° structure and the structure vanishes above 595 K to give (1×1) structure while Al still segregates. The specimen was exposed to oxygen at different temperatures. The amount of oxygen uptake was not structure dependent but temperature dependent. Below 595 K, only a small amount of oxygen adsorbed. Between 595 and 870 K, oxygen adsorbed surface showed amorphous LEED pattern. The specimen was annealed at 1070 K after oxygen exposure. When the specimen was exposed oxygen below 870 K, the oxygen Auger intensity decreased significantly by annealing and the annealed surface showed (√3×√3)R30° structure at room temperature. When the specimen was exposed to oxygen at 870 K, diffused spots developed newly in LEED pattern but the pattern disappeared after 1070 K annealing while oxygen Auger intensity remained almost constant. Exposing the specimen to oxygen at 995 K resulted in clear spots in the LEED pattern, which were attributed to the (7/√3×7√3)R30° structure.

  13. Sugar for the fuel cell. Micro fuel cells for implants; Gib der Brennstoffzelle Zucker. Mikro-Brennstoffzellen fuer Implantate

    Energy Technology Data Exchange (ETDEWEB)

    Geitmann, Sven

    2012-04-15

    Scientists are working on micro fuel cells that will be capable of generating electric power from blood glucose. This may be an option for providing energy to cardiac pacemakers, hearing aids and other medical implants on a long-term basis. Surgery for battery exchange would be unnecessary, and patients would enjoy a better quality of life. In Germany, more than 70,000 cardiac pacemakers are implanted every year; batteries must be exchanged after about eight years. (orig.)

  14. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  15. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  16. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  17. Suppression of nanoindentation-induced phase transformation in crystalline silicon implanted with hydrogen

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet

    2017-09-01

    In this paper the effect of hydrogen implantation in silicon on nanoindentation-induced phase transformation is investigated. Hydrogen ions were implanted in silicon through 300 nm thick oxide with double energy implantation (75 and 40 keV). For both energies implantation dose was 4 × 1016 cm-2. Some samples were thermally annealed at 400 °C. The micro-Raman spectroscopy was applied on nanoindentation imprints and the obtained results were related to the pop out/elbow appearances in nanoindentatioin unloading-displacement curves. The Raman spectroscopy revealed a suppression of Si-XII and Si-III phases and formation of a-Si in the indents of hydrogen implanted Si. The high-resolution x-ray diffraction measurements were taken to support the analysis of silicon phase formation during nanoindentation. Implantation induced strain, high hydrogen concentration, and platelets generation were found to be the factors that control suppression of c-Si phases Si-XII and Si-III, as well as a-Si phase enhancement during nanoindentation. [Figure not available: see fulltext.

  18. Optimization of L(+)-Lactic Acid Fermentation Without Neutralisation of Rhizopus Oryzae Mutant RK02 by Low-Energy Ion Implantation

    International Nuclear Information System (INIS)

    Li Wen; Wang Tao; Yang Yingge; Liu Dan; Fan Yonghong; Wang Dongmei; Yang Qian; Yao Jianming; Zheng Zhiming; Yu Zengliang

    2008-01-01

    In order to get an industrial strain which can yield a high concentration of lactic acid for ISPR (in situ product removal), the original strain Rhizopus oryzae RE3303 was mutated by low-energy ion beam implantation. A mutant RK02 was screened, and the factors such as the substrate concentration, nitrogen source concentration, inoculum size, seed age, aeration and temperature that affect the production of lactic acid were studied in detail. Under optimal conditions, the maximum concentration of L(+)-lactic acid reached 34.85 g/L after 30 h shake-flask cultivation without adding any neutralisation (5% Glucose added), which was a 146% increase in lactic acid production after ion implantation compared with the original strain. It was also shown that RK02 can be used in ISPR to reduce the number of times of separation.

  19. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  20. Heart rate during basketball game play and volleyball drills accurately predicts oxygen uptake and energy expenditure.

    Science.gov (United States)

    Scribbans, T D; Berg, K; Narazaki, K; Janssen, I; Gurd, B J

    2015-09-01

    There is currently little information regarding the ability of metabolic prediction equations to accurately predict oxygen uptake and exercise intensity from heart rate (HR) during intermittent sport. The purpose of the present study was to develop and, cross-validate equations appropriate for accurately predicting oxygen cost (VO2) and energy expenditure from HR during intermittent sport participation. Eleven healthy adult males (19.9±1.1yrs) were recruited to establish the relationship between %VO2peak and %HRmax during low-intensity steady state endurance (END), moderate-intensity interval (MOD) and high intensity-interval exercise (HI), as performed on a cycle ergometer. Three equations (END, MOD, and HI) for predicting %VO2peak based on %HRmax were developed. HR and VO2 were directly measured during basketball games (6 male, 20.8±1.0 yrs; 6 female, 20.0±1.3yrs) and volleyball drills (12 female; 20.8±1.0yrs). Comparisons were made between measured and predicted VO2 and energy expenditure using the 3 equations developed and 2 previously published equations. The END and MOD equations accurately predicted VO2 and energy expenditure, while the HI equation underestimated, and the previously published equations systematically overestimated VO2 and energy expenditure. Intermittent sport VO2 and energy expenditure can be accurately predicted from heart rate data using either the END (%VO2peak=%HRmax x 1.008-17.17) or MOD (%VO2peak=%HRmax x 1.2-32) equations. These 2 simple equations provide an accessible and cost-effective method for accurate estimation of exercise intensity and energy expenditure during intermittent sport.

  1. Studies on biological effects of low energy N+ on ion beam implantation rice

    International Nuclear Information System (INIS)

    Wang Songli; Huang Qunce; Wang Tiegu; Qin Guangyong

    2006-01-01

    Dry seeds of five varieties of rice were implanted by 25 KeV low energy N + with doses of 2.0 x 10 17 , 2.5 x 10 17 and 3.0 x 10 17 N + /cm 2 , respectively. Mutant plants were selected from their progenies and the biological effects of the mutant plants were studied. The results showed that chlorophyll content of mutant plants was higher than that of the control, and one of those is as high as 148.67% of chlorophyll content compared with the control. Isoenzyme activities (POD, CAT and SOD) of mutant plants were different from the control plants. And AFLP analysis showed that the similarity between variant plants and feminine control plants was higher than that between variant plants and masculine control plants. (authors)

  2. Annealing of Al implanted 4H silicon carbide

    International Nuclear Information System (INIS)

    Hallen, A; Suchodolskis, A; Oesterman, J; Abtin, L; Linnarsson, M

    2006-01-01

    Al ions were implanted with multiple energies up to 250 keV at elevated temperatures in n-type 4H SiC epitaxial layers to reach a surface concentration of 1x10 20 cm -3 . These samples were then annealed at temperatures between 1500 and 1950 deg. C. A similar 4H SiC epitaxial sample was implanted by MeV Al ions to lower doses and annealed only at 200 and 400 deg. C. After annealing, cross-sections of the samples were characterized by scanning spreading resistance microscopy (SSRM). The results show that the resistivity of high-dose Al implanted samples has not reached a saturated value, even after annealing at the highest temperature. For the MeV Al implanted sample, the activation of Al has not yet started, but a substantial annealing of the implantation induced damage can be seen from the SSRM depth profiles

  3. Cerebral Oxygenation of the Cortex and Striatum following Normobaric Hyperoxia and Mild Hypoxia in Rats by EPR Oximetry using Multi-Probe Implantable Resonators

    Science.gov (United States)

    Hou, Huagang; Li, Hongbin; Dong, Ruhong; Mupparaju, Sriram; Khan, Nadeem; Swartz, Harold

    2013-01-01

    Multi-site electron paramagnetic resonance (EPR) oximetry, using multi-probe implantable resonators, was used to measure the partial pressure of oxygen (pO2) in the brains of rats following normobaric hyperoxia and mild hypoxia. The cerebral tissue pO2 was measured simultaneously in the cerebral cortex and striatum in the same rats before, during, and after normobaric hyperoxia and mild hypoxia challenges. The baseline mean tissue pO2 values (±SE) were not significantly different between the cortex and striatum. During 30 min of 100% O2 inhalation, a statistically significant increase in tissue pO2 of all four sites was observed, however, the tissue pO2 of the striatum area was significantly higher than in the forelimb area of the cortex. Brain pO2 significantly decreased from the baseline value during 15 min of 15% O2 challenge. No differences in the recovery of the cerebral cortex and striatum pO2 were observed when the rats were allowed to breathe 30% O2. It appears that EPR oximetry using implantable resonators can provide information on pO2 under the experimental conditions needed for such a study. The levels of pO2 that occurred in these experiments are readily resolvable by multi-site EPR oximetry with multi-probe resonators. In addition, the ability to simultaneously measure the pO2 in several areas of the brain provides important information that could potentially help differentiate the pO2 changes that can occur due to global or local mechanisms. PMID:21445770

  4. Stability and diffusion of Hg implanted YBa2Cu3O6+x

    International Nuclear Information System (INIS)

    Araujo, J.P.; Correia, J.G.; Wahl, U.; Marques, J.G.; Alves, E.; Amaral, V.S.; Lourenco, A.A.; Galindo, V.; Papen, T. von; Senateur, J.P.; Weiss, F.; Vantomme, A.; Langouche, G.; Melo, A.A.; Silva, M.F. da; Soares, J.C.; Sousa, J.B.

    1999-01-01

    The radioactive isotope 197m Hg was implanted at 60 keV with low fluences (10 13 ions/cm 2 ) into YBa 2 Cu 3 O 6+x (YBCO) superconducting thin films at ISOLDE/CERN. We report on the Hg dynamics and stability inside the YBCO lattice as a function of annealing temperature up to 890 K in a vacuum or O 2 atmosphere. The perturbed angular correlation (PAC) technique was used for probing the Hg behavior at the atomic scale, while by monitoring the sample's activity in situ the Hg outdiffusion was studied. We found that Hg ions occupy unique lattice sites and that Hg should be bound to two apical oxygens. Hg diffusion occurs only for annealing temperatures above 653 K, in vacuum. The Hg migration energy was estimated to be E M =1.58±0.15 eV

  5. Corrosion behaviour of ion implanted aluminium alloy in 0.1 M NaCl electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J.W.; Evans, P.J. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D.K. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Aluminum and its alloys are widely used in industry because of their light weight, high strength and good corrosion resistance which is due to the formation of a protective oxide layer. However, under saline conditions such as those encountered in marine environments, this group of metals are vulnerable to localised degradation in the form of pitting corrosion. This type of corrosion involves the adsorption of an anion, such as chlorine, at the oxide solution interface. Ion implantation of metal ions has been shown to improve the corrosion resistance of a variety of materials. This effect occurs : when the implanted species reduces anion adsorption thereby decreasing the corrosion rate. In this paper we report on the pitting behavior of Ti implanted 2011 Al alloy in dilute sodium chloride solution. The Ti implanted surfaces exhibited an increased pitting potential and a reduced oxygen uptake. 5 refs., 3 figs.

  6. Corrosion behaviour of ion implanted aluminium alloy in 0.1 M NaCl electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J W; Evans, P J [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Sood, D K [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Aluminum and its alloys are widely used in industry because of their light weight, high strength and good corrosion resistance which is due to the formation of a protective oxide layer. However, under saline conditions such as those encountered in marine environments, this group of metals are vulnerable to localised degradation in the form of pitting corrosion. This type of corrosion involves the adsorption of an anion, such as chlorine, at the oxide solution interface. Ion implantation of metal ions has been shown to improve the corrosion resistance of a variety of materials. This effect occurs : when the implanted species reduces anion adsorption thereby decreasing the corrosion rate. In this paper we report on the pitting behavior of Ti implanted 2011 Al alloy in dilute sodium chloride solution. The Ti implanted surfaces exhibited an increased pitting potential and a reduced oxygen uptake. 5 refs., 3 figs.

  7. The characteristics of surface oxidation and corrosion resistance of nitrogen implanted zircaloy-4

    International Nuclear Information System (INIS)

    Tang, G.; Choi, B.H.; Kim, W.; Jung, K.S.; Kwon, H.S.; Lee, S.J.; Lee, J.H.; Song, T.Y.; Shon, D.H.; Han, J.G.

    1997-01-01

    This work is concerned with the development and application of ion implantation techniques for improving the corrosion resistance of zircaloy-4. The corrosion resistance in nitrogen implanted zircaloy-4 under a 120 keV nitrogen ion beam at an ion dose of 3 x 10 17 cm -2 depends on the implantation temperature. The characteristics of surface oxidation and corrosion resistance were analyzed with the change of implantation temperature. It is shown that as implantation temperature rises from 100 to 724 C, the colour of specimen surface changes from its original colour to light yellow at 100 C, golden at 175 C, pink at 300 C, blue at 440 C and dark blue at 550 C. As the implantation temperature goes above 640 C, the colour of surface changes to light black, and the surface becomes a little rough. The corrosion resistance of zircaloy-4 implanted with nitrogen is sensitive to the implantation temperature. The pitting potential of specimens increases from 176 to 900 mV (SCE) as the implantation temperature increases from 100 to 300 C, and decreases from 900 to 90 mV(SCE) as the implantation temperature increases from 300 to 640 C. The microstructure, the distribution of oxygen, nitrogen and carbon elements, the oxide grain size and the feature of the precipitation in the implanted surface were investigated by optical microscope, TEM, EDS, XRD and AES. The experimental results reveal that the ZrO 2 is distributed mainly on the outer surface. The ZrN is distributed under the ZrO 2 layer. The characteristics of the distribution of ZrO 2 and ZrN in the nitrogen-implanted zircaloy-4 is influenced by the implantation temperature of the sample, and in turn the corrosion resistance is influenced. (orig.)

  8. Structural investigations of amorphised iron and nickel by high-fluence metalloid ion implantation

    International Nuclear Information System (INIS)

    Rauschenbach, B.; Otto, G.; Hohmuth, K.; Heera, V.

    1987-01-01

    Boron, phosphorus and arsenic ions have been implanted into evaporated iron and nickel thin films at room temperature, and the implantation-induced microstructure has been investigated by high-voltage electron microscopy and transmission high energy electron diffraction. The metal films were implanted with ions to a constant dose of 1 x 10 17 and 5 x 10 17 ions/cm 2 respectively at energy of 50 keV. An amorphous layer was produced by boron and phosphorus ion implantation. Information on the atomic structure of the amorphous layers was obtained from the elastically diffracted electron intensity. On the basis of the correct scattering curves, the total interference function and the pair correlation function were determined. Finally, the atomic arrangement of the implantation-induced amorphous layers is discussed and structure produced by ion irradiation is compared with amorphous structures formed with other techniques. (author)

  9. High-energy ion implantation of polymeric fibers for modification of reinforcement-matrix adhesion

    International Nuclear Information System (INIS)

    Grummon, D.S.; Schalek, R.; Ozzello, A.; Kalantar, J.; Drzal, L.T.

    1991-01-01

    We have previously reported on the effect of high-energy ion irradiation of ultrahigh molecular weight polyethylene (UHMW-PE), and Kevlar-49 polyaramid fibers, on fiber-matrix adhesion and interfacial shear strength (ISS) in epoxy matrix composites. Irradiation of UHMW-PE fibers produced large improvements in interfacial shear strength, without degrading fiber tensile strength. ISS was not generally affected in irradiated Kevlar-49, and fiber tensile strength decreased. The divergence in response between polyaramid and polyethylene relates both to differences in the mesoscopic structure of the individual fibers, and to the different forms of beam induced structural modification favored by the individual polymer chemistries. Here we report results of surface energy measurements, infrared spectroscopy analysis, and X-ray photoelectron spectroscopy studies on UHMW-PE and polyaramid fibers, irradiated to fluences between 2x10 12 and 5x10 15 cm -2 with N + , Ar + , Ti + , Na + , and He + at energies between 30 and 400 keV. UHMW-PE fibers showed a pronounced increase in the polar component of surface energy which could be associated with carbonyl, hydroxyl and hydroperoxide groups at the surface. Kevlar, on the other hand, tended toward carbonization and showed a decrease in nitrogen and oxygen concentrations and a sharp drop in polar surface energy. (orig.)

  10. Using carbon emissions, oxygen consumption, and retained energy to calculate dietary ME intake by beef steers

    Science.gov (United States)

    Eight cross-bred beef steers (initial BW = 241 ± 4.10 kg) were used in a 77-d feeding experiment to determine if ME intake can be determined from carbon emissions, oxygen consumption, and energy retention estimates. Steers were housed in a pen equipped with individual feed bunks and animal access w...

  11. Boron-enhanced diffusion of boron from ultralow-energy boron implantation

    International Nuclear Information System (INIS)

    Agarwal, A.; Eaglesham, D.J.; Gossmann, H.J.; Pelaz, L.; Herner, S.B.; Jacobson, D.C.

    1998-01-01

    The authors have investigated the diffusion enhancement mechanism of BED (boron enhanced diffusion), wherein the boron diffusivity is enhanced three to four times over the equilibrium diffusivity at 1,050 C in the proximity of a silicon layer containing a high boron concentration. It is shown that BED is associated with the formation of a fine-grain polycrystalline silicon boride phase within an initially amorphous Si layer having a high B concentration. For 0.5 keV B + , the threshold implantation dose which leads to BED lies between 3 x 10 14 and of 1 x 10 15 /cm -2 . Formation of the shallowest possible junctions by 0.5 keV B + requires that the implant dose be kept lower than this threshold

  12. Effects of annealing atmosphere on ZnO{sup -} ions-implanted silica glass: synthesis of Zn and ZnO nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Kuiri, P K [Department of Physics, Achhruram Memorial College, P.O. Jhalda, Purulia 723202 (India); Mahapatra, D P, E-mail: kuiripk@gmail.co [Institute of Physics, Sachivalaya Marg, Bhubaneswar 751005 (India)

    2010-10-06

    The effects of annealing atmosphere (argon or oxygen) on Zn nanoparticles (NPs), embedded in silica glass, synthesized by implanting 50 keV ZnO{sup -} ions to a fluence of 7 x 10{sup 16} cm{sup -2} have been studied. Optical absorption (OA) measurements carried out on the as-implanted samples have been found to result in a surface plasmon resonance (SPR) band centred at {approx}255 nm due to the presence of Zn NPs in the silica glass. An increase in SPR peak intensity with a corresponding reduction of its full width at half maximum have been seen in the OA spectrum taken from the as-implanted sample following annealing in Ar ambient at 700 {sup 0}C for 2 h, indicating a growth in the size of Zn NPs. However, annealing the as-implanted sample in O{sub 2} gas at 700 {sup 0}C for 2 h has been found to result in a steep absorption edge at {approx}364 nm in the OA spectrum which indicates the formation of ZnO NPs. These ZnO NPs show quantum confinement effects due to their small sizes. No observable photoluminescence (PL) emission has been seen from Zn NPs, whereas an excitonic band at {approx}368 nm and three deep-level PL emission bands at {approx}453 nm, {approx}521 nm and {approx}650 nm, respectively, have been seen from ZnO NPs. It was argued that the deep-level PLs were due to the singly ionized oxygen vacancies located at ZnO NPs' surfaces. These observations suggest that ZnO NPs were formed due to oxidation of Zn NPs via interaction with the indiffusing O{sub 2} molecules during annealing in O{sub 2} ambient, but not with the interaction of the implanted oxygen in silica glass.

  13. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Science.gov (United States)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  14. Nano-structure and tribological properties of B+ and Ti+ co-implanted silicon nitride

    International Nuclear Information System (INIS)

    Nakamura, Naoki; Noda, Katsutoshi; Yamauchi, Yukihiko

    2005-01-01

    Silicon nitride ceramics have been co-implanted with boron and titanium ions at a fluence of 2 x 10 17 ions/cm 2 and an energy of 200 keV. TEM results indicated that the boron and titanium-implanted layers were amorphized separately and titanium nitride nano-crystallites were formed in the titanium-implanted layer. XPS results indicated that the implantation profile varied a little depending on the ion implantation sequence of boron and titanium ions, with the boron implantation peak shifting to a shallower position when implanted after Ti + -implantation. Wear tests of these ion-implanted materials were carried out using a block-on-ring wear tester under non-lubricated conditions against commercially available silicon nitride materials. The specific wear rate was reduced by ion implantation and showed that the specific wear rate of Ti + -implanted sample was the lowest, followed by B + , Ti + co-implanted and B + -implanted samples

  15. Ion implantation range and energy deposition codes COREL, RASE4, and DAMG2

    International Nuclear Information System (INIS)

    Brice, D.K.

    1977-07-01

    The FORTRAN codes COREL, RASE4 and DAMG2 can be used to calculate quantities associated with ion implantation range and energy deposition distributions within an amorphous target, or for ions incident far from low index directions and planes in crystalline targets. RASE4 calculates the projected range, R/sub p/, the root mean square spread in the projected range, ΔR/sub p/, and the root mean square spread of the distribution perpendicular to the projected range ΔR/sub perpendicular to/. These parameters are calculated as a function of incident ion energy, E, and the instantaneous energy of the ion, E'. They are sufficient to determine the three dimensional spatial distribution of the ions in the target in the Gaussian approximation when the depth distribution is independent of the lateral distribution. RASE4 can perform these calculations for targets having up to four different component atomic species. The code COREL is a short, economical version of RASE4 which calculates the range and straggling variables for E' = 0. Its primary use in the present package is to provide the average range and straggling variables for recoiling target atoms which are created by the incident ion. This information is used by RASE4 in calculating the redistribution of deposited energy by the target atom recoils. The code DAMG2 uses the output from RASE4 to calculate the depth distribution of energy deposition into either atomic processes or electronic processes. With other input DAMG2 can be used to calculate the depth distribution of any energy dependent interaction between the incident ions and target atoms. This report documents the basic theory behind COREL, RASE4 and DAMG2, including a description of codes, listings, and complete instructions for using the codes, and their limitations

  16. Dynamics of oxygen ordering in YBa2CU3O6+x studied by neutron and high-energy synchrotron x-ray diffiaction.

    Science.gov (United States)

    Frello, T.; Andersen, N. H.; Madsen, J.; Ka¨ll, M.; von Zimmermann, M.; Schmidt, O.; Poulsen, H. F.; Schneider, J. R.; Wolf, Th.

    1997-08-01

    The dynamics of the ortho-II oxygen structure in a high purity YBa 2Cu 3O 6+ x single crystal with x=0.50 has been studied by neutron and by X-ray diffraction with a photon energy of 100 keV. Our data show that the oxygen order develops on two different time-scales, one of the order of seconds and a much slower of the order of weeks and months. The mechanism dominating the slow time-scale is related to oxygen diffusion, while the fast mechanism may result from a temperature-dependent change in the average oxygen chain length.

  17. Characterization of surface topography and chemical composition of mini-implants

    OpenAIRE

    Knop, Luegya Amorim Henriques; Soares, Ana Prates; Shintcovsk, Ricardo Lima; Martins, Lidia Parsekian; Gandini Jr., Luiz Gonzaga

    2015-01-01

    Abstract Aim : To assess the surface topography and chemical composition of three brands of as-received mini-implants (SIN(r), Morelli(r), and Conexao(r)). Methods: Twelve mini-implants of each brand were analyzed by scanning electron microscopy and energy dispersive X-ray (EDX). Results: There was no significant differences among SIN(r), Morelli(r), and Conexao(r) mini-implants comparing their surface topography by visualization of SEM micrographs and analysis of scores. The EDX analysis ...

  18. Thermal behaviour of nitrogen implanted into zirconium

    International Nuclear Information System (INIS)

    Miyagawa, S.; Ikeyama, M.; Saitoh, K.; Nakao, S.; Niwa, H.; Tanemura, S.; Miyagawa, Y.

    1994-01-01

    Zirconium films were implanted with 15 N ions of energy 50keV to a total fluence of 1x10 18 ionscm -2 in an attempt to study the formation process and thermal stability of ZrN layers produced by high fluence implantation of nitrogen. Subsequent to the implantation at room temperature, samples were annealed at temperatures of 300 C-900 C. The depth profiles of the implanted nitrogen were measured by nuclear reaction analysis using the 15 N(p,αγ) 12 C at E R =429keV, and the surfaces were examined by thin film X-ray diffraction (XRD) and scanning electron microscopy. There were many blisters 0.2-0.4μm in diameter on the surface of the as-implanted samples and double peaks were observed in the nitrogen depth profiles; they were in both sides of the mean projected range. It was found that most of the blisters became extinct after annealing above 400 C, and the XRD peak (111) intensity was increased with the increase in the annealing temperature. Moreover, 14 N and 15 N implantations were superimposed on Zr samples in order to study the atomic migration of nitrogen at each stage of high fluence implantation. It was found that the decrease in the peak at the deeper layers was related to blister extinction and nitrogen diffusion into underling zirconium which could be correlated with radiation damage induced by post-implanted ions. ((orig.))

  19. Amorphous surface layers in Ti-implanted Fe

    International Nuclear Information System (INIS)

    Knapp, J.A.; Follstaedt, D.M.; Picraux, S.T.

    1979-01-01

    Implanting Ti into high-purity Fe results in an amorphous surface layer which is composed of not only Fe and Ti, but also C. Implantations were carried out at room temperature over the energy range 90 to 190 keV and fluence range 1 to 2 x 10 16 at/cm 2 . The Ti-implanted Fe system has been characterized using transmission electron microscopy (TEM), ion backscattering and channeling analysis, and (d,p) nuclear reaction analysis. The amorphous layer was observed to form at the surface and grow inward with increasing Ti fluence. For an implant of 1 x 10 17 Ti/cm 2 at 180 keV the layer thickness was 150 A, while the measured range of the implanted Ti was approx. 550 A. This difference is due to the incorporation of C into the amorphous alloy by C being deposited on the surface during implantation and subsequently diffusing into the solid. Our results indicate that C is an essential constituent of the amorphous phase for Ti concentrations less than or equal to 10 at. %. For the 1 x 10 17 Ti/cm 2 implant, the concentration of C in the amorphous phase was approx. 25 at. %, while that of Ti was only approx. 3 at. %. A higher fluence implant of 2 x 10 17 Ti/cm 2 produced an amorphous layer with a lower C concentration of approx. 10 at. % and a Ti concentration of approx. 20 at. %

  20. Bond formation in hafnium atom implantation into SiC induced by high-energy electron irradiation

    International Nuclear Information System (INIS)

    Yasuda, H.; Mori, H.; Sakata, T.; Naka, M.; Fujita, H.

    1992-01-01

    Bilayer films of Hf (target atoms)/α-SiC (substrate) were irradiated with 2 MeV electrons in an ultra-high voltage electron microscope (UHVEM), with the electron beam incident on the hafnium layer. As a result of the irradiation, hafnium atoms were implanted into the SiC substrate. Changes in the microstructure and valence electronic states associated with the implantation were studied by a combination of UHVEM and Auger valence electron spectroscopy. The implantation process is summarized as follows. (1) Irradiation with 2 MeV electrons first induces a crystalline-to-amorphous transition in α-SiC. (2) Hafnium atoms which have been knocked-off from the hafnium layer by collision with the 2 MeV electrons are implanted into the resultant amorphous SiC. (3) The implanted hafnium atoms make preferential bonding to carbon atoms. (4) With continued irradiation, the hafnium atoms repeat the displacement along the beam direction and the subsequent bonding with the dangling hybrids of carbon and silicon. The repetition of the displacement and subsequent bonding lead to the deep implantation of hafnium atoms into the SiC substrate. It is concluded that implantation successfully occurs when the bond strength between a constituent atom of a substrate and an injected atom is stronger than that between constituent atoms of a substrate. (Author)