WorldWideScience

Sample records for energy implantation range

  1. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  2. High energy P implants in silicon

    International Nuclear Information System (INIS)

    Raineri, V.; Cacciato, A.; Benyaich, F.; Priolo, F.; Rimini, E.; Galvagno, G.; Capizzi, S.

    1992-01-01

    Phosphorus ions in the energy range 0.25-1 MeV and in the dose range 2x10 13 -1x10 15 P/cm 2 were implanted into (100) Si single crystal at different tilt angles. In particular channeling and random conditions were investigated. For comparison some implants were performed on samples with a 2 μm thick surface amorphous layer. Chemical concentration P profiles were obtained by secondary ion mass spectrometry. Carrier concentration and mobility profile measurements were carried out by sheet resistance and Hall measurements on implanted van der Pauw patterns. Carrier concentration profiles were also obtained by spreading resistance (SR) measurements. The damage in the as-implanted samples was determined by backscattering and channeling spectrometry (RBS) as a function of the dose and implantation energy. Comparison of random implants in crystal with implants in amorphous layers shows that in the first case it is impossible to completely avoid the channeling tail. In the implants performed under channeling conditions at low doses the P profiles are flat over more than 2 μm thick layers. Furthermore, by increasing the implanted dose, the shape of the profiles dramatically changes due to the dechanneling caused by the crystal disorder. The data are discussed and compared with Monte Carlo simulations using the MARLOWE code. A simple description of the electronic energy loss provides an excellent agreement between the calculated and experimental profiles. (orig.)

  3. Effects of H-implantation energy on the optical stability of implanted usher films under photo-irradiation

    International Nuclear Information System (INIS)

    Awazu, K.; Yasui, H.; Kasamori, M.; Ichikawa, T.; Funada, Y.; Iwaki, M.

    1999-01-01

    A study has been made on the improvement of the optical stability of urushi films under optical irradiation using ion implantation. Ion implantation of hydrogen ions in urushi films was performed with a dose of 10 15 ions/cm 2 at ion energies ranging from 0.2 to 150 keV at room temperature. The photo-irradiation onto the urushi films was carried out at irradiation energies ranging from 40 to 400 MJ/m 2 . H-implantation onto urushi films is useful for improving the optical stability under photo-irradiation when the implantation energy is larger than 60 keV

  4. Ion implantation range and energy deposition codes COREL, RASE4, and DAMG2

    International Nuclear Information System (INIS)

    Brice, D.K.

    1977-07-01

    The FORTRAN codes COREL, RASE4 and DAMG2 can be used to calculate quantities associated with ion implantation range and energy deposition distributions within an amorphous target, or for ions incident far from low index directions and planes in crystalline targets. RASE4 calculates the projected range, R/sub p/, the root mean square spread in the projected range, ΔR/sub p/, and the root mean square spread of the distribution perpendicular to the projected range ΔR/sub perpendicular to/. These parameters are calculated as a function of incident ion energy, E, and the instantaneous energy of the ion, E'. They are sufficient to determine the three dimensional spatial distribution of the ions in the target in the Gaussian approximation when the depth distribution is independent of the lateral distribution. RASE4 can perform these calculations for targets having up to four different component atomic species. The code COREL is a short, economical version of RASE4 which calculates the range and straggling variables for E' = 0. Its primary use in the present package is to provide the average range and straggling variables for recoiling target atoms which are created by the incident ion. This information is used by RASE4 in calculating the redistribution of deposited energy by the target atom recoils. The code DAMG2 uses the output from RASE4 to calculate the depth distribution of energy deposition into either atomic processes or electronic processes. With other input DAMG2 can be used to calculate the depth distribution of any energy dependent interaction between the incident ions and target atoms. This report documents the basic theory behind COREL, RASE4 and DAMG2, including a description of codes, listings, and complete instructions for using the codes, and their limitations

  5. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S.P.; Jamieson, D.N.; Nugent, K.W.; Prawer, S. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  6. Transverse microanalysis of high energy Ion implants

    Energy Technology Data Exchange (ETDEWEB)

    Dooley, S P; Jamieson, D N; Nugent, K W; Prawer, S [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    High energy ion implants in semiconductor materials have been analyzed by Channeling Contrast Microscopy (CCM) perpendicular to the implant direction, allowing imaging of the entire ion track. The damage produced by Channeled and Random 1.4 MeV H{sup +} implants into the edge of a <100> type IIa diamond wafer were analyzed by channeling into the face of the crystal. The results showed negligible damage in the surface region of the implants, and swelling induced misalignment at the end of range of the implants. Channeled 1.4 MeV H{sup +} implants in diamond had a range only 9% deeper than Random implants, which could be accounted for by dechanneling of the beam. The channeling of H{sup +}{sub 2} ions has been previously found to be identical to that of protons of half energy, however the current experiment has shown a 1% increase in {chi}{sub min} for H{sup +}{sub 2} in diamond compared to H{sup +} at 1,2 MeV per proton. This is due to repulsion between protons within the same channel. 5 refs., 2 figs.

  7. Channeling effect for low energy ion implantation in Si

    International Nuclear Information System (INIS)

    Cho, K.; Allen, W.R.; Finstad, T.G.; Chu, W.K.; Liu, J.; Wortman, J.J.

    1985-01-01

    Ion implantation is one of the most important processes in semiconductor device fabrication. Due to the crystalline nature of Si, channeling of implanted ions occurs during this process. Modern devices become smaller and shallower and therefore require ion implantation at lower energies. The effect of channeling on ion implantation becomes a significant problem for low energy ion implantation. The critical angle for axial and planar channeling increases with decreasing energy. This corresponds to an increased probability for channeling with lowering of ion energy. The industry approach to avoid the channeling problem is to employ a tilt angle of 7 0 between the ion implantation direction and the surface normal. We approach the problem by mapping major crystalline axes and planes near the [100] surface normal. Our analysis indicates that a 7 0 tilt is not an optimum selection in channeling reduction. Tilt angles in the range 5 0 to 6 0 combined with 7 0 +- 0.5 0 rotation from the (100) plane are better selections for the reduction of the channeling effect. The range of suitable angles is a function of the implantation energy. Implantations of boron along well specified crystallographic directions have been carried out by careful alignment and the resulting boron profiles measured by SIMS. (orig.)

  8. Long range implantation by MEVVA metal ion source

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Ma Furong; Liang Hong

    2001-01-01

    Metal vapor vacuum arc (MEVVA) source ion implantation is a new technology used for achieving long range ion implantation. It is very important for research and application of the ion beam modification of materials. The results show that the implanted atom diffusion coefficient increases in Mo implanted Al with high ion flux and high dose. The implanted depth is 311.6 times greater than that of the corresponding ion range. The ion species, doses and ion fluxes play an important part in the long-range implantation. Especially, thermal atom chemistry have specific effect on the long-range implantation during high ion flux implantation at transient high target temperature

  9. Subcutaneous Photovoltaic Infrared Energy Harvesting for Bio-Implantable Devices.

    Science.gov (United States)

    Moon, Eunseong; Blaauw, David; Phillips, Jamie D

    2017-05-01

    Wireless biomedical implantable devices on the mm-scale enable a wide range of applications for human health, safety, and identification, though energy harvesting and power generation are still looming challenges that impede their widespread application. Energy scavenging approaches to power biomedical implants have included thermal [1-3], kinetic [4-6], radio-frequency [7-11] and radiative sources [12-14]. However, the achievement of efficient energy scavenging for biomedical implants at the mm-scale has been elusive. Here we show that photovoltaic cells at the mm-scale can achieve a power conversion efficiency of more than 17 % for silicon and 31 % for GaAs under 1.06 μW/mm 2 infrared irradiation at 850 nm. Finally, these photovoltaic cells demonstrate highly efficient energy harvesting through biological tissue from ambient sunlight, or irradiation from infrared sources such as used in present-day surveillance systems, by utilizing the near infrared (NIR) transparency window between the 650 nm and 950 nm wavelength range [15-17].

  10. Monte carlo simulation of penetration range distribution of ion beam with low energy implanted in plant seeds

    International Nuclear Information System (INIS)

    Huang Xuchu; Hou Juan; Liu Xiaoyong

    2009-01-01

    The depth and density distribution of V + ion beam implanted into peanut seed is simulated by the Monte Carlo method. The action of ions implanted in plant seeds is studied by the classical collision theory of two objects, the electronic energy loss is calculated by Lindhard-Scharff formulation. The result indicates that the depth of 200keV V + implanted into peanut seed is 5.57μm, which agrees with experimental results, and the model is appropriate to describe this interaction. This paper provides a computational method for the depth and density distribution of ions with low energy implanted in plant seeds. (authors)

  11. Range distributions in multiply implanted targets

    International Nuclear Information System (INIS)

    Kostic, S.; Jimenez-Rodriguez, J.J.; Karpuzov, D.S.; Armour, D.G.; Carter, G.; Salford Univ.

    1984-01-01

    Range distributions in inhomogeneous binary targets have been investigated both theoretically and experimentally. Silicon single crystal targets [(111) orientation] were implanted with 40 keV Pb + ions to fluences in the range from 5x10 14 to 7.5x10 16 cm -2 prior to bombardment with 80 keV Kr + ions to a fluence of 5x10 15 cm -2 . The samples were analysed using high resolution Rutherford backscattering before and after the krypton implantation in order to determine the dependence of the krypton distribution on the amount of lead previously implanted. The theoretical analysis was undertaken using the formalism developed in [1] and the computer simulation was based on the MARLOWE code. The agreement between the experimental, theoretical and computational krypton profiles is very good and the results indicate that accurate prediction of ranges profiles in inhomogeneous binary targets is possible using available theoretical and computational treatments. (orig.)

  12. Electronic structure of xenon implanted with low energy in amorphous silicon

    International Nuclear Information System (INIS)

    Barbieri, P.F.; Landers, R.; Oliveira, M.H. de; Alvarez, F.; Marques, F.C.

    2007-01-01

    Electronic structure of Xe implanted in amorphous silicon (a-Si) films are investigated. Xe atoms were implanted with low energy by ion beam assisted deposition (IBAD) technique during growth of the a-Si films. The Xe implantation energy varied in the 0-300 eV energy range. X-ray photoelectron spectroscopy (XPS), X-ray Auger excited spectroscopy (XAES) and X-ray absorption spectroscopy (XAS) were used for investigating the Xe electronic structure. The Xe M 4 N 45 N 45 transitions were measured to extract the Auger parameter and to analyze the initial state and relaxation contributions. It was found that the binding energy variation is mainly due to initial state contribution. The relaxation energy variation also shows that the Xe trapped environment depends on the implantation energy. XAS measurements reveals that Xe atoms are dispersed in the a-Si matrix

  13. Monitoring Ion Implantation Energy Using Non-contact Characterization Methods

    Science.gov (United States)

    Tallian, M.; Pap, A.; Mocsar, K.; Somogyi, A.; Nadudvari, Gy.; Kosztka, D.; Pavelka, T.

    2011-01-01

    State-of-the-art ultra-shallow junctions are produced using extremely low ion implant energies, down to the range of 1-3 keV. This can be achieved by a variety of production techniques; however there is a significant risk that the actual implantation energy differs from the desired value. To detect this, sensitive measurement methods need to be utilized. Experiments show that both Photomodulated Reflection measurements before anneal and Junction Photovoltage-based sheet resistance measurements after anneal are suitable for this purpose.

  14. Investigation of amorphization energies for heavy ion implants into silicon carbide at depths far beyond the projected ranges

    Energy Technology Data Exchange (ETDEWEB)

    Friedland, E., E-mail: erich.friedland@up.ac.za

    2017-01-15

    At ion energies with inelastic stopping powers less than a few keV/nm, radiation damage is thought to be due to atomic displacements by elastic collisions only. However, it is well known that inelastic processes and non-linear effects due to defect interaction within collision cascades can significantly increase or decrease damage efficiencies. The importance of these processes changes significantly along the ion trajectory and becomes negligible at some distance beyond the projected range, where damage is mainly caused by slowly moving secondary recoils. Hence, in this region amorphization energies should become independent of the ion type and only reflect the properties of the target lattice. To investigate this, damage profiles were obtained from α-particle channeling spectra of 6H-SiC wafers implanted at room temperature with ions in the mass range 84 ⩽ M ⩽ 133, employing the computer code DICADA. An average amorphization dose of (0.7 ± 0.2) dpa and critical damage energy of (17 ± 6) eV/atom are obtained from TRIM simulations at the experimentally observed boundary positions of the amorphous zones.

  15. Deep levels induced by low energy B+ implantation into Ge-preamorphised silicon in correlation with end of range formation

    International Nuclear Information System (INIS)

    Benzohra, Mohamed; Olivie, Francois; Idrissi-Benzohra, Malika; Ketata, Kaouther; Ketata, Mohamed

    2002-01-01

    It is well established that low energy B + ion implantation into Ge- (or Si) implantation pre-amorphised silicon allows ultra-shallow p + n junctions formation. However, this process is known to generate defects such as dislocation loops, vacancies and interstitials which can act as vehicles to different mechanisms inducing electrically active levels into the silicon bulk. The junctions studied have been obtained using 3 keV/10 15 cm -2 B + implantation into Ge-implantation pre-amorphised substrates and into a reference crystalline substrate. Accurate measurements using deep level transient spectroscopy (DLTS) and isothermal transient capacitance ΔC(t,T) were performed to characterise these levels. Such knowledge is crucial to improve the device characteristics. In order to sweep the silicon band gap, various experimental conditions were considered. The analysis of DLTS spectra have first showed three deep levels associated to secondary induced defects. Their concentration profiles were derived from isothermal transient capacitance at depths up to 3.5 μm into the silicon bulk and allowed us to detect a new deep level. The evolution of such defect distribution in correlation with the technological steps is discussed. The end of range (EOR) defect influence on electrical activity of secondary induced defects in ultra-shallow p + n diodes is clearly demonstrated

  16. Influence of implantation energy on the electrical properties of ultrathin gate oxides grown on nitrogen implanted Si substrates

    International Nuclear Information System (INIS)

    Kapetanakis, E.; Skarlatos, D.; Tsamis, C.; Normand, P.; Tsoukalas, D.

    2003-01-01

    Metal-oxide-semiconductor tunnel diodes with gate oxides, in the range of 2.5-3.5 nm, grown either on 25 or 3 keV nitrogen-implanted Si substrates at (0.3 or 1) x10 15 cm -2 dose, respectively, are investigated. The dependence of N 2 + ion implant energy on the electrical quality of the growing oxide layers is studied through capacitance, equivalent parallel conductance, and gate current measurements. Superior electrical characteristics in terms of interface state trap density, leakage current, and breakdown fields are found for oxides obtained through 3 keV nitrogen implants. These findings together with the full absence of any extended defect in the silicon substrate make the low-energy nitrogen implantation technique an attractive option for reproducible low-cost growth of nanometer-thick gate oxides

  17. High energy ion implantation

    International Nuclear Information System (INIS)

    Ziegler, J.F.

    1985-01-01

    High energy ion implantation offers the oppertunity for unique structures in semiconductor processing. The unusual physical properties of such implantations are discussed as well as the special problems in masking and damage annealing. A review is made of proposed circuit structures which involve deep implantation. Examples are: deep buried bipolar collectors fabricated without epitaxy, barrier layers to reduce FET memory sensitivity to soft-fails, CMOS isolation well structures, MeV implantation for customization and correction of completed circuits, and graded reach-throughs to deep active device components. (orig.)

  18. Operation of low-energy ion implanters for Si, N, C ion implantation into silicon and glassy carbon

    International Nuclear Information System (INIS)

    Carder, D.A.; Markwitz, A.

    2009-01-01

    This report details the operation of the low-energy ion implanters at GNS Science for C, N and Si implantations. Two implanters are presented, from a description of the components through to instructions for operation. Historically the implanters have been identified with the labels 'industrial' and 'experimental'. However, the machines only differ significantly in the species of ions available for implantation and sample temperature during implantation. Both machines have been custom designed for research purposes, with a wide range of ion species available for ion implantation and the ability to implant two ions into the same sample at the same time from two different ion sources. A fast sample transfer capability and homogenous scanning profiles are featured in both cases. Samples up to 13 mm 2 can be implanted, with the ability to implant at temperatures down to liquid nitrogen temperatures. The implanters have been used to implant 28 Si + , 14 N + and 12 C + into silicon and glassy carbon substrates. Rutherford backscattering spectroscopy has been used to analyse the implanted material. From the data a Si 30 C 61 N 9 layer was measured extending from the surface to a depth of about 77 ± 2 nm for (100) silicon implanted with 12 C + and 14 N + at multiple energies. Silicon and nitrogen ion implantation into glassy carbon produced a Si (40.5 %), C (38 %), N (19.5 %) and O (2%) layer centred around a depth of 50 ± 2 nm from the surface. (author). 8 refs., 20 figs

  19. Implantation profile of low-energy positrons in solids

    International Nuclear Information System (INIS)

    Asoka-Kumar, P.; Lynn, K.G.

    1990-01-01

    A simple form for an implantation profile of monoenergetic, low-energy (1--10 keV) positrons in solids is presented. Materials studied include aluminum, copper, molybdenum, palladium, and gold with atomic number ranging from 13 to 79. A simple set of parameters can describe the currently used Makhov profile in slow positron studies of solids. We provide curves and tables for the parameters that can be used to describe the implantation profiles of positrons in any material with atomic number in between 13 and 79

  20. Pulse height defect in ion implanted silicon detector for heavy ions with Z=6-28 in the energy range ∼ 0.25-2.5 MeV/u

    International Nuclear Information System (INIS)

    Diwan, P.K.; Sharma, V.; Shyam Kumar; Avasthi, D.K.

    2005-01-01

    The response of ion implanted silicon detector has been studied for heavy ions with Z= 6-28 in the energy range ∼ 0.25-2.5 MeV/u utilizing the 15UD Pelletron Accelerator facility at Nuclear Science Centre, New Delhi, India. The variation of pulse height in ion implanted silicon detector with projectile's atomic number and its energy have been investigated. It has been observed that pulse height-energy calibration for a given projectile is described well by a linear relationship indicating no pulse height defect with the variation in energy for specific Z projectile. Pulse height defect has been found to increase with increasing projectile atomic number. The mean slope of the collected charge signal versus projectile energy depends significantly on the atomic number of the projectile. (author)

  1. Vacancy supersaturations produced by high-energy ion implantation

    International Nuclear Information System (INIS)

    Venezia, V.C.; Eaglesham, D.J.; Jacobson, D.C.; Gossmann, H.J.

    1998-01-01

    A new technique for detecting the vacancy clusters produced by high-energy ion implantation into silicon is proposed and tested. This technique takes advantage of the fact that metal impurities, such as Au, are gettered near one-half of the projected range (1/2 R p ) of MeV implants. The vacancy clustered region produced by a 2 MeV Si + implant into silicon has been labeled with Au diffused in from the front surface. The trapped Au was detected by Rutherford backscattering spectrometry (RBS) to profile the vacancy clusters. Cross section transmission electron microscopy (XTEM) analysis shows that the Au in the region of vacancy clusters is in the form of precipitates. By annealing MeV implanted samples prior to introduction of the Au, changes in the defect concentration within the vacancy clustered region were monitored as a function of annealing conditions

  2. Increased operational range for implantable UHF RFID antennas

    NARCIS (Netherlands)

    Dubok, A.; Smolders, A.B.

    2014-01-01

    This paper discusses the main design challenges of implantable UHF RFID antennas in lossy environments. A novel cylindrical implantable antenna concept is presented. The proposed antenna shows good performance inside lossy environments, like a human body. The RFID tag is able to work in a range up

  3. COREL, Ion Implantation in Solids, Range, Straggling Using Thomas-Fermi Cross-Sections. RASE4, Ion Implantation in Solids, Range, Straggling, Energy Deposition, Recoils. DAMG2, Ion Implantation in Solids, Energy Deposition Distribution with Recoils

    International Nuclear Information System (INIS)

    Brice, D. K.

    1979-01-01

    1 - Description of problem or function: COREL calculates the final average projected range, standard deviation in projected range, standard deviation in locations transverse to projected range, and average range along path for energetic atomic projectiles incident on amorphous targets or crystalline targets oriented such that the projectiles are not incident along low index crystallographic axes or planes. RASE4 calculates the instantaneous average projected range, standard deviation in projected range, standard deviation in locations transverse to projected range, and average range along path for energetic atomic projectiles incident on amorphous targets or crystalline targets oriented such that the projectiles are not incident along low index crystallographic axes or planes. RASE4 also calculates the instantaneous rate at which the projectile is depositing energy into atomic processes (damage) and into electronic processes (electronic excitation), the average range of target atom recoils projected onto the direction of motion of the projectiles, and the standard deviation in the recoil projected range. DAMG2 calculates the distribution in depth of the energy deposited into atomic processes (damage), electronic processes (electronic excitation), or other energy-dependent quality produced by energetic atomic projectiles incident on amorphous targets or crystalline targets oriented such that the projectiles are not incident along low index crystallographic axes or planes. 2 - Method of solution: COREL: The truncated differential equation which governs the several variables being sought is solved through second-order by trapezoidal integration. The energy-dependent coefficients in the equation are obtained by rectangular integration over the Thomas-Fermi elastic scattering cross section. RASE4: The truncated differential equation which governs the range and straggling variables is solved through second-order by trapezoidal integration. The energy

  4. High-energy ion implantation of materials

    International Nuclear Information System (INIS)

    Williams, J.M.

    1991-11-01

    High-energy ion implantation is an extremely flexible type of surface treatment technique, in that it offers the possibility of treating almost any type of target material or product with ions of almost any chemical species, or combinations of chemical species. In addition, ion implantations can be combined with variations in temperature during or after ion implantation. As a result, the possibility of approaching a wide variety of surface-related materials science problems exists with ion implantation. This paper will outline factors pertinent to application of high-energy ion implantation to surface engineering problems. This factors include fundamental advantages and limitations, economic considerations, present and future equipment, and aspects of materials science

  5. Transcript of the proceedings of the first Albuquerque informal range/energy workshop

    International Nuclear Information System (INIS)

    Brice, D.K.

    1981-04-01

    An informal workshop was held to discuss aspects of the calculation of range and energy deposition distributions which are of interest in ion implantation experiments. Topics covered include: problems encountered in using published range and energy deposition tabulations; some limitations in the solutions of range/energy transport equations; the effect of the scattering cross section on straggle; Monte Carlo calculations of ranges and straggling; damage studies in aluminum; simulation of heavy-ion irradiation of gold using MARLOWE; and MARLOWE calculations of range distribution parameters - dependence on input data and calculational model

  6. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  7. Surface-conductivity enhancement of PMMA by keV-energy metal-ion implantation

    International Nuclear Information System (INIS)

    Bannister, M.E.; Hijazi, H.; Meyer, H.M.; Cianciolo, V.; Meyer, F.W.

    2014-01-01

    An experiment has been proposed to measure the neutron electric dipole moment (nEDM) with high precision at the Oak Ridge National Laboratory (ORNL) Spallation Neutron Source. One of the requirements of this experiment is the development of PMMA (Lucite) material with a sufficiently conductive surface to permit its use as a high-voltage electrode while immersed in liquid He. At the ORNL Multicharged Ion Research Facility, an R and D activity is under way to achieve suitable surface conductivity in poly-methyl methacrylate (PMMA) using metal ion implantation. The metal implantation is performed using an electron-cyclotron-resonance (ECR) ion source and a recently developed beam line deceleration module that is capable of providing high flux beams for implantation at energies as low as a few tens of eV. The latter is essential for reaching implantation fluences exceeding 1 × 10 16 cm −2 , where typical percolation thresholds in polymers have been reported. In this contribution, we report results on initial implantation of Lucite by Ti and W beams with keV energies to average fluences in the range 0.5–6.2 × 10 16 cm −2 . Initial measurements of surface-resistivity changes are reported as function of implantation fluence, energy, and sample temperature. We also report X-ray photoelectron spectroscopy (XPS) surface and depth profiling measurements of the ion implanted samples, to identify possible correlations between the near surface and depth resolved implanted W concentrations and the measured surface resistivities

  8. High energy ion implantation for semiconductor application at Fraunhofer-AIS, Erlangen

    International Nuclear Information System (INIS)

    Frey, L.; Bogen, S.; Gong, L.; Jung, W.; Ryssel, H.; Gyulai, J.

    1992-01-01

    A new high energy ion implanter for research and development in semiconductor technology was put into operation at the Fraunhofer Institute in Erlangen. The system is used for generation of ion beams in the energy range from 100 keV to more than 6 MeV with currents up to 100 μA. A large variety of ion species can be implanted into silicon wafers with diameters up to 200 mm (with cassette-to-cassette loading up to 150 mm). The performance characteristics of the system are described with special emphasis on the end stations. In a first series of experiments, the range distributions of boron, phosphorus and arsenic in silicon have been measured for energies from 0.2 MeV to 10 MeV in order to get a data set for future applications. The profiles are compared to simulated data. First experimental results on lateral distribution of the dopant species are presented. (orig.)

  9. High-intensity low energy titanium ion implantation into zirconium alloy

    Science.gov (United States)

    Ryabchikov, A. I.; Kashkarov, E. B.; Pushilina, N. S.; Syrtanov, M. S.; Shevelev, A. E.; Korneva, O. S.; Sutygina, A. N.; Lider, A. M.

    2018-05-01

    This research describes the possibility of ultra-high dose deep titanium ion implantation for surface modification of zirconium alloy Zr-1Nb. The developed method based on repetitively pulsed high intensity low energy titanium ion implantation was used to modify the surface layer. The DC vacuum arc source was used to produce metal plasma. Plasma immersion titanium ions extraction and their ballistic focusing in equipotential space of biased electrode were used to produce high intensity titanium ion beam with the amplitude of 0.5 A at the ion current density 120 and 170 mA/cm2. The solar eclipse effect was used to prevent vacuum arc titanium macroparticles from appearing in the implantation area of Zr sample. Titanium low energy (mean ion energy E = 3 keV) ions were implanted into zirconium alloy with the dose in the range of (5.4-9.56) × 1020 ion/cm2. The effect of ion current density, implantation dose on the phase composition, microstructure and distribution of elements was studied by X-ray diffraction, scanning electron microscopy and glow-discharge optical emission spectroscopy, respectively. The results show the appearance of Zr-Ti intermetallic phases of different stoichiometry after Ti implantation. The intermetallic phases are transformed from both Zr0.7Ti0.3 and Zr0.5Ti0.5 to single Zr0.6Ti0.4 phase with the increase in the implantation dose. The changes in phase composition are attributed to Ti dissolution in zirconium lattice accompanied by the lattice distortions and appearance of macrostrains in intermetallic phases. The depth of Ti penetration into the bulk of Zr increases from 6 to 13 μm with the implantation dose. The hardness and wear resistance of the Ti-implanted zirconium alloy were increased by 1.5 and 1.4 times, respectively. The higher current density (170 mA/cm2) leads to the increase in the grain size and surface roughness negatively affecting the tribological properties of the alloy.

  10. Energy harvesting by implantable abiotically catalyzed glucose fuel cells

    Science.gov (United States)

    Kerzenmacher, S.; Ducrée, J.; Zengerle, R.; von Stetten, F.

    Implantable glucose fuel cells are a promising approach to realize an autonomous energy supply for medical implants that solely relies on the electrochemical reaction of oxygen and glucose. Key advantage over conventional batteries is the abundant availability of both reactants in body fluids, rendering the need for regular replacement or external recharging mechanisms obsolete. Implantable glucose fuel cells, based on abiotic catalysts such as noble metals and activated carbon, have already been developed as power supply for cardiac pacemakers in the late-1960s. Whereas, in vitro and preliminary in vivo studies demonstrated their long-term stability, the performance of these fuel cells is limited to the μW-range. Consequently, no further developments have been reported since high-capacity lithium iodine batteries for cardiac pacemakers became available in the mid-1970s. In recent years research has been focused on enzymatically catalyzed glucose fuel cells. They offer higher power densities than their abiotically catalyzed counterparts, but the limited enzyme stability impedes long-term application. In this context, the trend towards increasingly energy-efficient low power MEMS (micro-electro-mechanical systems) implants has revived the interest in abiotic catalysts as a long-term stable alternative. This review covers the state-of-the-art in implantable abiotically catalyzed glucose fuel cells and their development since the 1960s. Different embodiment concepts are presented and the historical achievements of academic and industrial research groups are critically reviewed. Special regard is given to the applicability of the concept as sustainable micro-power generator for implantable devices.

  11. Damaging Effect of Low Energy N+ Implantation on Aspergillus niger Spores

    International Nuclear Information System (INIS)

    Wang Lisheng; Cai Kezhou; Cheng Maoji; Chen Lijuan; Liu Xuelan; Zhang Shuqing; Yu Zengliang

    2007-01-01

    The mutant effects of a keV range nitrogen ion (N + ) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N + implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms

  12. Processing of Silver-Implanted Aluminum Nitride for Energy Harvesting Devices

    Science.gov (United States)

    Alleyne, Fatima Sierre

    One of the more attractive sources of green energy has roots in the popular recycling theme of other green technologies, now known by the term "energy scavenging." In its most promising conformation, energy scavenging converts cyclic mechanical vibrations in the environment or random mechanical pressure pulses, caused by sources ranging from operating machinery to human footfalls, into electrical energy via piezoelectric transducers. While commercial piezoelectrics have evolved to favor lead zirconate titanate (PZT) for its combination of superior properties, the presence of lead in these ceramic compounds raises resistance to their application in anything "green" due to potential health implications during their manufacturing, recycling, or in-service application, if leaching occurs. Therefore in this study we have pursued the application of aluminum nitride (AlN) as a non-toxic alternative to PZT, seeking processing pathways to augment the modest piezoelectric performance of AlN and exploit its compatibility with complementary-metal-oxide semiconductor (CMOS) manufacturing. Such piezoelectric transducers have been categorized as microelectromechanical systems (MEMS), which despite more than a decade of research in this field, is plagued by delamination at the electrode/piezoelectric interface. Consequently the electric field essential to generate and sustain the piezoelectric response of these devices is lost, resulting in device failure. Working on the hypothesis that buried conducting layers can both mitigate the delamination problem and generate sufficient electric field to engage the operation of resonator devices, we have undertaken a study of silver ion implantation to experimentally assess its feasibility. As with most ion implantation procedures employed in semiconductor fabrication, the implanted sample is subjected to a thermal treatment, encouraging diffusion-assisted precipitation of the implanted species at high enough concentrations. The objective

  13. Variable-energy positron-beam studies of Ni implanted with He

    International Nuclear Information System (INIS)

    Lynn, K.G.; Chen, D.M.; Nielsen, B.; Pareja, R.; Myers, S.

    1986-01-01

    Variable-energy positron-beam studies have been made on well-annealed polycrystalline Ni samples implanted with 30-, 90-, and 180-keV 4 He ions. The positron-annihilation characteristics were measured with a solid-state Ge detector at a number of different incident-positron energies and after isochronal annealing at various temperatures. The Doppler broadening of the annihilation photons was found to be strongly influenced by the 4 He implantations. The data indicate that trapping of the positrons occurred predominantly at small He bubbles. The variation of the broadening with incident-positron energy was sensitive to the depth distribution of the traps. A diffusion model assuming a square concentration-defect profile was developed and analytically fitted to the parametrized momentum data. These fitted results were compared to Monte Carlo range calculations for 4 He in Ni, and fairly good agreement was found. This investigation demonstrates the capabilities of positron annihilation for nondestructive depth profiling in ion-implanted systems. In addition, it establishes parallels between the trapping behavior of positrons and that reported elsewhere for hydrogen, thereby augmenting the present level of understanding of the technologically important trapping of hydrogen by the bubbles

  14. Low energy implantation of boron with decaborane ions

    Science.gov (United States)

    Albano, Maria Angela

    The goal of this dissertation was to determine the feasibility of a novel approach to forming ultra shallow p-type junctions (tens of nm) needed for future generations of Si MOS devices. In the new approach, B dopant atoms are implanted by cluster ions obtained by ionization of decaborane (B 10H14) vapor. An experimental ion implanter with an electron impact ion source and magnetic mass separation was built at the Ion Beam and Thin Film Research Laboratory at NJIT. Beams of B10Hx+ ions with currents of a few microamperes and energies of 1 to 12 keV were obtained and used for implantation experiments. Profiles of B and H atoms implanted in Si were measured by Secondary Ion Mass Spectroscopy (SIMS) before and after rapid thermal annealing (RTA). From the profiles, the junction depth of 57 nm (at 1018 cm-3 B concentration) was obtained with 12 keV decaborane ions followed by RTA. The dose of B atoms that can be implanted at low energy into Si is limited by sputtering as the ion beam sputters both the matrix and the implanted atoms. As the number of sputtered B atoms increases with the implanted dose and approaches the number of the implanted atoms, equilibrium of B in Si is established. This effect was investigated by comparison of the B dose calculated from the ion beam integration with B content in the sample measured by Nuclear Reaction Analysis (NRA). Maximum (equilibrium) doses of 1.35 x 1016 B cm -2 and 2.67 x 1016 B cm-2 were obtained at the beam energies of 5 and 12 keV, respectively. The problem of forming shallow p-type junctions in Si is related not only to implantation depth, but also to transient enhanced diffusion (TED). TED in Si implanted with B10Hx+ was measured on boron doping superlattice (B-DSL) marker layers. It was found that TED, following decaborane implantation, is the same as with monomer B+ ion implantation of equivalent energy and that it decreases with the decreasing ion energy. (Abstract shortened by UMI.)

  15. Dopant redistribution and electrical activation in silicon following ultra-low energy boron implantation and excimer laser annealing

    International Nuclear Information System (INIS)

    Whelan, S.; La Magna, A.; Privitera, V.; Mannino, G.; Italia, M.; Bongiorno, C.; Fortunato, G.; Mariucci, L.

    2003-01-01

    Excimer laser annealing (ELA) of ultra-low-energy (ULE) B-ion implanted Si has been performed. High-resolution transmission electron microscopy has been used to assess the as-implanted damage and the crystal recovery following ELA. The electrical activation and redistribution of B in Si during ELA has been investigated as a function of the laser energy density (melted depth), the implant dose, and the number of laser pulses (melt time). The activated and retained dose has been evaluated with spreading resistance profiling and secondary ion mass spectrometry. A significant amount of the implanted dopant was lost from the sample during ELA. However, the dopant that was retained in crystal material was fully activated following rapid resolidification. At an atomic concentration below the thermodynamic limit, the activation efficiency (dose activated/dose implanted into Si material) was a constant for a fixed melt depth, irrespective of the dose implanted and hence the total activated dose was raised as the implant dose was increased. The electrical activation was increased for high laser energy density annealing when the dopant was redistributed over a deeper range

  16. High energy ion implantation for IC processing

    International Nuclear Information System (INIS)

    Oosterhoff, S.

    1986-01-01

    In this thesis the results of fundamental research on high energy ion implantation in silicon are presented and discussed. The implantations have been carried out with the 500 kV HVEE ion implantation machine, that was acquired in 1981 by the IC technology and Electronics group at Twente University of Technology. The damage and anneal behaviour of 1 MeV boron implantations to a dose of 10 13 /cm 2 have been investigated as a function of anneal temperature by sheet resistance, Hall and noise measurements. (Auth.)

  17. Damaging Effect of Low Energy N{sup +} Implantation on Aspergillus niger Spores

    Energy Technology Data Exchange (ETDEWEB)

    Lisheng, Wang [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Kezhou, Cai [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Maoji, Cheng [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Lijuan, Chen [Department of Animal Science and Technology, Anhui Agricultural University, Hefei 230036 (China); Xuelan, Liu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Shuqing, Zhang [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China); Zengliang, Yu [Key Laboratory of Ion Beam Bioengineering, Institute of Plasma Physics, Chinese Academy of Science, Hefei 230031 (China)

    2007-06-15

    The mutant effects of a keV range nitrogen ion (N{sup +}) beam on enzyme-producing probiotics were studied, particularly with regard to the induction in the genome. The electron spin resonance (ESR) results showed that the signal of ESR spectrum existed in both implanted and non-implanted spores, and the yields of free radicals increased in a dose-dependent manner. The ionic etching and dilapidation of cell wall could be observed distinctly through the scanning electron microscope (SEM). The mutagenic effect on genome indicated that N{sup +} implantation could make base mutation. This study provided an insight into the roles low-energy ions might play in inducing mutagenesis of micro-organisms.

  18. Wide-Range Highly-Efficient Wireless Power Receivers for Implantable Biomedical Sensors

    KAUST Repository

    Ouda, Mahmoud

    2016-11-01

    Wireless power transfer (WPT) is the key enabler for a myriad of applications, from low-power RFIDs, and wireless sensors, to wirelessly charged electric vehicles, and even massive power transmission from space solar cells. One of the major challenges in designing implantable biomedical devices is the size and lifetime of the battery. Thus, replacing the battery with a miniaturized wireless power receiver (WPRx) facilitates designing sustainable biomedical implants in smaller volumes for sentient medical applications. In the first part of this dissertation, we propose a miniaturized, fully integrated, wirelessly powered implantable sensor with on-chip antenna, designed and implemented in a standard 0.18μm CMOS process. As a batteryless device, it can be implanted once inside the body with no need for further invasive surgeries to replace batteries. The proposed single-chip solution is designed for intraocular pressure monitoring (IOPM), and can serve as a sustainable platform for implantable devices or IoT nodes. A custom setup is developed to test the chip in a saline solution with electrical properties similar to those of the aqueous humor of the eye. The proposed chip, in this eye-like setup, is wirelessly charged to 1V from a 5W transmitter 3cm away from the chip. In the second part, we propose a self-biased, differential rectifier with enhanced efficiency over an extended range of input power. A prototype is designed for the medical implant communication service (MICS) band at 433MHz. It demonstrates an efficiency improvement of more than 40% in the rectifier power conversion efficiency (PCE) and a dynamic range extension of more than 50% relative to the conventional cross-coupled rectifier. A sensitivity of -15.2dBm input power for 1V output voltage and a peak PCE of 65% are achieved for a 50k load. In the third part, we propose a wide-range, differential RF-to-DC power converter using an adaptive, self-biasing technique. The proposed architecture doubles

  19. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    Budzynski, P., E-mail: p.budzynski@pollub.pl

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 10{sup 17} ion/cm{sup 2}, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  20. Long-range effect in nitrogen ion-implanted AISI 316L stainless steel

    Science.gov (United States)

    Budzynski, P.

    2015-01-01

    The effect of nitrogen ion implantation on AISI 316L stainless steel was investigated. The microstructure and composition of an N implanted layer were studied by RBS, GIXRD, SEM, and EDX measurements. Friction and wear tests were also performed. The discrepancy between the measured and calculated stopped ion maximum range does not exceed 0.03 μm. After nitrogen implantation with a fluence of 5 × 1017 ion/cm2, additional phases of expanded austenite were detected. At a 5-fold larger depth than the maximum ion range, improvement in the coefficient of friction and wear was detected. We have shown, for the first time, the long-range effect in tribological investigations. The long-range effect is caused by movement of not only defects along the depth of the sample, as assumed so far, but also nitrogen atoms.

  1. Research on nitrogen implantation energy dependence of the properties of SIMON materials

    International Nuclear Information System (INIS)

    Zhang, E.X.; Sun, J.Y.; Chen, J.; Chen, M.; Zhang, Zh.X.; Li, N.; Zhang, G.Q.; Wang, X.

    2006-01-01

    With different implantation energies, nitrogen ions were implanted into SIMOX wafers in our work. And then the wafers were subsequently annealed to form separated by implantation of oxygen and nitrogen (SIMON) wafers. Secondary ion mass spectroscopy (SIMS) was used to observe the distribution of nitrogen and oxygen in the wafers. The result of electron paramagnetic resonance (EPR) was suggested by the dandling bonds densities in the wafers changed with N ions implantation energies. SIMON-based SIS capacitors were made. The results of the C-V test confirmed that the energy of nitrogen implantation affects the properties of the wafers, and the optimum implantation energy was determined

  2. Formation of SiC using low energy CO2 ion implantation in silicon

    International Nuclear Information System (INIS)

    Sari, A.H.; Ghorbani, S.; Dorranian, D.; Azadfar, P.; Hojabri, A.R.; Ghoranneviss, M.

    2008-01-01

    Carbon dioxide ions with 29 keV energy were implanted into (4 0 0) high-purity p-type silicon wafers at nearly room temperature and doses in the range between 1 x 10 16 and 3 x 10 18 ions/cm 2 . X-ray diffraction analysis (XRD) was used to characterize the formation of SiC in implanted Si substrate. The formation of SiC and its crystalline structure obtained from above mentioned technique. Topographical changes induced on silicon surface, grains and evaluation of them at different doses observed by atomic force microscopy (AFM). Infrared reflectance (IR) and Raman scattering measurements were used to reconfirm the formation of SiC in implanted Si substrate. The electrical properties of implanted samples measured by four point probe technique. The results show that implantation of carbon dioxide ions directly leads to formation of 15R-SiC. By increasing the implantation dose a significant changes were also observed on roughness and sheet resistivity properties.

  3. Structural and compositional characterization of LiNbO{sub 3} crystals implanted with high energy iron ions

    Energy Technology Data Exchange (ETDEWEB)

    Sada, C., E-mail: cinzia.sada@unipd.i [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Argiolas, N.; Bazzan, M.; Ciampolillo, M.V.; Zaltron, A.M.; Mazzoldi, P. [Universita di Padova and CNISM, Dipartimento di Fisica, Via Marzolo 8, 35131 Padova (Italy); Agarwal, D.C.; Avastshi, D.K. [Inter-University Accelerator Centre, Post Box-10502, New Delhi 110067 (India)

    2010-10-01

    Iron ions were implanted with a total fluence of 6 x 10{sup 17} ions/m{sup 2} into lithium niobate crystals by way of a sequential implantation at different energies of 95, 100 and 105 MeV respectively through an energy retarder Fe foil to get a uniform Fe doping of about few microns from the surface. The implanted crystals were then annealed in air in the range 200-400 {sup o}C for different durations to promote the crystalline quality that was damaged by implantation. In order to understand the basic phenomena underlying the implantation process, compositional in-depth profiles obtained by the secondary ion mass spectrometry were correlated to the structural properties of the implanted region measured by the high resolution X-ray diffraction depending on the process parameters. The optimised preparation conditions are outlined in order to recover the crystalline quality, essential for integrated photorefractive applications.

  4. The effect of interatomic potential in molecular dynamics simulation of low energy ion implantation

    International Nuclear Information System (INIS)

    Chan, H.Y.; Nordlund, K.; Peltola, J.; Gossmann, H.-J.L.; Ma, N.L.; Srinivasan, M.P.; Benistant, F.; Chan, Lap

    2005-01-01

    Being able to accurately predict dopant profiles at sub-keV implant energies is critical for the microelectronic industry. Molecular Dynamics (MD), with its capability to account for multiple interactions as energy lowers, is an increasingly popular simulation method. We report our work on sub-keV implantation using MD and investigate the effect of different interatomic potentials on the range profiles. As an approximation, only pair potentials are considered in this work. Density Functional Theory (DFT) is used to calculate the pair potentials for a wide range of dopants (B, C, N, F, Si, P, Ga, Ge, As, In and Sb) in single crystalline silicon. A commonly used repulsive potential is also included in the study. Importance of the repulsive and attractive regions of the potential has been investigated with different elements and we show that a potential depicting the right attractive forces is especially important for heavy elements at low energies

  5. On the origin of apparent Z{sub 1}-oscillations in low-energy heavy-ion ranges

    Energy Technology Data Exchange (ETDEWEB)

    Wittmaack, Klaus, E-mail: wittmaack@helmholtz-muenchen.de

    2016-12-01

    It has been known for quite some time that projected ranges measured by Rutherford backscattering spectrometry for a variety of low-energy heavy ions (energy-to-mass ratio E/M{sub 1} less than ∼0.4 keV/u) exhibit significant or even pronounced deviations from the theoretically predicted smooth dependence on the projectile’s atomic number Z{sub 1}. Studied most thoroughly for silicon targets, the effect was attributed to ‘Z{sub 1} oscillations’ in nuclear stopping, in false analogy to the well established Z{sub 1} oscillations in electronic stopping of low-velocity light ions. In this study an attempt was made to get order into range data published by four different groups. To achieve the goal, the absolute values of the ranges from each group had to be (re-)adjusted by up to about ±10%. Adequate justification for this approach is provided. With the changes made, similarities and differences between the different sets of data became much more transparent than before. Very important is the finding that the distortions in heavy-ion ranges are not oscillatory in nature but mostly one-sided, reflecting element-specific transport of implanted atoms deeper into the solid. Exceptions are rare gas and alkali elements, known to exhibit bombardment induced transport towards the surface. Range distortions reported for Xe and Cs could be reproduced on the basis of the recently established rapid relocation model. The extent of transport into the bulk, observed with many other elements, notably noble metals and lanthanides, reflects their high mobility under ion bombardment. The complexity of the element specific transport phenomena became fully evident by also examining the limited number of data available for the apparent range straggling. Profile broadening was identified in several cases. One element (Eu) was found to exhibit profile narrowing. This observation suggests that implanted atoms may agglomerate at peak concentrations up to 2%, possibly a tool for

  6. Monte Carlo simulation of channeled and random profiles of heavy ions implanted in silicon at high energy (1.2 MeV)

    International Nuclear Information System (INIS)

    Mazzone, A.M.

    1987-01-01

    In order to study channeling effects and implants of heavy ions with energy of few MeV in silicon, ion distributions are calculated with a Monte Carlo method for axial [(001) axis], planar, and nominally random directions for As + and P + ions implanted into silicon with energies in the range 100 keV to 2 MeV. The calculation indicates an appreciable channeling at the higher energy only for the (001) axis and the (110) planes. For heavy ions with energy in the MeV range the subsidence of channeling into major channels and the disappearance of minor channels are shown

  7. Martensitic transformation of type 304 stainless steel by high-energy ion implantation

    International Nuclear Information System (INIS)

    Chayahara, A.; Satou, M.; Nakashima, S.; Hashimoto, M.; Sasaki, T.; Kurokawa, M.; Kiyama, S.

    1991-01-01

    The effect of high-energy ion implantation on the structural changes of type 304 stainless steel were investigated. Gold, copper and silicon ions with an energy of 1.5 MeV was implanted into stainless steel. The fluences were in the range from 5x10 15 to 10 17 ions/cm 2 . It was found that the structure of stainless steel was transformed form the austenitic to the martensitic structure by these ion implantations. This structural change was investigated by means of X-ray diffraction and transmission electron microscopy (TEM). The depth profile of the irradiated ions was also analyzed by secondary ion mass spectroscopy (SIMS) and glow discharge spectroscopy (GDS). The degree of martensitic transformation was found to be strongly dependent on the surface pretreatment, either mechanical or electrolytic polishing. When the surface damages or strains by mechanical polishing were present, the martensitic transformation was greatly accelerated presumably due to the combined action of ion irradiation and strain-enhanced transformation. Heavier ions exhibit a high efficiency for the transformation. (orig.)

  8. Recoil implantation of boron into silicon by high energy silicon ions

    Science.gov (United States)

    Shao, L.; Lu, X. M.; Wang, X. M.; Rusakova, I.; Mount, G.; Zhang, L. H.; Liu, J. R.; Chu, Wei-Kan

    2001-07-01

    A recoil implantation technique for shallow junction formation was investigated. After e-gun deposition of a B layer onto Si, 10, 50, or 500 keV Si ion beams were used to introduce surface deposited B atoms into Si by knock-on. It has been shown that recoil implantation with high energy incident ions like 500 keV produces a shallower B profile than lower energy implantation such as 10 keV and 50 keV. This is due to the fact that recoil probability at a given angle is a strong function of the energy of the primary projectile. Boron diffusion was showed to be suppressed in high energy recoil implantation and such suppression became more obvious at higher Si doses. It was suggested that vacancy rich region due to defect imbalance plays the role to suppress B diffusion. Sub-100 nm junction can be formed by this technique with the advantage of high throughput of high energy implanters.

  9. Implantation of keV-energy argon clusters and radiation damage in diamond

    DEFF Research Database (Denmark)

    Popok, Vladimir; Samela, Juha; Nordlund, Kai

    2012-01-01

    We show that for impacting argon clusters, both mean projected ranges of the constituents and depths of radiation damage in diamond scale linearly with momentum. The same dependence was earlier found for keV-energy cluster implantation in graphite, thus suggesting the universality of this scaling...... law. For diamond, a good agreement for the value of displacement energy for the case of cluster impact is found by comparing the calculated target sputtering and experimentally measured depth of radiation damage....

  10. Silicon exfoliation by hydrogen implantation: Actual nature of precursor defects

    Energy Technology Data Exchange (ETDEWEB)

    Kuisseu, Pauline Sylvia Pokam, E-mail: pauline-sylvia.pokam-kuisseu@cnrs-orleans.fr [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Pingault, Timothée; Ntsoenzok, Esidor [CEMHTI-CNRS, 3A, rue de la férollerie, 45071 Orléans (France); Regula, Gabrielle [IM2NP-CNRS-Université d’Aix-Marseille, Avenue Escadrille Normandie Niemen, 13397 Marseille (France); Mazen, Frédéric [CEA-Leti, MINATEC campus, 17, rue des Martyrs, 38054 Grenoble Cedex 9 (France); Sauldubois, Audrey [Université d’Orléans, rue de Chartres – Collegium ST, 45067 Orléans (France); Andreazza, Caroline [ICMN-CNRS-Université d’Orléans, 1b rue de la férollerie, 45071 Orléans (France)

    2017-06-15

    MeV energy hydrogen implantation in silicon followed by a thermal annealing is a very smart way to produce high crystalline quality silicon substrates, much thinner than what can be obtained by diamond disk or wire sawing. Using this kerf-less approach, ultra-thin substrates with thicknesses between 15 µm and 100 µm, compatible with microelectronic and photovoltaic applications are reported. But, despite the benefits of this approach, there is still a lack of fundamental studies at this implantation energy range. However, if very few papers have addressed the MeV energy range, a lot of works have been carried out in the keV implantation energy range, which is the one used in the smart-cut® technology. In order to check if the nature and the growth mechanism of extended defects reported in the widely studied keV implantation energy range could be extrapolated in the MeV range, the thermal evolution of extended defects formed after MeV hydrogen implantation in (100) Si was investigated in this study. Samples were implanted at 1 MeV with different fluences ranging from 6 × 10{sup 16} H/cm{sup 2} to 2 × 10{sup 17} H/cm{sup 2} and annealed at temperatures up to 873 K. By cross-section transmission electron microscopy, we found that the nature of extended defects in the MeV range is quite different of what is observed in the keV range. In fact, in our implantation conditions, the generated extended defects are some kinds of planar clusters of gas-filled lenses, instead of platelets as commonly reported in the keV energy range. This result underlines that hydrogen behaves differently when it is introduced in silicon at high or low implantation energy. The activation energy of the growth of these extended defects is independent of the chosen fluence and is between (0.5–0.6) eV, which is very close to the activation energy reported for atomic hydrogen diffusion in a perfect silicon crystal.

  11. Predicting Low Energy Dopant Implant Profiles in Semiconductors using Molecular Dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Beardmore, K.M.; Gronbech-Jensen, N.

    1999-05-02

    The authors present a highly efficient molecular dynamics scheme for calculating dopant density profiles in group-IV alloy, and III-V zinc blende structure materials. Their scheme incorporates several necessary methods for reducing computational overhead, plus a rare event algorithm to give statistical accuracy over several orders of magnitude change in the dopant concentration. The code uses a molecular dynamics (MD) model to describe ion-target interactions. Atomic interactions are described by a combination of 'many-body' and pair specific screened Coulomb potentials. Accumulative damage is accounted for using a Kinchin-Pease type model, inelastic energy loss is represented by a Firsov expression, and electronic stopping is described by a modified Brandt-Kitagawa model which contains a single adjustable ion-target dependent parameter. Thus, the program is easily extensible beyond a given validation range, and is therefore truly predictive over a wide range of implant energies and angles. The scheme is especially suited for calculating profiles due to low energy and to situations where a predictive capability is required with the minimum of experimental validation. They give examples of using the code to calculate concentration profiles and 2D 'point response' profiles of dopants in crystalline silicon and gallium-arsenide. Here they can predict the experimental profile over five orders of magnitude for <100> and <110> channeling and for non-channeling implants at energies up to hundreds of keV.

  12. Vacancy-type defects and their annealing processes in ion-implanted Si studied by a variable-energy positron beam

    International Nuclear Information System (INIS)

    Uedono, A.; Wei, L.; Tanigawa, S.; Sugiura, J.; Ogasawara, M.

    1992-01-01

    Vacancy-type defects in B + -, P + - and Si + -ion implanted SiO 2 (43 nm)/Si(100) and Si(100) were studied by a variable-energy positron beam. Depth distributions of vacancy-type defects were obtained from measurements of Doppler broadening profiles of the positron annihilation as a function of incident positron energy. For 200-keV P + -implanted specimen with a dose of 5 x 10 13 P/cm 2 , the damaged layers induced by ion-implantation were found to extend far beyond the stopping range of P-atoms. For 80-keV B + -implanted SiO 2 (43 nm)/Si(100) specimens with different ion-currents, an increase of the ion-current introduced a homogeneous amorphous layer in the subsurface region. Dominant defect species in B + - and P + -implanted specimen were identified as vacancy clusters from their annealing behavior. (author)

  13. High fluence effects on ion implantation stopping and range

    International Nuclear Information System (INIS)

    Selvi, S.; Tek, Z.; Oeztarhan, A.; Akbas, N.; Brown, I.G.

    2005-01-01

    We have developed a code STOPPO which can be used to modify the more-widely used ion implantation codes to more accurately predict the mean nuclear and electronic stopping power, preferential sputtering and range of heavy ions in monatomic target materials. In our simulations an effective atomic number and effective atomic mass are introduced into conveniently available analytical stopping cross-sections and a better fitting function for preferential sputtering yield is carefully evaluated for each ion implantation. The accuracy of the code confirmed experimentally by comparison with measured Rutherford backscattering spectrometry (RBS) concentration profiles for 130 keV Zr ions implanted into Be to fluences of 1 x 10 17 , 2 x 10 17 and 4 x 10 17 ions/cm 2 . We find a steady increase in the mean nuclear and electronic stopping powers of the target; the increase in nuclear stopping power is much greater than the increase in electronic stopping power

  14. Damage growth in Si during self-ion irradiation: A study of ion effects over an extended energy range

    International Nuclear Information System (INIS)

    Holland, O.W.; El-Ghor, M.K.; White, C.W.

    1989-01-01

    Damage nucleation/growth in single-crystal Si during ion irradiation is discussed. For MeV ions, the rate of growth as well as the damage morphology are shown to vary widely along the track of the ion. This is attributed to a change in the dominant, defect-related reactions as the ion penetrates the crystal. The nature of these reactions were elucidated by studying the interaction of MeV ions with different types of defects. The defects were introduced into the Si crystal prior to high-energy irradiation by self-ion implantation at a medium energy (100 keV). Varied damage morphologies were produced by implanting different ion fluences. Electron microscopy and ion-channeling measurements, in conjunction with annealing studies, were used to characterize the damage. Subtle changes in the predamage morphology are shown to result in markedly different responses to the high-energy irradiation, ranging from complete annealing of the damage to rapid growth. These divergent responses occur over a narrow range of dose (2--3 times 10 14 cm -2 ) of the medium-energy ions; this range also marks a transition in the growth behavior of the damage during the predamage implantation. A model is proposed which accounts for these observations and provides insight into ion-induced growth of amorphous layers in Si and the role of the amorphous/crystalline interface in this process. 15 refs, 9 figs

  15. Radiation damage in urania crystals implanted with low-energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Tien Hien, E-mail: tien-hien.nguyen@u-psud.fr [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Garrido, Frédérico; Debelle, Aurélien; Mylonas, Stamatis [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France); Nowicki, Lech [The Andrzej Soltan Institute for Nuclear Studies, Hoza 69, 00-681 Warsaw (Poland); Thomé, Lionel; Bourçois, Jérôme; Moeyaert, Jérémy [Centre de Sciences Nucléaires et de Sciences de la Matière (CSNSM – UMR 8609), CNRS-IN2P3-Université Paris-Sud, Bâtiments 104-108, 91405 Orsay Campus (France)

    2014-05-01

    Implantations with low-energy ions (470-keV Xe and 500-keV La with corresponding ion range Rp ∼ 85 nm and range straggling ΔRp ∼ 40 nm) have been performed to investigate both radiation and chemical effects due to the incorporation of different species in UO{sub 2} (urania) crystals. The presence of defects was monitored in situ after each implantation fluence step by the RBS/C technique. Channelling data were analysed afterwards by Monte-Carlo simulations with a model of defects involving (i) randomly displaced atoms (RDA) and (ii) distorted rows, i.e. bent channels (BC). While increasing the ion fluence, the accumulation of RDA leads to a steep increase of the defect fraction in the range from 4 to 7 dpa regardless of the nature of bombarding ions followed by a saturation plateau over a large dpa range. A clear difference of 6% in the yield of saturation plateaus between irradiation with Xe and La ions was observed. Conversely, the evolutions of the fraction of BC showed a similar regular increase with increasing ion fluence for both ions. Moreover, this increase is shifted to a larger fluence in comparison to the sharp increase step of RDA. This phenomenon indicates a continuous structural modification of UO{sub 2} crystals under irradiation unseen by the measurement of RDA.

  16. Low energy ion implantation and high energy heavy ion irradiation in C60 films

    International Nuclear Information System (INIS)

    Narayanan, K.L.; Yamaguchi, M.; Dharmarasu, N.; Kojima, N.; Kanjilal, D.

    2001-01-01

    C 60 films have been bombarded with low energy boron ions and high energy swift heavy ions (SHI) of silver and oxygen at different doses. Raman scattering and Fourier transform infrared (FTIR) studies were carried out on the virgin and irradiated films and the results are in good agreement with each other. The films subject to low energy boron ion implantation showed destruction of the bukky balls whereas the films subject to high energy ion irradiation did not show appreciable effects on their structure. These results indicate that C 60 films are more prone to defects by elastic collision and subsequent implantation at lower energy. Irradiation at higher energy was less effective in creating appreciable defects through electronic excitation by inelastic collisions at similar energy density

  17. Surface potential measurement of negative-ion-implanted insulators by analysing secondary electron energy distribution

    International Nuclear Information System (INIS)

    Toyota, Yoshitaka; Tsuji, Hiroshi; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki.

    1994-01-01

    The negative ion implantation method we have proposed is a noble technique which can reduce surface charging of isolated electrodes by a large margin. In this paper, the way to specify the surface potential of negative-ion-implanted insulators by the secondary electron energy analysis is described. The secondary electron energy distribution is obtained by a retarding field type energy analyzer. The result shows that the surface potential of fused quartz by negative-ion implantation (C - with the energy of 10 keV to 40 keV) is negatively charged by only several volts. This surface potential is extremely low compared with that by positive-ion implantation. Therefore, the negative-ion implantation is a very effective method for charge-up free implantation without charge compensation. (author)

  18. X-ray diffraction patterns of single crystals implanted with high-energy light ions

    International Nuclear Information System (INIS)

    Wieteska, K.

    1998-01-01

    X-ray diffraction patterns of silicon and gallium arsenide single crystals implanted with high-energy protons and α-particles were studied. A various models of lattice parameter changes were analysed. The agreement between the simulation and experiment proves that the lattice parameter depth-distribution can be assumed to be proportional to vacancy distribution obtained by Monte-Carlo method and from the Biersack-Ziegler theory. Most of the X-ray experiments were performed using synchrotron source of X-ray radiation in particular in the case of back-reflection and transmission section topographic methods. The new method of direct determination of the implanted ion ranges was proposed using synchrotron radiation back-reflection section topography. A number of new interference phenomena was revealed and explained. These interferences are important in the applications of diffraction theory in studying of the real structure of implanted layers. (author)

  19. Effects of high energy nitrogen implantation on stainless steel microstructure

    Science.gov (United States)

    Pelletier, H.; Mille, P.; Cornet, A.; Grob, J. J.; Stoquert, J. P.; Muller, D.

    1999-01-01

    Low energy ion implantation is known to improve chemical and mechanical surface properties of metals. This treatment is often used to enhance wear and corrosion resistance or mechanical life-time of fatigue test of stainless steel or titanium alloys. The aim of this work is to investigate these effects at higher energy, for which deeper (and still not well understood) modifications occur. High fluence (10 18 cm -2) 15N and 14N implantations at 1 MeV have been performed in the 316LL stainless steel and some specimen have been annealed in the 200-500°C temperature range. Nitrogen concentration distribution, structure, morphology and microhardness have been examined with Nuclear Resonance Analysis, Grazing Incidence X-Ray Diffraction and Nanoindentation, respectively. Precipitates of steel and chromium nitride phases and a superficial martensitic transformation can be observed, leading to a significant increase of hardness. The best result is obtained after one hour annealing at 425°C, due to a larger and more homogeneous repartition of nitride species. In this case, a near surface accumulation is observed and explained in terms of diffusion and precipitation mechanisms.

  20. Mass and energy deposition effects of implanted ions on solid sodium formate

    Energy Technology Data Exchange (ETDEWEB)

    Wang Xiangqin E-mail: clshao@mail.ipp.ac.cn; Shao Chunlin; Yao Jianming; Yu Zengliang

    2000-07-01

    Solid sodium formate was implanted by low energy N{sup +}, H{sup +}, and Ar{sup +} ions. Measured with electron paramagnetic resonance (EPR) and Fourier-transform infrared (FT-IR), it was observed that new -CH{sub 2}-, -CH{sub 3}- groups and COO{sup -} radical ion were produced in the implanted sodium formate. Analyzing with the highly sensitive ninhydrin reaction, it was found that a new -NH{sub 2} functional group was formed upon N{sup +} ion implantation, and its yield increased along with implantation dose but decreased with the ion's energy.

  1. High energy iron ion implantation into sapphire

    International Nuclear Information System (INIS)

    Allen, W.R.; Pedraza, D.F.

    1990-01-01

    Sapphire specimens of c-axis orientation were implanted at room temperature with iron ions at energies of 1.2 and of 2 MeV to various fluences up to 8 x 10 16 cm -2 . The damage induced by the implantations was assessed by Rutherford backscattering spectroscopy in random and channeling geometries. Dechanneling in both sublattices was observed to saturate for all implantation conditions. Disorder in the aluminum sublattice was found to increase with depth at a significantly slower rate than in the oxygen sublattice. In the oxygen sublattice, a relative yield, χ, of 0.80 ± 0.11 was attained at a depth of 0.1 μm and remained constant up to the measured depth of 0.45 μm. In the aluminum sublattice, the disorder increased with depth and the dechanneling asymptotically approached χ =0.70 ± 0.04 at 0.45 μm. These results are discussed and compared with those for shallower Fe implantations obtained by other researchers

  2. Surface potential measurement of insulators in negative-ion implantation by secondary electron energy-peak shift

    International Nuclear Information System (INIS)

    Nagumo, Shoji; Toyota, Yoshitaka; Tsuji, Hiroshi; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1993-01-01

    Negative-ion implantation is expected to realize charge-up free implantation. In this article, about a way to specify surface potential of negative-ion implanted insulator by secondary-electron-energy distribution, its principle and preliminary experimental results are described. By a measuring system with retarding field type energy analyzer, energy distribution of secondary electron from insulator of Fused Quartz in negative-carbon-ion implantation was measured. As a result the peak-shift of its energy distribution resulted according with the surface potential of insulator. It was found that surface potential of insulator is negatively charged by only several volts. Thus, negative-ion implanted insulator reduced its surface charge-up potential (without any electron supply). Therefore negative-ion implantation is considered to be much more effective method than conventional positive-ion implantation. (author)

  3. Near-infrared optical properties of Yb3+-doped silicate glass waveguides prepared by double-energy proton implantation

    Science.gov (United States)

    Shen, Xiao-Liang; Zhu, Qi-Feng; Zheng, Rui-Lin; Lv, Peng; Guo, Hai-Tao; Liu, Chun-Xiao

    2018-03-01

    We report on the preparation and properties of an optical planar waveguide structure operating at 1539 nm in the Yb3+-doped silicate glass. The waveguide was formed by using (470 + 500) keV proton implantation at fluences of (1.0 + 2.0) × 1016 ions/cm2. The waveguiding characteristics including the guided-mode spectrum and the near-field image were investigated by the m-line technique and the finite-difference beam propagation method. The energy distribution for implanted protons and the refractive index profile for the proton-implanted waveguide were simulated by the stopping and range of ions in matter and the reflectivity calculation method. The proton-implanted Yb3+-doped silicate glass waveguide is a candidate for optoelectronic elements in the near-infrared region.

  4. Modification of high density polyethylene by gold implantation using different ion energies

    Energy Technology Data Exchange (ETDEWEB)

    Nenadović, M.; Potočnik, J. [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Mitrić, M. [INS Vinca, Condensed Matter Physics Laboratory, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia); Štrbac, S. [ICTM Institute of Electrochemistry, University of Belgrade, Njegoseva 12, 11001 Belgrade (Serbia); Rakočević, Z., E-mail: zlatkora@vinca.rs [INS Vinca, Laboratory of Atomic Physics, University of Belgrade, Mike Alasa 12–14, 11001 Belgrade (Serbia)

    2013-11-01

    High density polyethylene (HDPE) samples were modified by Au{sup +} ion implantation at a dose of 5 × 10{sup 15} ions cm{sup −2}, using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au{sup +} ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies.

  5. Modification of high density polyethylene by gold implantation using different ion energies

    International Nuclear Information System (INIS)

    Nenadović, M.; Potočnik, J.; Mitrić, M.; Štrbac, S.; Rakočević, Z.

    2013-01-01

    High density polyethylene (HDPE) samples were modified by Au + ion implantation at a dose of 5 × 10 15 ions cm −2 , using energies of 50, 100, 150 and 200 keV. The existence of implanted gold in the near-surface region of HDPE samples was confirmed by X-ray diffraction analysis. Surface roughness and Power Spectral Density analyses based on Atomic Force Microscopy (AFM) images of the surface topography revealed that the mechanism of HDPE modification during gold ion implantation depended on the energy of gold ions. Histograms obtained from phase AFM images indicated a qualitative change in the chemical composition of the surface during implantation with gold ions with different energies. Depth profiles obtained experimentally from cross-sectional Force Modulation Microscopy images and ones obtained from a theoretical simulation are in agreement for gold ions energies lower than 100 keV. The deviation that was observed for higher energies of the gold ions is explained by carbon precipitation in the near surface region of the HDPE, which prevented the penetration of gold ions further into the depth of the sample. - Highlights: • HDPE was implanted by Au + ions using energies of 50, 100, 150 and 200 keV. • Surface composition was analyzed from phase AFM images. • FMM depth profiles are in agreement with theoretical ones for energies up to 100 keV. • A deviation is observed for higher gold ion energies

  6. Ion implantation of boron in germanium

    International Nuclear Information System (INIS)

    Jones, K.S.

    1985-05-01

    Ion implantation of 11 B + into room temperature Ge samples leads to a p-type layer prior to any post implant annealing steps. Variable temperature Hall measurements and deep level transient spectroscopy experiments indicate that room temperature implantation of 11 B + into Ge results in 100% of the boron ions being electrically active as shallow acceptor, over the entire dose range (5 x 10 11 /cm 2 to 1 x 10 14 /cm 2 ) and energy range (25 keV to 100 keV) investigated, without any post implant annealing. The concentration of damage related acceptor centers is only 10% of the boron related, shallow acceptor center concentration for low energy implants (25 keV), but becomes dominant at high energies (100 keV) and low doses ( 12 /cm 2 ). Three damage related hole traps are produced by ion implantation of 11 B + . Two of these hole traps have also been observed in γ-irradiated Ge and may be oxygen-vacancy related defects, while the third trap may be divacancy related. All three traps anneal out at low temperatures ( 0 C). Boron, from room temperature implantation of BF 2 + into Ge, is not substitutionally active prior to a post implant annealing step of 250 0 C for 30 minutes. After annealing additional shallow acceptors are observed in BF 2 + implanted samples which may be due to fluorine or flourine related complexes which are electrically active

  7. Ion implantation for semiconductors

    International Nuclear Information System (INIS)

    Grey-Morgan, T.

    1995-01-01

    Full text: Over the past two decades, thousands of particle accelerators have been used to implant foreign atoms like boron, phosphorus and arsenic into silicon crystal wafers to produce special embedded layers for manufacturing semiconductor devices. Depending on the device required, the atomic species, the depth of implant and doping levels are the main parameters for the implantation process; the selection and parameter control is totally automated. The depth of the implant, usually less than 1 micron, is determined by the ion energy, which can be varied between 2 and 600 keV. The ion beam is extracted from a Freeman or Bernas type ion source and accelerated to 60 keV before mass analysis. For higher beam energies postacceleration is applied up to 200 keV and even higher energies can be achieved by mass selecting multiplycharged ions, but with a corresponding reduction in beam output. Depending on the device to be manufactured, doping levels can range from 10 10 to 10 15 atoms/cm 2 and are controlled by implanter beam currents in the range up to 30mA; continuous process monitoring ensures uniformity across the wafer of better than 1 % . As semiconductor devices get smaller, additional sophistication is required in the design of the implanter. The silicon wafers charge electrically during implantation and this charge must be dissipated continuously to reduce the electrical stress in the device and avoid destructive electrical breakdown. Electron flood guns produce low energy electrons (below 10 electronvolts) to neutralize positive charge buildup and implanter design must ensure minimum contamination by other isotopic species and ensure low internal sputter rates. The pace of technology in the semiconductor industry is such that implanters are being built now for 256 Megabit circuits but which are only likely to be widely available five years from now. Several specialist companies manufacture implanter systems, each costing around US$5 million, depending on the

  8. Effects of energy variations of ions influencing a target on implantation

    International Nuclear Information System (INIS)

    Astakhov, V.P.; Rubtsov, V.A.; Aranovich, R.M.; Pavlov, P.V.

    1981-01-01

    In cases of phosphorus and boron ion implantation into silicon the dependence of electrophysical properties of ion-doped layers and target material near the layer boundaries on energy variation conditions of influencing ions is observed. A physical model explaining the dependence is proposed. It is found that for the target, being at room temperature, after successive annealing the qualitative characteristics of conditions (i.e. energy increase and decrease) on implantation of phosphorus ions into p-silicon and boron ions into n-silicon, as well as the value of energy stages, define rhosub(l) ion-doped layer resistivity and tausub(mc) nonequilibrium minority carrier lifetime in the base of p-n transitions. The essence of the effects observed is that for equal sets of Esub(i) ion energy values and PHIsub(i) corresponding phases at maximum energy used exceeding 30 keV, successive energy increase during implantation, when E 1 2 1 mode), leads to smaller rhosub(e) values and greater tausub(mc) than in case of successive energy decrease, when E 1 >E 2 >...E(E 2 mode) for any fixed annealing temperature. In cases when the maximum energy does not exceed 30 KeV, the E 1 and E 2 modes lead to analogous rhosub(e) and tausub(mc) values. The E 2 mode leads to enrichment of the ion-implanted layer with associations and complexes on the basis of interstitial atoms in comparison with the E 1 mode. The associations and complexes on thermal treatment are reformed into the higher-temperature interstitial complexes increasing rhosub(e) and decreasing tausub(mc). Supposition about the effect of these complexes and processes of structural transformations on annealing, hampering-improvement of structural properties of the ion-implanted layer and a crystal region bordered on it [ru

  9. Surface Passivation and Junction Formation Using Low Energy Hydrogen Implants

    Science.gov (United States)

    Fonash, S. J.

    1985-01-01

    New applications for high current, low energy hydrogen ion implants on single crystal and polycrystal silicon grain boundaries are discussed. The effects of low energy hydrogen ion beams on crystalline Si surfaces are considered. The effect of these beams on bulk defects in crystalline Si is addressed. Specific applications of H+ implants to crystalline Si processing are discussed. In all of the situations reported on, the hydrogen beams were produced using a high current Kaufman ion source.

  10. Relation between total shock energy and mortality in patients with implantable cardioverter-defibrillator.

    Science.gov (United States)

    Tenma, Taro; Yokoshiki, Hisashi; Mitsuyama, Hirofumi; Watanabe, Masaya; Mizukami, Kazuya; Kamada, Rui; Takahashi, Masayuki; Sasaki, Ryo; Maeno, Motoki; Okamoto, Kaori; Chiba, Yuki; Anzai, Toshihisa

    2018-05-15

    Implantable Cardioverter-Defibrillator (ICD) shocks have been associated with mortality. However, no study has examined the relation between total shock energy and mortality. The aim of this study is to assess the association of total shock energy with mortality, and to determine the patients who are at risk of this association. Data from 316 consecutive patients who underwent initial ICD implantation in our hospital between 2000 and 2011 were retrospectively studied. We collected shock energy for 3 years from the ICD implantation, and determined the relation of shock energy on mortality after adjusting confounding factors. Eighty-seven ICD recipients experienced shock(s) within 3 years from ICD implantation and 43 patients had died during the follow-up. The amount of shock energy was significantly associated with all-cause death [adjusted hazard ratio (HR) 1.26 (per 100 joule increase), p energy accumulation (≥182 joule) was lower (p energy accumulation (energy accumulation and all-cause death was remarkable in the patients with low left ventricular ejection fraction (LVEF ≤40%) or atrial fibrillation (AF). Increase of shock energy was related to mortality in ICD recipients. This relation was evident in patients with low LVEF or AF. Copyright © 2018 Elsevier B.V. All rights reserved.

  11. Studies of ultra shallow n+-p junctions formed by low-energy As-implantation

    International Nuclear Information System (INIS)

    Girginoudi, D.; Georgoulas, N.; Thanailakis, A.; Polychroniadis, E.K.

    2004-01-01

    The generation and the evolution of extended defects in ultra-shallow n + -p junctions, formed by As ion implantation into silicon at low energies of 15, 10 and 5 keV and a dose of 1 x 10 15 cm -2 , and rapid thermal annealing (RTA) at temperatures of 650 deg. C ≤T ≤ 950 deg. C have been studied using transmission electron microscopy (TEM) measurements. The generated defects in the end-of-range region are dislocation loops, which grew larger and their density decreased with increasing annealing temperature. Reduction in the implantation energy causes a decrease in defect size and density as well as in dissolution temperature. The loops dissolved at 950 deg. C for 15 and 10 keV, whereas for 5 keV they dissolved at 850 deg. C. Arsenic transient enhanced diffusion (TED) studied by ToF-SIMS measurements was observed at temperatures above 650 deg. C for all implantation energies, with markedly less TED for the 5 keV, although As segregates near the surface region. The results suggest that the surface plays a key role on the formation and the dissolution of the dislocation loops and the As TED, by acting as a perfect sink of point defects. A significant degradation in electrical activation efficiency and a sharp increase in sheet resistance were observed at the low energy of 5 keV. In addition, the increase of temperature causes a slight decrease in electrical activation efficiency. Out-diffusion of As (10-25%) plays a significant role in the electrically active fraction of the dopant, due to the extreme proximity to the surface of high As concentrations. Junctions shallower than 40 nm, with 50-40% of the implanted dose electrically active and sheet resistance of 370-320 ohm/square, were obtained for the 5 keV. Finally, the TED during RTA was correctly simulated using a RTA model implemented in SSUPREM4 of the process simulator, including the dislocation loops and the dose loss

  12. High energy ion range and deposited energy calculation using the Boltzmann-Fokker-Planck splitting of the Boltzmann transport equation

    International Nuclear Information System (INIS)

    Mozolevski, I.E.

    2001-01-01

    We consider the splitting of the straight-ahead Boltzmann transport equation in the Boltzmann-Fokker-Planck equation, decomposing the differential cross-section into a singular part, corresponding to small energy transfer events, and in a regular one, which corresponds to large energy transfer. The convergence of implantation profile, nuclear and electronic energy depositions, calculated from the Boltzmann-Fokker-Planck equation, to the respective exact distributions, calculated from Monte-Carlo method, was exanimate in a large-energy interval for various values of splitting parameter and for different ion-target mass relations. It is shown that for the universal potential there exists an optimal value of splitting parameter, for which range and deposited energy distributions, calculated from the Boltzmann-Fokker-Planck equation, accurately approximate the exact distributions and which minimizes the computational expenses

  13. Development of a CMOS process using high energy ion implantation

    International Nuclear Information System (INIS)

    Stolmeijer, A.

    1986-01-01

    The main interest of this thesis is the use of complementary metal oxide semiconductors (CMOS) in electronic technology. Problems in developing a CMOS process are mostly related to the isolation well of p-n junctions. It is shown that by using high energy ion implantation, it is possible to reduce lateral dimensions to obtain a rather high packing density. High energy ion implantation is also presented as a means of simplifying CMOS processing, since extended processing steps at elevated temperatures are superfluous. Process development is also simplified. (Auth.)

  14. Radiation damage in He implanted silicon at high temperature using multi-energies

    CERN Document Server

    David, M L; Oliviero, E; Denanot, M F; Beaufort, M F; Declemy, A; Blanchard, C; Gerasimenko, N N; Barbot, J F

    2002-01-01

    He sup + ions were implanted at 800 deg. C into (1 0 0) silicon with multiple energies and selected fluences to get a number of displacement per atom constant in a large plateau. The ion-related defects have been mainly studied by transmission electron microscopy. Both the amount and the microstructure of defects have been found to be strongly dependent on the order of implants. Faceted cavities are only observed where damage overlapping occurs. The first implant provides thus nucleation sites for cavities. The generation of these sites is less efficient when using increasing energies because of damage recovery; fewer cavities are observed. Concurrently interstitial-type defects, left brace 1 1 3 right brace agglomerates, are formed. The observed state of growth of these left brace 1 1 3 right brace defects (rod-like and ribbon-like defects) is dependent on the implantation energy order but in any cases, no dislocation loops are observed even in the deepest damage region.

  15. Exploring metal artifact reduction using dual-energy CT with pre-metal and post-metal implant cadaver comparison: are implant specific protocols needed?

    NARCIS (Netherlands)

    Wellenberg, Ruud H. H.; Donders, Johanna C. E.; Kloen, Peter; Beenen, Ludo F. M.; Kleipool, Roeland P.; Maas, Mario; Streekstra, Geert J.

    2017-01-01

    To quantify and optimize metal artifact reduction using virtual monochromatic dual-energy CT for different metal implants compared to non-metal reference scans. Dual-energy CT scans of a pair of human cadaver limbs were acquired before and after implanting a titanium tibia plate, a stainless-steel

  16. Microhardness of boron, titanium, and nitrogen implanted steel

    International Nuclear Information System (INIS)

    Sowa, M.; Szyszko, W.; Sielanko, J.; Glusiec, L.

    1989-01-01

    Mechanically polished steel (1H18N9T) and (15GTM) samples are implanted with boron, titanium, and nitrogen ions, with dose ranging from 10 16 to 10 17 ions/cm 2 . The implantation energy varied from 100 to 250 keV. Implanted samples are heat-treated at 400 to 800 0 C in vacuum. The microhardness of implanted samples is measured by using a Hanneman tester with loads ranging from 2 to 40 g. The influence of annealing temperature on microhardness of the implanted layers is determined. The diffusion of boron from the implanted layers is also investigated by using the secondary ion mass spectrometer. The diffusion coefficients of boron in steel are determined. (author)

  17. High yield antibiotic producing mutants of Streptomyces erythreus induced by low energy ion implantation

    Science.gov (United States)

    Yu, Chen; Zhixin, Lin; Zuyao, Zou; Feng, Zhang; Duo, Liu; Xianghuai, Liu; Jianzhong, Tang; Weimin, Zhu; Bo, Huang

    1998-05-01

    Conidia of Streptomyces erythreus, an industrial microbe, were implanted by nitrogen ions with energy of 40-60 keV and fluence from 1 × 10 11 to 5 × 10 14 ions/cm 2. The logarithm value of survival fraction had good linear relationship with the logarithm value of fluence. Some mutants with a high yield of erythromycin were induced by ion implantation. The yield increment was correlated with the implantation fluence. Compared with the mutation results induced by ultraviolet rays, mutation effects of ion implantation were obvious having higher increasing erythromycin potency and wider mutation spectrum. The spores of Bacillus subtilis were implanted by arsenic ions with energy of 100 keV. The distribution of implanted ions was measured by Rutherford Backscattering Spectrometry (RBS) and calculated in theory. The mechanism of mutation induced by ion implantation was discussed.

  18. Magnesium aluminate planar waveguides fabricated by C-ion implantation with different energies and fluences

    Energy Technology Data Exchange (ETDEWEB)

    Song, Hong-Lian; Yu, Xiao-Fei; Zhang, Lian; Wang, Tie-Jun; Qiao, Mei; Zhang, Jing; Liu, Peng; Wang, Xue-Lin, E-mail: xuelinwang@sdu.edu.cn

    2015-11-01

    We report on MgAl{sub 2}O{sub 4} planar waveguides produced using different energies and fluences of C-ion implantation at room temperature. Based on the prism coupling method and end-face coupling measurements, light could propagate in the C-ion-implanted samples. The Raman spectra results indicate that the MgAl{sub 2}O{sub 4} crystal lattice was damaged during the multi-energy C implantation process, whereas the absorption spectra were hardly affected by the C-ion implantation in the visible and infrared bands.

  19. Microstructure evolution in carbon-ion implanted sapphire

    International Nuclear Information System (INIS)

    Orwa, J. O.; McCallum, J. C.; Jamieson, D. N.; Prawer, S.; Peng, J. L.; Rubanov, S.

    2010-01-01

    Carbon ions of MeV energy were implanted into sapphire to fluences of 1x10 17 or 2x10 17 cm -2 and thermally annealed in forming gas (4% H in Ar) for 1 h. Secondary ion mass spectroscopy results obtained from the lower dose implant showed retention of implanted carbon and accumulation of H near the end of range in the C implanted and annealed sample. Three distinct regions were identified by transmission electron microscopy of the implanted region in the higher dose implant. First, in the near surface region, was a low damage region (L 1 ) composed of crystalline sapphire and a high density of plateletlike defects. Underneath this was a thin, highly damaged and amorphized region (L 2 ) near the end of range in which a mixture of i-carbon and nanodiamond phases are present. Finally, there was a pristine, undamaged sapphire region (L 3 ) beyond the end of range. In the annealed sample some evidence of the presence of diamond nanoclusters was found deep within the implanted layer near the projected range of the C ions. These results are compared with our previous work on carbon implanted quartz in which nanodiamond phases were formed only a few tens of nanometers from the surface, a considerable distance from the projected range of the ions, suggesting that significant out diffusion of the implanted carbon had occurred.

  20. The role of the substrate in the high energy boron implantation damage recovering

    International Nuclear Information System (INIS)

    Mica, I.; Di Piazza, L.; Laurin, L.; Mariani, M.; Mauri, A.G.; Polignano, M.L.; Ricci, E.; Sammiceli, F.; Spoldi, G.

    2009-01-01

    In this work the role of the Si substrate in the high energy boron implantation damage recovering is studied. The boron implants were carried out in Czochralski grown (1 0 0) polished Si substrates as well as in epitaxial Si layers grown on (1 0 0) Si by chemical vapor deposition. The boron implantation dose was 2 x 10 14 cm -2 and the implantation energy was 600 keV. The recovery annealing was a furnace annealing at 1000 deg. C for 40 min. The defects formed by high energy boron implantation have been observed with transmission electron microscopy (TEM). In order to increase the statistics some junctions were formed on the buried p-well and electrically characterized. For the epitaxial wafers it was found that the number and the size of the dislocations change according to the doping of the substrate. For the Czochralski wafers it was found that the morphology and the size of the dislocations change according to the presence of the wafer pre-annealing (whether conventional furnace annealing or Magic Denuded Zone process).

  1. Si exfoliation by MeV proton implantation

    International Nuclear Information System (INIS)

    Braley, Carole; Mazen, Frédéric; Tauzin, Aurélie; Rieutord, François; Deguet, Chrystel; Ntsoenzok, Esidor

    2012-01-01

    Proton implantation in silicon and subsequent annealing are widely used in the Smart Cut™ technology to transfer thin layers from a substrate to another. The low implantation energy range involved in this process is usually from a few ten to a few hundred of keV, which enables the separation of up to 2 μm thick layers. New applications in the fields of 3D integration and photovoltaic wafer manufacturing raise the demand for extending this technology to higher energy in order to separate thicker layer from a substrate. In this work, we propose to investigate the effect of proton implantation in single crystalline silicon in the 1–3 MeV range which corresponds to a 15–100 μm range for the hydrogen maximum concentration depth. We show that despites a considerably lower hydrogen concentration at R p , the layer separation is obtained with fluence close to the minimum fluence required for low energy implantation. It appears that the fracture propagation in Si and the resulting surface morphology is affected by the substrate orientation. Defects evolution is investigated with Fourier Transform Infrared Spectroscopy. The two orientations reveal similar type of defects but their evolution under annealing appears to be different.

  2. A novel kerf-free wafering process combining stress-induced spalling and low energy hydrogen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pingault, Timothee; Pokam-Kuisseu, Pauline Sylvia; Ntsoenzok, Esidor [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Blondeau, Jean-Philippe [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Universite d' Orleans, Chateau de la Source, 45100 Orleans (France); Ulyashin, Alexander [SINTEF, Forskningsveien 1, 0314 Oslo (Norway); Labrim, Hicham; Belhorma, Bouchra [CNESTEN, B.P. 1382 R.P., 10001 Rabat (Morocco)

    2016-12-15

    In this work, we studied the potential use of low-energy hydrogen implantation as a guide for the stress-induced cleavage. Low-energy, high fluence hydrogen implantation in silicon leads, in the right stiffening conditions, to the detachment of a thin layer, around a few hundreds nm thick, of monocrystalline silicon. We implanted monocrystalline silicon wafers with low-energy hydrogen, and then glued them on a cheap metal layer. Upon cooling down, the stress induced by the stressor layers (hardened glue and metal) leads to the detachment of a thin silicon layer, which thickness is determined by the implantation energy. We were then able to clearly demonstrate that, as expected, hydrogen oversaturation layer is very efficient to guide the stress. Using such process, thin silicon layers of around 710 nm-thick were successfully detached from low-energy implanted silicon wafers. Such layers can be used for the growth of very good quality monocrystalline silicon of around 50 μm-thick or less. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. A Hip Implant Energy Harvester

    Science.gov (United States)

    Pancharoen, K.; Zhu, D.; Beeby, S. P.

    2014-11-01

    This paper presents a kinetic energy harvester designed to be embedded in a hip implant which aims to operate at a low frequency associated with body motion of patients. The prototype is designed based on the constrained volume available in a hip prosthesis and the challenge is to harvest energy from low frequency movements (< 1 Hz) which is an average frequency during free walking of a patient. The concept of magnetic-force-driven energy harvesting is applied to this prototype considering the hip movements during routine activities of patients. The magnetic field within the harvester was simulated using COMSOL. The simulated resonant frequency was around 30 Hz and the voltage induced in a coil was predicted to be 47.8 mV. A prototype of the energy harvester was fabricated and tested. A maximum open circuit voltage of 39.43 mV was obtained and the resonant frequency of 28 Hz was observed. Moreover, the power output of 0.96 μW was achieved with an optimum resistive load of 250Ω.

  4. A Hip Implant Energy Harvester

    International Nuclear Information System (INIS)

    Pancharoen, K; Zhu, D; Beeby, S P

    2014-01-01

    This paper presents a kinetic energy harvester designed to be embedded in a hip implant which aims to operate at a low frequency associated with body motion of patients. The prototype is designed based on the constrained volume available in a hip prosthesis and the challenge is to harvest energy from low frequency movements (< 1 Hz) which is an average frequency during free walking of a patient. The concept of magnetic-force-driven energy harvesting is applied to this prototype considering the hip movements during routine activities of patients. The magnetic field within the harvester was simulated using COMSOL. The simulated resonant frequency was around 30 Hz and the voltage induced in a coil was predicted to be 47.8 mV. A prototype of the energy harvester was fabricated and tested. A maximum open circuit voltage of 39.43 mV was obtained and the resonant frequency of 28 Hz was observed. Moreover, the power output of 0.96 μW was achieved with an optimum resistive load of 250Ω

  5. Status and progress in ion implantation technology for semiconductor device manufacturing

    International Nuclear Information System (INIS)

    Takahashi, Noriyuki

    1998-01-01

    Rapid growth in implant applications in the fabrication of semiconductors has encouraged a dramatic increase in the range of energies, beam currents and ion species used. The challenges of a wider energy range, higher beam currents, continued reduction in contamination, improved angle integrity and larger substrates have motivated the development of many innovations. Advanced processes in submicron device production uses up to twenty implantation steps. Thus the outstanding growth of this industry has led to the evolution of a thriving business of hundreds of implantation equipment systems each year with very specific requirements. The present paper reviews the principal process requirements which resulted in the evolution of the equipment technology, and describes the recent trends in the ion implanter technology all three principal categories: high current, medium current and high energy. (author)

  6. Study of high energy ion implantation of boron and oxygen in silicon

    International Nuclear Information System (INIS)

    Thevenin, P.

    1991-06-01

    Three aspects of high energy (0.5-3 MeV) light ions ( 11 B + and 16 O + ) implantation in silicon are examined: (1)Spatial repartition; (2) Target damage and (3) Synthesis by oxygen implantation of a buried silicon oxide layer

  7. Effect of low-energy hydrogen ion implantation on dendritic web silicon solar cells

    Science.gov (United States)

    Rohatgi, A.; Meier, D. L.; Rai-Choudhury, P.; Fonash, S. J.; Singh, R.

    1986-01-01

    The effect of a low-energy (0.4 keV), short-time (2-min), heavy-dose (10 to the 18th/sq cm) hydrogen ion implant on dendritic web silicon solar cells and material was investigated. Such an implant was observed to improve the cell open-circuit voltage and short-circuit current appreciably for a number of cells. In spite of the low implant energy, measurements of internal quantum efficiency indicate that it is the base of the cell, rather than the emitter, which benefits from the hydrogen implant. This is supported by the observation that the measured minority-carrier diffusion length in the base did not change when the emitter was removed. In some cases, a threefold increase of the base diffusion length was observed after implantation. The effects of the hydrogen implantation were not changed by a thermal stress test at 250 C for 111 h in nitrogen. It is speculated that hydrogen enters the bulk by traveling along dislocations, as proposed recently for edge-defined film-fed growth silicon ribbon.

  8. Non-destructive study of the ion-implantation-affected zone (the long-range effect) in titanium nitride

    International Nuclear Information System (INIS)

    Perry, A.J.; Treglio, J.R.; Schaffer, J.P.; Brunner, J.; Valvoda, V.; Rafaja, D.

    1994-01-01

    The depth to which metal ion implantation can change the structure of titanium nitride coatings is studied using two techniques - positron annihilation spectroscopy (PAS) and glancing-angle X-ray diffraction (GA-XRD) -which are normally applied to the study of bulk materials. The PAS results indicate that the depth to which vacancies are found greatly exceeds the depth at which the implanted material resides. In addition, the concentration of vacancies continues to increase with the dose of implanted ions. The GA-XRD data show that the implantation does not change the residual stress - it remains slightly tensile. Furthermore, there is an increase in the diffraction peak broadening, which is attributed to an increase in the local strain distribution resulting from the generation of a dislocation network at depths of up to several tenths of a micrometer below the implanted zone. The data support the view of a long-range effect, where metal ion implantation causes lattice defect generation within an implantation-affected zone (IAZ) to depths well beyond the implanted zone. The defective nature of the IAZ depends on the implanted dose and the acceleration voltage, as well as on the nature of the ions implanted. In the present work, there is no residual stress in the samples, so this cannot induce the IAZ. ((orig.))

  9. Low energy helium implantation of aluminum

    International Nuclear Information System (INIS)

    Wilson, K.L.; Thomas, G.J.

    1976-02-01

    A series of 20 keV He + implantations was conducted on well-annealed MARZ grade aluminum at fluxes of 6 x 10 14 and 6 x 10 13 He + /cm 2 sec. Three distinct, temperature dependent He release mechanisms were found by He re-emission measurements during implantation, and by subsequent SEM and TEM investigations. At 0.08 of the melting temperature (T/sub m/) gas re-emission rose smoothly after a critical dose of 3 x 10 17 He + /cm 2 , with extensive blistering. The intermediate temperature range (approximately 0.3 T/sub m/) was characterized by repeated flake exfoliation and bursts of He after a dose of 3 x 10 17 He + /cm 2 . Rapid He evolution, with hole formation was found above 0.7 T/sub m/. No significant differences in either gas re-emission or surface deformation were found between the two fluxes employed

  10. Exploring metal artifact reduction using dual-energy CT with pre-metal and post-metal implant cadaver comparison: are implant specific protocols needed?

    Science.gov (United States)

    Wellenberg, Ruud H H; Donders, Johanna C E; Kloen, Peter; Beenen, Ludo F M; Kleipool, Roeland P; Maas, Mario; Streekstra, Geert J

    2017-08-25

    To quantify and optimize metal artifact reduction using virtual monochromatic dual-energy CT for different metal implants compared to non-metal reference scans. Dual-energy CT scans of a pair of human cadaver limbs were acquired before and after implanting a titanium tibia plate, a stainless-steel tibia plate and a titanium intramedullary nail respectively. Virtual monochromatic images were analyzed from 70 to 190 keV. Region-of-interest (ROI), used to determine fluctuations and inaccuracies in CT numbers of soft tissues and bone, were placed in muscle, fat, cortical bone and intramedullary tibia canal. The stainless-steel implant resulted in more pronounced metal artifacts compared to both titanium implants. CT number inaccuracies in 70 keV reference images were minimized at 130, 180 and 190 keV for the titanium tibia plate, stainless-steel tibia plate and titanium intramedullary nail respectively. Noise, measured as the standard deviation of pixels within a ROI, was minimized at 130, 150 and 140 keV for the titanium tibia plate, stainless-steel tibia plate and titanium intramedullary nail respectively. Tailoring dual-energy CT protocols using implant specific virtual monochromatic images minimizes fluctuations and inaccuracies in CT numbers in bone and soft tissues compared to non-metal reference scans.

  11. Value of monoenergetic dual-energy CT (DECT) for artefact reduction from metallic orthopedic implants in post-mortem studies.

    Science.gov (United States)

    Filograna, Laura; Magarelli, Nicola; Leone, Antonio; Guggenberger, Roman; Winklhofer, Sebastian; Thali, Michael John; Bonomo, Lorenzo

    2015-09-01

    The aim of this ex vivo study was to assess the performance of monoenergetic dual-energy CT (DECT) reconstructions to reduce metal artefacts in bodies with orthopedic devices in comparison with standard single-energy CT (SECT) examinations in forensic imaging. Forensic and clinical impacts of this study are also discussed. Thirty metallic implants in 20 consecutive cadavers with metallic implants underwent both SECT and DECT with a clinically suitable scanning protocol. Extrapolated monoenergetic DECT images at 64, 69, 88, 105, 120, and 130 keV and individually adjusted monoenergy for optimized image quality (OPTkeV) were generated. Image quality of the seven monoenergetic images and of the corresponding SECT image was assessed qualitatively and quantitatively by visual rating and measurements of attenuation changes induced by streak artefact. Qualitative and quantitative analyses showed statistically significant differences between monoenergetic DECT extrapolated images and SECT, with improvements in diagnostic assessment in monoenergetic DECT at higher monoenergies. The mean value of OPTkeV was 137.6 ± 4.9 with a range of 130 to 148 keV. This study demonstrates that monoenergetic DECT images extrapolated at high energy levels significantly reduce metallic artefacts from orthopedic implants and improve image quality compared to SECT examination in forensic imaging.

  12. Value of monoenergetic dual-energy CT (DECT) for artefact reduction from metallic orthopedic implants in post-mortem studies

    Energy Technology Data Exchange (ETDEWEB)

    Filograna, Laura [University of Zurich, Department of Forensic Medicine and Imaging, Institute of Forensic Medicine, Zurich (Switzerland); Catholic University of Rome, School of Medicine, University Hospital ' ' A. Gemelli' ' , Department of Radiological Sciences, Rome (Italy); Magarelli, Nicola; Leone, Antonio; Bonomo, Lorenzo [Catholic University of Rome, School of Medicine, University Hospital ' ' A. Gemelli' ' , Department of Radiological Sciences, Rome (Italy); Guggenberger, Roman; Winklhofer, Sebastian [University Hospital Zurich, Institute of Diagnostic and Interventional Radiology, Zurich (Switzerland); Thali, Michael John [University of Zurich, Department of Forensic Medicine and Imaging, Institute of Forensic Medicine, Zurich (Switzerland)

    2015-09-15

    The aim of this ex vivo study was to assess the performance of monoenergetic dual-energy CT (DECT) reconstructions to reduce metal artefacts in bodies with orthopedic devices in comparison with standard single-energy CT (SECT) examinations in forensic imaging. Forensic and clinical impacts of this study are also discussed. Thirty metallic implants in 20 consecutive cadavers with metallic implants underwent both SECT and DECT with a clinically suitable scanning protocol. Extrapolated monoenergetic DECT images at 64, 69, 88, 105, 120, and 130 keV and individually adjusted monoenergy for optimized image quality (OPTkeV) were generated. Image quality of the seven monoenergetic images and of the corresponding SECT image was assessed qualitatively and quantitatively by visual rating and measurements of attenuation changes induced by streak artefact. Qualitative and quantitative analyses showed statistically significant differences between monoenergetic DECT extrapolated images and SECT, with improvements in diagnostic assessment in monoenergetic DECT at higher monoenergies. The mean value of OPTkeV was 137.6 ± 4.9 with a range of 130 to 148 keV. This study demonstrates that monoenergetic DECT images extrapolated at high energy levels significantly reduce metallic artefacts from orthopedic implants and improve image quality compared to SECT examination in forensic imaging. (orig.)

  13. Value of monoenergetic dual-energy CT (DECT) for artefact reduction from metallic orthopedic implants in post-mortem studies

    International Nuclear Information System (INIS)

    Filograna, Laura; Magarelli, Nicola; Leone, Antonio; Bonomo, Lorenzo; Guggenberger, Roman; Winklhofer, Sebastian; Thali, Michael John

    2015-01-01

    The aim of this ex vivo study was to assess the performance of monoenergetic dual-energy CT (DECT) reconstructions to reduce metal artefacts in bodies with orthopedic devices in comparison with standard single-energy CT (SECT) examinations in forensic imaging. Forensic and clinical impacts of this study are also discussed. Thirty metallic implants in 20 consecutive cadavers with metallic implants underwent both SECT and DECT with a clinically suitable scanning protocol. Extrapolated monoenergetic DECT images at 64, 69, 88, 105, 120, and 130 keV and individually adjusted monoenergy for optimized image quality (OPTkeV) were generated. Image quality of the seven monoenergetic images and of the corresponding SECT image was assessed qualitatively and quantitatively by visual rating and measurements of attenuation changes induced by streak artefact. Qualitative and quantitative analyses showed statistically significant differences between monoenergetic DECT extrapolated images and SECT, with improvements in diagnostic assessment in monoenergetic DECT at higher monoenergies. The mean value of OPTkeV was 137.6 ± 4.9 with a range of 130 to 148 keV. This study demonstrates that monoenergetic DECT images extrapolated at high energy levels significantly reduce metallic artefacts from orthopedic implants and improve image quality compared to SECT examination in forensic imaging. (orig.)

  14. ESR studies of high-energy phosphorus-ion implanted synthetic diamond crystals

    Energy Technology Data Exchange (ETDEWEB)

    Isoya, J [University of Library and Information Science, Tsukuba, Ibaraki (Japan); Kanda, H; Morita, Y; Ohshima, T

    1997-03-01

    Phosphorus is among potential n-type dopants in diamond. High pressure synthetic diamond crystals of type IIa implanted with high energy (9-18 MeV) phosphorus ions have been studied by using electron spin resonance (ESR) technique. The intensity and the linewidth of the ESR signal attributed to the dangling bond of the amorphous phase varied with the implantation dose, suggesting the nature of the amorphization varies with the dose. The ESR signals of point defects have been observed in the low dose as-implanted crystals and in the high dose crystals annealed at high temperature and at high pressure. (author)

  15. Deep penetration of polonium implanted in cadmium sulfide

    International Nuclear Information System (INIS)

    Weinmann, E.

    1975-01-01

    The distribution of 25 keV 210 Po implanted into CdS platelets was determined by means of energy analysis of the α-particles emitted by the 210 Po. Two samples were implanted along an axial channeling direction, and one along a planar channeling direction. The resulting distributions did not conform to any of the existing range theories or, in the case of axial channeling, to existing diffusion theories. A new diffusion model was formulated and fitted to the experimental data. Good agreement was obtained between this model and experiment for both the axial and the planar channeling conditions. The stopping power of CdS for He ions was measured as a preliminary step to the Po distribution measurement. A new technique was used which consisted in measuring the energy spectrum of either He ions backscattered from Bi implanted in CdS or of α-particles emitted by 210 Po implanted in CdS. A thin layer was then etched off the surface of the sample and the energy spectrum was measured again. The stopping power was calculated from these two spectra and the known thickness of the removed layer. These measurements and calculations were made for energies ranging from 0.963 to 5.3 MeV with accuracies between 12 percent and 16 percent

  16. Deuterium migration and trapping in uranium and uranium dioxide during D+ implantation

    International Nuclear Information System (INIS)

    Lewis, M.B.

    1980-01-01

    Uranium and UO 2 have been implanted with deuterium ions in the energy range 30-85 keV. Subsequently, the near surface regions (100-90000 Angstroem) of these samples were quantitatively profiled for deuterium oxygen using the method of ion beam microanalysis. Mean ranges and widths of the implanted ions were measured and compared with theoretical predictions. Fully oxidized samples were compared with those having only thin oxide films on their surfaces. While the deuterium appeared to migrate during its implantation in uranium, little or no migration appeared either during or after implantation in UO 2 . Further measurements suggest that thin surface oxide films strongly trap the deuterium migrating beneath the surface. It is suggested that the electronic energy loss of the ion beam lowers the effective activation energy for the formation of OD bonds near the target surface. (orig.)

  17. Implanted strontium titanate single crystals for energy storage applications

    Energy Technology Data Exchange (ETDEWEB)

    Stoeber, Max; Cherkouk, Charaf; Walter, Juliane; Strohmeyer, Ralph; Leisegang, Tilmann; Meyer, Dirk Carl [TU Bergakademie, Freiberg (Germany); Schelter, Matthias; Zosel, Jens [Kurt Schwabe Institute, Meinsberg (Germany); Prucnal, Slawomir [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany)

    2016-07-01

    A rapid increase of the demand on efficient energy storage solutions requires new approaches beyond the Li-ion technology. In particular, metal-air batteries as well as solid-state fuel cells offer a great potential for high-energy-density storage devices. Since the efficiency of such devices is significantly limited by the activation of both the oxygen reduction reaction (ORR) and the ionic and electronic conductivities, an adequate porosity as well as a controlled doping are required. The ion implantation is a key technology to achieve this goal. In this work, p- and n-doped strontium titanate (SrTiO{sub 3}) single crystals were used as oxidic materials. The oxygen exchange kinetics as well as the structural changes of the SrTiO{sub 3} crystal surface induced by the ion implantation were investigated. On one hand, the depth profile of dopant concentration and dopant valence state were determined using sputtered X-ray photoelectron spectroscopy (XPS). On the other hand, the overall oxygen exchange kinetic of the implanted SrTiO{sub 3} crystal was quantitatively described by means of coulometric titration using Zirox system (ZIROX GmbH, Germany). Furthermore, the surface morphology of the samples was investigated using atomic force microscopy (AFM).

  18. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  19. Thermal desorption and bombardment-induced release of deuterium implanted into stainless steels at low energy

    International Nuclear Information System (INIS)

    Farrell, G.; Donnelly, S.E.

    1978-01-01

    Thermal desorption spectra have been obtained for low energy (15-750 eV) deuterons implanted into types 321 and 304 stainless steel, to total fluences in the range 10 13 - 10 17 deuterons/cm 2 . In each case the spectra show a peak at about 350 K, but in the 321 steel there is a second peak in the region of 900 K, the population and peak temperature of which increase with energy. Activation energies of 0.99 and 2.39 eV and a rate constant of 7 x 10 15 /s have been derived for the peaks and it is thought that the first peak corresponds to release from sites close to the surface, while the second peak may be related to trapping at impurities such as Ti. Measurements have also been made of the release of deuterium resulting from post-implantation bombardment with hydrogen ions. It is found that depletion of the first peak in the 321 steel is the result of gas sputtering, but depletion of the second peak is the result of the formation of HD during desorption, while depletion of the peak in the 304 stainless steel also results from HD formation even though this peak is the same as the first peak in the 321 steel. Estimates have also been made of the deuterium self-sputtering cross section at various energies, which show a monotonic decrease as energy increases. (Auth.)

  20. Current State and Future Perspectives of Energy Sources for Totally Implantable Cardiac Devices.

    Science.gov (United States)

    Bleszynski, Peter A; Luc, Jessica G Y; Schade, Peter; PhilLips, Steven J; Tchantchaleishvili, Vakhtang

    There is a large population of patients with end-stage congestive heart failure who cannot be treated by means of conventional cardiac surgery, cardiac transplantation, or chronic catecholamine infusions. Implantable cardiac devices, many designated as destination therapy, have revolutionized patient care and outcomes, although infection and complications related to external power sources or routine battery exchange remain a substantial risk. Complications from repeat battery replacement, power failure, and infections ultimately endanger the original objectives of implantable biomedical device therapy - eliminating the intended patient autonomy, affecting patient quality of life and survival. We sought to review the limitations of current cardiac biomedical device energy sources and discuss the current state and trends of future potential energy sources in pursuit of a lifelong fully implantable biomedical device.

  1. A simple method to produce quasi-simultaneous multiple energy helium implantation

    International Nuclear Information System (INIS)

    Paszti, F.; Fried, M.; Manuaba, A.; Mezey, G.; Kotai, E.; Lohner, T.

    1982-11-01

    If a monoenergetic ion beam is bombarding a target through an absorber foil tilted continuously (i.e. its effective thickness changing continuously), the depth distribution of the implanted ions in the sample depends on the way the absorber is moving. The present paper describes a way of absorber tilting for obtaining a uniform depth distribution and its experimental verification in the case of MeV energy helium ions implanted into aluminium target. (author)

  2. Modifying the conductivity of polypyrrole through low-energy lead ion implantation

    International Nuclear Information System (INIS)

    Booth, Marsilea Adela; Leveneur, Jérôme; Costa, Alexsandro Santos; Kennedy, John; Harbison, SallyAnn; Travas-Sejdic, Jadranka

    2012-01-01

    Interest lies in the creation of novel nanocomposite materials obtained through mixing, impregnation or incorporation techniques. One such technique is ion implantation which possesses the potential for retaining properties from the base material and implanted material as well as any effects observed from combining the two. To this end low-energy (15 keV) implantation of lead ions of various fluences was performed in conducting polypyrrole films. The presence of lead-rich particles was evidenced through transmission electron microscopy. An interesting trend was observed between fluence and conductivity. Of the fluences tested, the optimum fluences of lead ion implantation in polypyrrole films for enhanced conductivity are 5 × 10 14 at. cm −2 and 2 × 10 15 at. cm −2 . The conductivity and stability appear to result from a combination of effects: polymer degradation arising from ion beam damage, an increase in charge-carriers (dications) present after implantation and precipitation of Pb-rich nanoparticles. Monitoring conductivity over time showed increased retention of conductivity levels after lead implantation. Improvements in stability for polypyrrole open avenues for application and bring polypyrrole one step closer to practical use. A mechanism is suggested for this advantageous retained conductivity. -- Highlights: ► Implanted and characterized polypyrrole films with Pb ions at different fluences. ► Samples indicate high conductivity when implanted with particular fluences. ► Increase in charge carriers and precipitation of conductive Pb-rich phase. ► Conductivity stability is higher for some implanted fluences than for pristine polypyrrole.

  3. Damage and in-situ annealing during ion implantation

    International Nuclear Information System (INIS)

    Sadana, D.K.; Washburn, J.; Byrne, P.F.; Cheung, N.W.

    1982-11-01

    Formation of amorphous (α) layers in Si during ion implantation in the energy range 100 keV-11 MeV and temperature range liquid nitrogen (LN)-100 0 C has been investigated. Cross-sectional transmission electron microscopy (XTEM) shows that buried amorphous layers can be created for both room temperature (RT) and LN temperature implants, with a wider 100 percent amorphous region for the LN cooled case. The relative narrowing of the α layer during RT implantation is attributed to in-situ annealing. Implantation to the same fluence at temperatures above 100 0 C does not produce α layers. To further investigate in situ annealing effects, specimens already containing buried α layers were further irradiated with ion beams in the temperature range RT-400 0 C. It was found that isolated small α zones (less than or equal to 50 diameter) embedded in the crystalline matrix near the two α/c interfaces dissolved into the crystal but the thickness of the 100 percent α layer was not appreciably affected by further implantation at 200 0 C. A model for in situ annealing during implantation is presented

  4. Study in mutation of alfalfa genome DNA due to low energy N+ implantation using RAPD

    International Nuclear Information System (INIS)

    Chen Roulei; Song Daojun; Yu Zengliang; Li Yufeng; Liang Yunzhang

    2001-01-01

    After implanted by various dosage N + beams, germination rate of alfalfa seeds appears to be saddle line with dosage increasing. The authors have studied in mutation of genome DNA due to low energy N + implantation, and concluded that 30 differential DNA fragments have been amplified by 8 primers (S 41 , S 42 , S 45 , S 46 , S 50 , S 52 , S 56 , S 58 ) in 100 primers, moreover, number of differential DNA fragments between CK and treatments increases with dosage. Consequently, low energy ion implantation can cause mutation of alfalfa genome DNA. The more dosage it is, the more mutation alfalfa will be

  5. Wettability control of polystyrene by ion implantation

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Iwaki, Masaya

    1994-01-01

    The permanent effects of ion implantation on the improvement of wettability of polystyrene is investigated in relation to ion species and fluences. The He + , Ne + , Na + , N 2 + , O 2 + , Ar + , K + and Kr + ion implantations were performed at energies of 50 and 150 keV at room temperature. The fluences ranged from 1x10 15 to 1x10 17 ions/cm 2 . The results showed that the contact angle of water for Na + and K + implanted polystyrene decreased from 87 to 0 , as the fluences increased to 1x10 17 ions/cm 2 at an energy of 50 keV. The contact angle for Na + and K + implanted polystyrene did not change under ambient room conditions, even when time elapsed. However, the contact an gle for He + , C + , O + , Ne + , N 2 + , O 2 + , Ar + , and Kr + ion implanted specimens decreased slightly immediately after ion implantation. Results of X-ray photoelectron spectroscopy showed that the increase in the Na content in the surface of Na + implanted specimens were observed with increasing fluence. It is concluded that permanent improvement in wettability was caused by doping effects rather than by radiation effects from Na + and K + ion implantation. ((orig.))

  6. Amorphous surface layers in Ti-implanted Fe

    International Nuclear Information System (INIS)

    Knapp, J.A.; Follstaedt, D.M.; Picraux, S.T.

    1979-01-01

    Implanting Ti into high-purity Fe results in an amorphous surface layer which is composed of not only Fe and Ti, but also C. Implantations were carried out at room temperature over the energy range 90 to 190 keV and fluence range 1 to 2 x 10 16 at/cm 2 . The Ti-implanted Fe system has been characterized using transmission electron microscopy (TEM), ion backscattering and channeling analysis, and (d,p) nuclear reaction analysis. The amorphous layer was observed to form at the surface and grow inward with increasing Ti fluence. For an implant of 1 x 10 17 Ti/cm 2 at 180 keV the layer thickness was 150 A, while the measured range of the implanted Ti was approx. 550 A. This difference is due to the incorporation of C into the amorphous alloy by C being deposited on the surface during implantation and subsequently diffusing into the solid. Our results indicate that C is an essential constituent of the amorphous phase for Ti concentrations less than or equal to 10 at. %. For the 1 x 10 17 Ti/cm 2 implant, the concentration of C in the amorphous phase was approx. 25 at. %, while that of Ti was only approx. 3 at. %. A higher fluence implant of 2 x 10 17 Ti/cm 2 produced an amorphous layer with a lower C concentration of approx. 10 at. % and a Ti concentration of approx. 20 at. %

  7. Oxidation-enhanced diffusion of boron in very low-energy N2+-implanted silicon

    Science.gov (United States)

    Skarlatos, D.; Tsamis, C.; Perego, M.; Fanciulli, M.

    2005-06-01

    In this article we study the interstitial injection during oxidation of very low-energy nitrogen-implanted silicon. Buried boron δ layers are used to monitor the interstitial supersaturation during the oxidation of nitrogen-implanted silicon. No difference in boron diffusivity enhancement was observed compared to dry oxidation of nonimplanted samples. This result is different from our experience from N2O oxynitridation study, during which a boron diffusivity enhancement of the order of 20% was observed, revealing the influence of interfacial nitrogen on interstitial kinetics. A possible explanation may be that implanted nitrogen acts as an excess interstitial sink in order to diffuse towards the surface via a non-Fickian mechanism. This work completes a wide study of oxidation of very low-energy nitrogen-implanted silicon related phenomena we performed within the last two years [D. Skarlatos, C. Tsamis, and D. Tsoukalas, J. Appl. Phys. 93, 1832 (2003); D. Skarlatos, E. Kapetanakis, P. Normand, C. Tsamis, M. Perego, S. Ferrari, M. Fanciulli, and D. Tsoukalas, J. Appl. Phys. 96, 300 (2004)].

  8. Energy-range relation and mean energy variation in therapeutic particle beams

    International Nuclear Information System (INIS)

    Kempe, Johanna; Brahme, Anders

    2008-01-01

    Analytical expressions for the mean energy and range of therapeutic light ion beams and low- and high-energy electrons have been derived, based on the energy dependence of their respective stopping powers. The new mean energy and range relations are power-law expressions relevant for light ion radiation therapy, and are based on measured practical ranges or known tabulated stopping powers and ranges for the relevant incident particle energies. A practical extrapolated range, R p , for light ions was defined, similar to that of electrons, which is very closely related to the extrapolated range of the primary ions. A universal energy-range relation for light ions and electrons that is valid for all material mixtures and compounds has been developed. The new relation can be expressed in terms of the range for protons and alpha particles, and is found to agree closely with experimental data in low atomic number media and when the difference in the mean ionization energy is low. The variation of the mean energy with depth and the new energy-range relation are useful for accurate stopping power and mass scattering power calculations, as well as for general particle transport and dosimetry applications

  9. Electromagnetic Compatibility of Transcutaneous Energy Transmission Systemfor Totally Implantable Artificial Heart

    Science.gov (United States)

    Shiba, Kenji; Koshiji, Kohji

    Transcutaneous Energy Transmission (TET) is one way of providing the energy needed to power a totally implantable artificial heart (TIAH). In the present study, an externally coupled TET system was implanted in a prototype human phantom to evaluate emission and immunity. In the emission evaluation, measurements were conducted based on CISPR Pub.11 and VDE 0871 standards, while immunity tests were based on the standards of the IEC 61000-4 series. The magnetic field of the radiated emission was measured using a loop antenna. At 0.1[MHz], we found the greatest magnetic field of 47.8 [dBμA/m], somewhat less than CISPR’s upper limit of 54 [dBμA/m]. For the conducted emission, by installing a noise filter and ferrite beads in the input section of the DC-power supply, conducted emission could be kept within the allowable limits of CISPR Pub.11 and VDE 0871. Finally, the immunity tests against radiated and conducted emission, electrostatic discharge and voltage fluctuation proved that the prototype could withstand the maximum level of disturbance. These results confirmed that the TET system implanted in a human phantom could, through modification, meet the emission and immunity standards.

  10. Ion implantation induced nanotopography on titanium and bone cell adhesion

    Energy Technology Data Exchange (ETDEWEB)

    Braceras, Iñigo, E-mail: inigo.braceras@tecnalia.com [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Vera, Carolina; Ayerdi-Izquierdo, Ana [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Muñoz, Roberto [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); Lorenzo, Jaione; Alvarez, Noelia [Tecnalia, Mikeletegi Pasealekua 2, 20009 Donostia-San Sebastian (Spain); CIBER de Bioingeniería, Biomateriales y Nanomedicina (Ciber-BBN) (Spain); Maeztu, Miguel Ángel de [Private Practice, P° San Francisco, 43 A-1°, 20400 Tolosa (Spain)

    2014-08-15

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm{sup 2}) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  11. Ion implantation induced nanotopography on titanium and bone cell adhesion

    International Nuclear Information System (INIS)

    Braceras, Iñigo; Vera, Carolina; Ayerdi-Izquierdo, Ana; Muñoz, Roberto; Lorenzo, Jaione; Alvarez, Noelia; Maeztu, Miguel Ángel de

    2014-01-01

    Graphical abstract: Titanium surfaces modified by inert ion implantation affect cell adhesion through modification of the nanotopography in the same dimensional range of that of human bone inorganic phases. - Highlights: • Inert ion implantation on Ti modifies surface nanotopography and bone cell adhesion. • Ion implantation can produce nanostructured surfaces on titanium in the very same range as of those of the mineral phase of the human bone. • Appropriate tool for studying the relevance of nanostructured surfaces on bone mineralization and implant osseointegration. • Ion implantation induced nanotopography have a statistically significant influence on bone cell adhesion. - Abstract: Permanent endo-osseous implants require a fast, reliable and consistent osseointegration, i.e. intimate bonding between bone and implant, so biomechanical loads can be safely transferred. Among the parameters that affect this process, it is widely admitted that implant surface topography, surface energy and composition play an important role. Most surface treatments to improve osseointegration focus on micro-scale features, as few can effectively control the effects of the treatment at nanoscale. On the other hand, ion implantation allows controlling such nanofeatures. This study has investigated the nanotopography of titanium, as induced by different ion implantation surface treatments, its similarity with human bone tissue structure and its effect on human bone cell adhesion, as a first step in the process of osseointegration. The effect of ion implantation treatment parameters such as energy (40–80 keV), fluence (1–2 e17 ion/cm 2 ) and ion species (Kr, Ar, Ne and Xe) on the nanotopography of medical grade titanium has been measured and assessed by AFM and contact angle. Then, in vitro tests have been performed to assess the effect of these nanotopographies on osteoblast adhesion. The results have shown that the nanostructure of bone and the studied ion implanted

  12. Development of Linear Mode Detection for Top-down Ion Implantation of Low Energy Sb Donors

    Science.gov (United States)

    Pacheco, Jose; Singh, Meenakshi; Bielejec, Edward; Lilly, Michael; Carroll, Malcolm

    2015-03-01

    Fabrication of donor spin qubits for quantum computing applications requires deterministic control over the number of implanted donors and the spatial accuracy to within which these can be placed. We present an ion implantation and detection technique that allows us to deterministically implant a single Sb ion (donor) with a resulting volumetric distribution of performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. The work was supported by Sandia National Laboratories Directed Research and Development Program. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.

  13. Performance of Geant4 in simulating semiconductor particle detector response in the energy range below 1 MeV

    Science.gov (United States)

    Soti, G.; Wauters, F.; Breitenfeldt, M.; Finlay, P.; Kraev, I. S.; Knecht, A.; Porobić, T.; Zákoucký, D.; Severijns, N.

    2013-11-01

    Geant4 simulations play a crucial role in the analysis and interpretation of experiments providing low energy precision tests of the Standard Model. This paper focuses on the accuracy of the description of the electron processes in the energy range between 100 and 1000 keV. The effect of the different simulation parameters and multiple scattering models on the backscattering coefficients is investigated. Simulations of the response of HPGe and passivated implanted planar Si detectors to β particles are compared to experimental results. An overall good agreement is found between Geant4 simulations and experimental data.

  14. Implantation damage in heavy gas implanted 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, C. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Nicolaï, J., E-mail: julien.nicolai@univ-poitiers.fr [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Declémy, A. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France); Gilabert, E. [Centre d’Etude Nucléaire de Bordeaux-Gradignan, 33175 Gradignan Cedex (France); Beaufort, M.-F.; Barbot, J.-F. [Institut Pprime, CNRS, Université de Poitiers, ENSMA, UPR 3346, Département Physique et Mécanique des Matériaux, Bd Marie et Pierre Curie, BP 30179, 86962 Futuroscope Chasseneuil Cedex (France)

    2016-05-01

    Single crystals of SiC were implanted with heavy inert gases (Xe, Ar) at elevated temperatures (300–800 °C) and for a large range of fluence (1 × 10{sup 12}–1 × 10{sup 15} ions cm{sup −2}). Thermodesorption measurements suggest that gas is trapped by implantation-induced vacancy-type defects impeding any gas diffusion. The damage accumulation versus dose was studied through the tensile elastic strain determined by using X-ray diffraction. Results show that at low dose the strain is predictable via a thermally activated direct impact model. The low thermal activation energy at saturation suggests a dynamic recovery process dominated by the migration of interstitial-type defects as its relaxation during post thermal annealing. As compared with light-gas implantation the heavy-gas to defect ratio is low enhancing the formation of strongly perturbed zones rather than the formation of bubble precursors.

  15. Doping of two-dimensional MoS2 by high energy ion implantation

    Science.gov (United States)

    Xu, Kang; Zhao, Yuda; Lin, Ziyuan; Long, Yan; Wang, Yi; Chan, Mansun; Chai, Yang

    2017-12-01

    Two-dimensional (2D) materials have been demonstrated to be promising candidates for next generation electronic circuits. Analogues to conventional Si-based semiconductors, p- and n-doping of 2D materials are essential for building complementary circuits. Controllable and effective doping strategies require large tunability of the doping level and negligible structural damage to ultrathin 2D materials. In this work, we demonstrate a doping method utilizing a conventional high-energy ion-implantation machine. Before the implantation, a Polymethylmethacrylate (PMMA) protective layer is used to decelerate the dopant ions and minimize the structural damage to MoS2, thus aggregating the dopants inside MoS2 flakes. By optimizing the implantation energy and fluence, phosphorus dopants are incorporated into MoS2 flakes. Our Raman and high-resolution transmission electron microscopy (HRTEM) results show that only negligibly structural damage is introduced to the MoS2 lattice during the implantation. P-doping effect by the incorporation of p+ is demonstrated by Photoluminescence (PL) and electrical characterizations. Thin PMMA protection layer leads to large kinetic damage but also a more significant doping effect. Also, MoS2 with large thickness shows less kinetic damage. This doping method makes use of existing infrastructures in the semiconductor industry and can be extended to other 2D materials and dopant species as well.

  16. Diffusion modelling of low-energy ion-implanted BF{sub 2} in crystalline silicon: Study of fluorine vacancy effect on boron diffusion

    Energy Technology Data Exchange (ETDEWEB)

    Marcon, J. [Laboratoire Electronique Microtechnologie et Instrumentation (LEMI), University of Rouen, 76821 Mont Saint Aignan (France)], E-mail: Jerome.Marcon@univ-rouen.fr; Merabet, A. [Laboratoire de Physique et Mecanique des Materiaux Metalliques, Departement d' O.M.P., Faculte des Sciences de l' Ingenieur, Universite de Setif, 19000 Setif (Algeria)

    2008-12-05

    We have investigated and modelled the diffusion of boron implanted into crystalline silicon in the form of boron difluoride BF{sub 2}{sup +}. We have used published data for BF{sub 2}{sup +} implanted with an energy of 2.2 keV in crystalline silicon. Fluorine effects are considered by using vacancy-fluorine pairs which are responsible for the suppression of boron diffusion in crystalline silicon. Following Uematsu's works, the simulations satisfactory reproduce the SIMS experimental profiles in the 800-1000 deg. C temperature range. The boron diffusion model in silicon of Uematsu has been improved taking into account the last experimental data.

  17. Silicon carbide layer structure recovery after ion implantation

    International Nuclear Information System (INIS)

    Violin, Eh.E.; Demakov, K.D.; Kal'nin, A.A.; Nojbert, F.; Potapov, E.N.; Tairov, Yu.M.

    1984-01-01

    The process of recovery of polytype structure of SiC surface layers in the course of thermal annealing (TA) and laser annealing (LA) upon boron and aluminium implantation is studied. The 6H polytype silicon carbide C face (0001) has been exposed to ion radiation. The ion energies ranged from 80 to 100 keV, doses varied from 5x10 14 to 5x10 16 cm -2 . TA was performed in the 800-2000 K temperature range. It is shown that the recovery of the structure of silicon carbide layers after ion implantation takes place in several stages. Considerable effect on the structure of the annealed layers is exerted by the implantation dose and the type of implanted impurity. The recovery of polytype structure is possible only under the effect of laser pulses with duration not less than the time for the ordering of the polytype in question

  18. SIMS analysis of isotopic impurities in ion implants

    International Nuclear Information System (INIS)

    Sykes, D.E.; Blunt, R.T.

    1986-01-01

    The n-type dopant species Si and Se used for ion implantation in GaAs are multi-isotopic with the most abundant isotope not chosen because of potential interferences with residual gases. SIMS analysis of a range of 29 Si implants produced by several designs of ion implanter all showed significant 28 Si impurity with a different depth distribution from that of the deliberately implanted 29 Si isotope. This effect was observed to varying degrees with all fifteen implanters examined and in every 29 Si implant analysed to date 29 Si + , 29 Si ++ and 30 Si implants all show the same effect. In the case of Se implantation, poor mass resolution results in the implantation of all isotopes with the same implant distribution (i.e. energy), whilst implants carried out with good mass resolution show the implantation of all isotopes with the characteristic lower depth distribution of the impurity isotopes as found in the Si implants. This effect has also been observed in p-type implants into GaAs (Mg) and for Ga implanted in Si. A tentative explanation of the effect is proposed. (author)

  19. Surface modification of austenitic stainless steel by titanium ion implantation

    International Nuclear Information System (INIS)

    Evans, P.J.; Hyvarinen, J.; Samandi, M.

    1995-01-01

    The wear properties of AISI 316 austenitic stainless steel implanted with Ti were investigated for ion doses in the range (2.3-5.4)x10 16 ionscm -2 and average ion energies of 60 and 90keV. The implanted layer was examined by Rutherford backscattering, from which the retained doses were determined, and glow discharge optical emission spectroscopy. Following implantation, the surface microhardness was observed to increase with the greatest change occurring at higher ion energy. Pin-on-disc wear tests and associated friction measurements were also performed under both dry and lubricated conditions using applied loads of 2N and 10N. In the absence of lubrication, breakthrough of the implanted layer occurred after a short sliding time; only for a dose of 5.1x10 16 ionscm -2 implanted at an average energy of 90keV was the onset of breakthrough appreciably delayed. In contrast, the results of tests with lubrication showed a more gradual variation, with the extent of wear decreasing with implant dose at both 2N and 10N loads. Finally, the influence of Ti implantation on possible wear mechanisms is discussed in the light of information provided by several surface characterization techniques. ((orig.))

  20. A hot implantation study on the evolution of defects in He ion implanted MgO(1 0 0)

    International Nuclear Information System (INIS)

    Fedorov, A.V.; Huis, M.A. van; Veen, A. van

    2002-01-01

    Ion implantation at elevated temperature, so-called hot implantation, was used to study nucleation and thermal stability of the defects. In this work, MgO(1 0 0) single crystal samples were implanted with 30 keV He ions at various implantation temperatures. The implantation doses ranged from 10 14 to 10 16 cm -2 . The implantation introduced defects were subsequently studied by thermal helium desorption spectroscopy (THDS) and Doppler broadening positron beam analysis (PBA). The THDS study provides vital information on the kinetics of He release from the sample. PBA technique, being sensitive to the open volume defects, provides complementary information on cavity evolution. The THD study has shown that in most cases helium release is characterised by the activation energy of Q=4.7±0.5 eV with the maximum release temperature of T max =1830 K. By applying first order desorption model the pre-exponent factor is estimated as ν=4.3x10 11 s -1

  1. Research on ion implantation in MEMS device fabrication by theory, simulation and experiments

    Science.gov (United States)

    Bai, Minyu; Zhao, Yulong; Jiao, Binbin; Zhu, Lingjian; Zhang, Guodong; Wang, Lei

    2018-06-01

    Ion implantation is widely utilized in microelectromechanical systems (MEMS), applied for embedded lead, resistors, conductivity modifications and so forth. In order to achieve an expected device, the principle of ion implantation must be carefully examined. The elementary theory of ion implantation including implantation mechanism, projectile range and implantation-caused damage in the target were studied, which can be regarded as the guidance of ion implantation in MEMS device design and fabrication. Critical factors including implantations dose, energy and annealing conditions are examined by simulations and experiments. The implantation dose mainly determines the dopant concentration in the target substrate. The implantation energy is the key factor of the depth of the dopant elements. The annealing time mainly affects the repair degree of lattice damage and thus the activated elements’ ratio. These factors all together contribute to ions’ behavior in the substrates and characters of the devices. The results can be referred to in the MEMS design, especially piezoresistive devices.

  2. Metal ion implantation: Conventional versus immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.; Dickinson, M.R.; MacGill, R.A.

    1994-01-01

    Vacuum-arc-produced metal plasma can be used as the ion feedstock material in an ion source for doing conventional metal ion implantation, or as the immersing plasma for doing plasma immersion ion implantation. The basic plasma production method is the same in both cases; it is simple and efficient and can be used with a wide range of metals. Vacuum arc ion sources of different kinds have been developed by the authors and others and their suitability as a metal ion implantation tool has been well established. Metal plasma immersion surface processing is an emerging tool whose characteristics and applications are the subject of present research. There are a number of differences between the two techniques, both in the procedures used and in the modified surfaces created. For example, the condensibility of metal plasma results in thin film formation and subsequent energetic implantation is thus done through the deposited layer; in the usual scenario, this recoil implantation and the intermixing it produces is a feature of metal plasma immersion but not of conventional energetic ion implantation. Metal plasma immersion is more suited (but not limited) to higher doses (>10 17 cm -2 ) and lower energies (E i < tens of keV) than the usual ranges of conventional metal ion implantation. These and other differences provide these vacuum-arc-based surface modification tools with a versatility that enhances the overall technological attractiveness of both

  3. Characterization of junctions produced by medium-energy ion implantation in silicon

    International Nuclear Information System (INIS)

    Monfret, A.

    1970-01-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [fr

  4. Reduction of transient diffusion from 1 endash 5 keV Si+ ion implantation due to surface annihilation of interstitials

    International Nuclear Information System (INIS)

    Agarwal, A.; Gossmann, H.-.; Eaglesham, D.J.; Pelaz, L.; Jacobson, D.C.; Haynes, T.E.; Erokhin, Y.E.

    1997-01-01

    The reduction of transient enhanced diffusion (TED) with reduced implantation energy has been investigated and quantified. A fixed dose of 1x10 14 cm -2 Si + was implanted at energies ranging from 0.5 to 20 keV into boron doping superlattices and enhanced diffusion of the buried boron marker layers was measured for anneals at 810, 950, and 1050 degree C. A linearly decreasing dependence of diffusivity enhancement on decreasing Si + ion range is observed at all temperatures, extrapolating to ∼1 for 0 keV. This is consistent with our expectation that at zero implantation energy there would be no excess interstitials from the implantation and hence no TED. Monte Carlo modeling and continuum simulations are used to fit the experimental data. The results are consistent with a surface recombination length for interstitials of <10 nm. The data presented here demonstrate that in the range of annealing temperatures of interest for p-n junction formation, TED is reduced at smaller ion implantation energies and that this is due to increased interstitial annihilation at the surface. copyright 1997 American Institute of Physics

  5. SU-F-T-126: Microdosimetic Evaluation of Proton Energy Distributions in the Vicinity of Metal Implants

    Energy Technology Data Exchange (ETDEWEB)

    Heczko, S; McAuley, GA; Slater, JM [Loma Linda University, Loma Linda, CA (United States); Wroe, A [Loma Linda University, Loma Linda, CA (United States); Loma Linda University Medical Center, Loma Linda, CA (United States)

    2016-06-15

    Purpose: To evaluate the impact of titanium and surgical stainless steel implants on the microscopic dose distribution in proton treatment plans Methods: Geant4 Monte Carlo simulations were used to analyze the microdosimetric distribution of proton radiation in the vicinity of 3.1 mm thick CP Grade 4 titanium (Ti) or 316 stainless steel (SS316) plates in a water phantom. Additional simulations were performed using either water, or water with a density equivalent to the respective metals (Tiwater, SS316water) (to reflect common practice in treatment planning). Implants were placed at the COM of SOBPs of 157 MeV (range of ∼15 cm in water) protons with 30 or 60 mm modulation. Primary and secondary particle dose and fluence, frequency-weighted and dose-weighted average lineal energy, average radiation quality factor, dose equivalent and energy deposition histograms in the plate vicinity were compared. Results: Preliminary results show frequency-weighted (yf) and dose-weighted lineal energy (yd) was increased downstream of the Ti plate (yf = 3.1 keV/µm; yd = 5.5 keV/µm) and Tiwater (yf = 4.1 keV/µm; yd = 6.8 keV/µm) compared to that of water (ie, the absence of a plate) (yf = 2.5 keV/µm; yd = 4.5 keV/µm). In addition, downstream proton dose deposition was also elevated due to the presence of the Ti plate or Tiwater. The additional dose deposited at higher lineal energy implies that tissues downstream of the plate will receive a higher dose equivalent. Detailed analyses of the Ti, Tiwater, SS316, and SS316 water simulations will be presented. Conclusion: The presence of high-density materials introduces changes in the spatial distribution of radiation in the vicinity of an implant. Further work quantifying these effects could be incorporated into future treatment planning systems resulting in more accurate treatment plans. This project was sponsored with funding from the Department of Defense (DOD # W81XWH-10-2-0192).

  6. SU-F-T-126: Microdosimetic Evaluation of Proton Energy Distributions in the Vicinity of Metal Implants

    International Nuclear Information System (INIS)

    Heczko, S; McAuley, GA; Slater, JM; Wroe, A

    2016-01-01

    Purpose: To evaluate the impact of titanium and surgical stainless steel implants on the microscopic dose distribution in proton treatment plans Methods: Geant4 Monte Carlo simulations were used to analyze the microdosimetric distribution of proton radiation in the vicinity of 3.1 mm thick CP Grade 4 titanium (Ti) or 316 stainless steel (SS316) plates in a water phantom. Additional simulations were performed using either water, or water with a density equivalent to the respective metals (Tiwater, SS316water) (to reflect common practice in treatment planning). Implants were placed at the COM of SOBPs of 157 MeV (range of ∼15 cm in water) protons with 30 or 60 mm modulation. Primary and secondary particle dose and fluence, frequency-weighted and dose-weighted average lineal energy, average radiation quality factor, dose equivalent and energy deposition histograms in the plate vicinity were compared. Results: Preliminary results show frequency-weighted (yf) and dose-weighted lineal energy (yd) was increased downstream of the Ti plate (yf = 3.1 keV/µm; yd = 5.5 keV/µm) and Tiwater (yf = 4.1 keV/µm; yd = 6.8 keV/µm) compared to that of water (ie, the absence of a plate) (yf = 2.5 keV/µm; yd = 4.5 keV/µm). In addition, downstream proton dose deposition was also elevated due to the presence of the Ti plate or Tiwater. The additional dose deposited at higher lineal energy implies that tissues downstream of the plate will receive a higher dose equivalent. Detailed analyses of the Ti, Tiwater, SS316, and SS316 water simulations will be presented. Conclusion: The presence of high-density materials introduces changes in the spatial distribution of radiation in the vicinity of an implant. Further work quantifying these effects could be incorporated into future treatment planning systems resulting in more accurate treatment plans. This project was sponsored with funding from the Department of Defense (DOD # W81XWH-10-2-0192).

  7. Sacroiliac joint stability: Finite element analysis of implant number, orientation, and superior implant length.

    Science.gov (United States)

    Lindsey, Derek P; Kiapour, Ali; Yerby, Scott A; Goel, Vijay K

    2018-03-18

    To analyze how various implants placement variables affect sacroiliac (SI) joint range of motion. An experimentally validated finite element model of the lumbar spine and pelvis was used to simulate a fusion of the SI joint using various placement configurations of triangular implants (iFuse Implant System ® ). Placement configurations were varied by changing implant orientation, superior implant length, and number of implants. The range of motion of the SI joint was calculated using a constant moment of 10 N-m with a follower load of 400 N. The changes in motion were compared between the treatment groups to assess how the different variables affected the overall motion of the SI joint. Transarticular placement of 3 implants with superior implants that end in the middle of the sacrum resulted in the greatest reduction in range of motion (flexion/extension = 73%, lateral bending = 42%, axial rotation = 72%). The range of motions of the SI joints were reduced with use of transarticular orientation (9%-18%) when compared with an inline orientation. The use of a superior implant that ended mid-sacrum resulted in median reductions of (8%-14%) when compared with a superior implant that ended in the middle of the ala. Reducing the number of implants, resulted in increased SI joint range of motions for the 1 and 2 implant models of 29%-133% and 2%-39%, respectively, when compared with the 3 implant model. Using a validated finite element model we demonstrated that placement of 3 implants across the SI joint using a transarticular orientation with superior implant reaching the sacral midline resulted in the most stable construct. Additional clinical studies may be required to confirm these results.

  8. Clinical research of phacoemulsification with posterior chamber intraocular lens implantation for glaucoma with different goniosynechia ranges

    Directory of Open Access Journals (Sweden)

    Qing-Yu Li

    2016-02-01

    Full Text Available AIM:To study the effect of phacoemulsification with posterior chamber intraocular lens implantation to treat glaucoma with different angle-closure range, which may provide a better way to treat the angle-closure glaucoma.METHODS:There were 47 cases(54 eyeswith angle-closure glaucoma, and all of them underwent phacoemulsification and posterior chamber intraocular lens implantation. According to the range of goniosynechia, these patients were divided into three groups:the eyes with the range of goniosynechia≤1/2 were group A(13 eyes; the eyes with 1/23/4 were group C(23 eyes. We observed the status of anterior chamber angle and the intraocular pressure(IOPof the three groups at 2wk after operations. RESULTS:Compared to the preoperative condition, the IOP of the three groups at 2wk after operations decreased significantly. The IOP reductions of group B and C were more significant than that of group A, and the differences were significant(PPP3/4 appeared in group B; in group C, there were 5 eyes with goniosynechia>3/4, 1 eye with disappeared anterior chamber, 3 eyes with corneal edema, 1 eye with choroidal hemorrhage. The differences of postoperative complication rate among the three groups was statistically significant(PPCONCLUSION:For patients with angle closure glaucoma who have mild to moderate goniosynechia, phacoemulsification with posterior chamber intraocular lens implantation is an effective way. After operations, their closed anterior angle reopened. But to the patients with severe adhesions, there are more complications after operations, especially the glaucoma may reoccur.

  9. Ultra-shallow arsenic implant depth profiling using low-energy nitrogen beams

    International Nuclear Information System (INIS)

    Fearn, Sarah; Chater, Richard; McPhail, David

    2004-01-01

    Sputtering of silicon by low-energy nitrogen primary ion beams has been studied by a number of authors to characterize the altered layer, ripple formation and the sputtered yields of secondary ions [Surf. Sci. 424 (1999) 299; Appl. Phys. A: Mater. Sci. Process 53 (1991) 179; Appl. Phys. Lett. 73 (1998) 1287]. This study examines the application of low-energy nitrogen primary ion beams for the possible depth profiling of ultra-shallow arsenic implants into silicon. The emphasis of this work is on the matrix silicon signals in the pre-equilibrium surface region that are used for dose calibration. Problems with these aspects of the concentration depth profiling can give significant inconsistencies well outside the error limits of the quoted dose for the arsenic implantation as independently verified by CV profiling. This occurs during depth profiling with either oxygen primary ion beams (with and without oxygen leaks) or cesium primary ion beams

  10. Influence of high-energy ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy

    International Nuclear Information System (INIS)

    Gusakova, O.V.

    2016-01-01

    The results of investigation of influence of Xe ion implantation on the microstructure of Sn - 9,8 wt. % Zn alloy are represented/ Analysis of the experimental results shows that the high-energy ion implantation of Xe causes a change in the particle size of zinc. (authors)

  11. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    International Nuclear Information System (INIS)

    Xu Gang; Wang Xiaoteng; Gan Cailing; Fang Yanqiong; Zhang Meng

    2012-01-01

    Highlights: ► We analyzed biological effects of N + implantation on dry Jatropha curcas seed. ► N + implantation greatly decreased seedling survival rate. ► At doses beyond 15 × 10 16 ion cm −2 , biological repair took place. ► CAT was essential for H 2 O 2 removal. POD mainly functioned as seed was severely hurt. ► HAsA–GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N + with energy of 25 keV was applied to treat the dry seed at six different doses. N + beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 10 16 to 15 × 10 16 ions cm −2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 10 16 ion cm −2 , biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 10 16 ions cm −2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA–GSH cycle appeared to be for regeneration of HAsA.

  12. The influence of ion implantation on the surface properties of metals and alloys

    International Nuclear Information System (INIS)

    Grant, W.A.; Carter, G.

    1975-10-01

    The report falls into three sections: (1) annealing behaviour of high dose rare gas (Ne, Ar, Kr, Xe) implantations into silicon; (2) measurement of projected and lateral range parameters for low energy heavy ions (Ar, Cu, Kr, Cd, Xe, Cs, Dy, W, Au, Pb, Bi) in silicon by Rutherford backscattering; (3) surface chemistry of ion implanted solids (e.g. corrosion, catalysis, oxidation, synthesis of compounds in ion implanted layers). (U.K.)

  13. Deep level transient spectroscopy studies of charge traps introduced into silicon by channeling ion implantation of phosphorus

    International Nuclear Information System (INIS)

    McCallum, J.C.; Lay, M.; Deenapanray, P.N.K.; Jagadish, C.

    2002-01-01

    Full text: The operating conditions of a silicon-based quantum computer are expected to place stringent requirements on the quality of the material and the processes used to make it. In the Special Research Centre for Quantum Computer Technology, ion implantation is one of the principle processing techniques under investigation for forming an ordered array of phosphorus atoms. This technique introduces defect centres in silicon which act as charge traps. Charge traps are expected to be detrimental to operation of the device. These defect centres, their dependence on ion implantation and thermal annealing conditions are being quantified using Deep Level Transient Spectroscopy (DLTS). Since the aspect ratio of the masks required for the top-down fabrication process restrict the incident ions to a range of angles in which they may undergo channeling implantation in the silicon substrate, we have examined the effect of channeling implantation on the nature and quantity of the charge traps produced. This is the first time that DLTS studies have been performed for channeling implantation of a dopant species in silicon. DLTS is well-suited to the dose regime of ∼10 11 P/cm 3 required for the quantum computer, however, a standard DLTS measurement is unable to probe the shallow depth range of ∼ 20 nm required for the P atoms (∼ 10-15 keV implantation energy). Our aim has therefore been to perform P implants in the appropriate dose regime but using higher implantation energies, ∼ 75-450 keV, where DLTS can directly identify and profile the charge traps induced by the implantation step and monitor their annealing characteristics during subsequent processing. To map the behaviour observed in this energy regime onto the low energy range required for the quantum computer we are comparing the DLTS results to damage profiles predicted by the Monte Carlo code Crystal Trim which is used in the semiconductor industry to simulate ion implantation processes in crystalline

  14. Low-energy plasma immersion ion implantation to induce DNA transfer into bacterial E. coli

    Energy Technology Data Exchange (ETDEWEB)

    Sangwijit, K. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Sarapirom, S. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Faculty of Science, Maejo University, Bang Khen, Chiang Mai 50290 (Thailand); Pitakrattananukool, S. [School of Science, University of Phayao, Muang, Phayao 56000 (Thailand); Anuntalabhochai, S. [Biotechnology Unit, University of Phayao, Muang, Phayao 56000 (Thailand)

    2015-12-15

    Plasma immersion ion implantation (PIII) at low energy was for the first time applied as a novel biotechnology to induce DNA transfer into bacterial cells. Argon or nitrogen PIII at low bias voltages of 2.5, 5 and 10 kV and fluences ranging from 1 × 10{sup 12} to 1 × 10{sup 17} ions/cm{sup 2} treated cells of Escherichia coli (E. coli). Subsequently, DNA transfer was operated by mixing the PIII-treated cells with DNA. Successes in PIII-induced DNA transfer were demonstrated by marker gene expressions. The induction of DNA transfer was ion-energy, fluence and DNA-size dependent. The DNA transferred in the cells was confirmed functioning. Mechanisms of the PIII-induced DNA transfer were investigated and discussed in terms of the E. coli cell envelope anatomy. Compared with conventional ion-beam-induced DNA transfer, PIII-induced DNA transfer was simpler with lower cost but higher efficiency.

  15. Lab-based x-ray tomography of a cochlear implant using energy discriminating detectors for metal artefact reduction

    Science.gov (United States)

    Yokhana, Viona S. K.; Arhatari, Benedicta D.; Gureyev, Timur E.; Abbey, Brian

    2018-01-01

    X-ray computed tomography (XCT) is an important clinical diagnostic tool which is also used in a range of biological imaging applications in research. The increasing prevalence of metallic implants in medical and dental radiography and tomography has driven the demand for new approaches to solving the issue of metal artefacts in XCT. Metal artefacts occur when a highly absorbing material is imaged which is in boundary contact with one or more weakly absorbing components, such as soft-tissue. The resulting `streaking' in the reconstructed images creates significant challenges for X-ray analysis due to the non-linear dependence on the absorption properties of the sample. In this paper we introduce a new approach to removing metal artefacts which exploits the capabilities of the recently available, photon-counting PiXirad detector. Our approach works for standard lab-based polychromatic X-ray tubes and does not rely on any postprocessing of the data. The method is demonstrated using both simulated data from a test phantom and experimental data collected from a cochlear implant. The results show that by combining the individual images, which are simultaneously generated for each different energy threshold, artefact -free segmentation of the implant from the surrounding biological tissue is achieved.

  16. On the blister formation in copper alloys due to the helium ion implantation

    International Nuclear Information System (INIS)

    Moreno, D.; Eliezer, D.

    1997-01-01

    Structural materials in fusion reactors will be exposed to alpha radiation and helium implantation over a broad range of energies. A new approach to the blister-formation phenomenon is discussed by means of the mathematical solution on a uniformly loaded circular plate with clamped edges (circular diaphragm). In the present investigation, it was found that blister formation depends on the mechanical properties of the alloys and the near-surface concentration of the implanted gas, which itself is contingent on the crystallographic orientation by means of the stopping power of the implanted atoms. The reported model is based on the fact that at certain depths from the surface, the pressure in the cavities approaches the yield stress of the metal and blistering starts. The thickness of this thin film depends on the mechanical properties of the specific metal. Once a blister cavity is formed, the deformation of the thin film to form a blister cap depends on the buildup of pressure in the cavity contingent on the implanted dose. For the present model, it is sufficient to say that the thickness of the blister's cap cannot be correlated with the projected range of the implantation, as assumed by other authors. The implanted helium concentration needed to build up enough gas pressure to create a blister at a depth which is close to the projected range is higher by 50 times than the gas helium concentration in the cavity. Experimental results, such as the fact that the blisters have burst at the edge of the circular skin, where the maximum stresses are developed, and the fact that at high implantation energy (large projected range), the bursting of the blisters occurs by multilayer caps, support the present model

  17. The interaction between Xe and F in Si (1 0 0) pre-amorphised with 20 keV Xe and implanted with low energy BF{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Werner, M. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom)]. E-mail: m.werner@pgr.salford.ac.uk; Berg, J.A. van den [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Armour, D.G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Carter, G. [Joule Physics Laboratory, Institute of Materials Research, University of Salford, Salford M54WT (United Kingdom); Feudel, T. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Herden, M. [AMD Saxony LLC and Co. KG, Wilschdorfer Landstrasse, 101 D-01109 Dresden (Italy); Bersani, M. [ITC IRST, 38050 Povo, Trento (Italy); Giubertoni, D. [ITC IRST, 38050 Povo, Trento (Italy); Bailey, P. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom); Noakes, T.C.Q. [CCLRC Daresbury Laboratory, Daresbury WA44A (United Kingdom)

    2004-12-15

    The pre-amorphisation of Si by Xe{sup +} ions, before source/drain and extension implants, is an attractive alternative to Ge{sup +} or Si{sup +}, as it produces sharper amorphous/crystalline interfaces. Si (1 0 0) samples pre-amorphised with 20 keV Xe{sup +} to a nominal dose of 2E14 cm{sup -2} were implanted with 1 and 3 keV BF{sub 2} {sup +} to doses of 7E14 cm{sup -2}. Samples were annealed at temperatures ranging from 600 to 1130 deg. C and investigated by medium energy ion scattering (MEIS) and secondary ion mass spectrometry (SIMS). Following annealing, it was observed that implanted Xe has interacted with F originating from the BF{sub 2} {sup +} implant. MEIS studies showed that for all annealing conditions, approximately half of the Xe accumulated at depths of 7 nm for the 1 keV and at 13 nm for the 3 keV BF{sub 2} {sup +} implant. This equates to the end of range of B and F within the amorphous Si. SIMS showed that in the pre-amorphised samples, approximately 10% of the F migrates into the bulk and is trapped at the same depth in a {approx}1:1 ratio to Xe. A small fraction of the implanted B is also trapped. The effect is interpreted in terms of the formation of a defect structure within the amorphised Si, leading to F stabilised Xe bubble or XeF compound formation.

  18. Characterization of junctions produced by medium-energy ion implantation in silicon; Caracterisation de jonctions obtenues par implantation d'ions de moyenne energie dans le silicium

    Energy Technology Data Exchange (ETDEWEB)

    Monfret, A [Commissariat a l' Energie Atomique, Grenoble (France). Centre d' Etudes Nucleaires

    1970-07-01

    Characteristics of diodes made by implanting 20 keV boron and phosphorus ions into silicon are reviewed. Special features of theses diodes are presented, and correlation with technology is studied. This paper includes three parts: - in the first part, the theory of range distribution is considered for both amorphous and single-crystal targets, - In the second part, a brief description of the experimental conditions is given. - In the third part, the experimental results are presented. The results lead to a schematic model of the component. They also show the influence of cleaning and annealing treatments from which optimized process of fabrication can be determined. In this study, the influence of a two stage annealing process is shown. For phosphorus and boron implants, the first stage is performed at 150 deg. C while the second stage is 450 deg. C for phosphorus and 550 deg. C for boron implants. The implanted diodes are found to exhibit good electrical characteristics. Comparisons with standard diffused diodes are quite favourable. (author) [French] On examine les caracteristiques de diodes obtenues par implantation d'ions bore et phosphore de 20 keV dans le silicium. On met en evidence le comportement particulier de ces diodes et on presente certaines correlations avec la technologie. L'expose comprend trois parties: - la premiere partie est consacree au calcul du profil de dopage en mode canalise ou non. - Dans la deuxieme partie, on decrit l'appareillage et les conditions experimentales d'implantation. - Dans la troisieme partie, on presente les resultats experimentaux. On propose un modele schematique pour expliquer le comportement des tenues en tension des diodes. L'etude des courants de fuite en fonction de la preparation des echantillons et des traitements thermiques permet de determiner des conditions optimales d'elaboration. Au cours de cette etude on met en evidence l'influence de deux stades de recuit: le premier a 150 deg. C pour les implantations de

  19. Immediate Direct-To-Implant Breast Reconstruction Using Anatomical Implants

    Directory of Open Access Journals (Sweden)

    Sung-Eun Kim

    2014-09-01

    Full Text Available BackgroundIn 2012, a new anatomic breast implant of form-stable silicone gel was introduced onto the Korean market. The intended use of this implant is in the area of aesthetic breast surgery, and many reports are promising. Thus far, however, there have been no reports on the use of this implant for breast reconstruction in Korea. We used this breast implant in breast reconstruction surgery and report our early experience.MethodsFrom November 2012 to April 2013, the Natrelle Style 410 form-stable anatomically shaped cohesive silicone gel-filled breast implant was used in 31 breasts of 30 patients for implant breast reconstruction with an acellular dermal matrix. Patients were treated with skin-sparing mastectomies followed by immediate breast reconstruction.ResultsThe mean breast resection volume was 240 mL (range, 83-540 mL. The mean size of the breast implants was 217 mL (range, 125-395 mL. Breast shape outcomes were considered acceptable. Infection and skin thinning occurred in one patient each, and hematoma and seroma did not occur. Three cases of wound dehiscence occurred, one requiring surgical intervention, while the others healed with conservative treatment in one month. Rippling did not occur. So far, complications such as capsular contracture and malrotation of breast implant have not yet arisen.ConclusionsBy using anatomic breast implants in breast reconstruction, we achieved satisfactory results with aesthetics better than those obtained with round breast implants. Therefore, we concluded that the anatomical implant is suitable for breast reconstruction.

  20. Wireless energy transfer platform for medical sensors and implantable devices.

    Science.gov (United States)

    Zhang, Fei; Hackworth, Steven A; Liu, Xiaoyu; Chen, Haiyan; Sclabassi, Robert J; Sun, Mingui

    2009-01-01

    Witricity is a newly developed technique for wireless energy transfer. This paper presents a frequency adjustable witricity system to power medical sensors and implantable devices. New witricity resonators are designed for both energy transmission and reception. A prototype platform is described, including an RF power source, two resonators with new structures, and inductively coupled input and output stages. In vitro experiments, both in open air and using a human head phantom consisting of simulated tissues, are employed to verify the feasibility of this platform. An animal model is utilized to evaluate in vivo energy transfer within the body of a laboratory pig. Our experiments indicate that witricity is an effective new tool for providing a variety of medical sensors and devices with power.

  1. Direct synthesis of ultrathin SOI structure by extremely low-energy oxygen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hoshino, Yasushi, E-mail: yhoshino@kanagawa-u.ac.jp; Yachida, Gosuke; Inoue, Kodai; Toyohara, Taiga; Nakata, Jyoji [Department of mathematics and physics, Kanagawa University, 2946, Tsuchiya, Hiratsuka, Kanagawa 259-1293 (Japan)

    2016-06-15

    We performed extremely low-energy {sup 16}O{sup +} implantation at 10 keV (R{sub p} ∼ 25 nm) followed by annealing aiming at directly synthesizing an ultrathin Si layer separated by a buried SiO{sub 2} layer in Si(001) substrates, and then investigated feasible condition of recrystallization and stabilization of the superficial Si and the buried oxide layer by significantly low temperature annealing. The elemental compositions were analyzed by Rutherford backscattering (RBS) and secondary ion mass spectroscopy (SIMS). The crystallinity of the superficial Si layer was quantitatively confirmed by ananlyzing RBS-channeling spectra. Cross-sectional morphologies and atomic configurations were observed by transmission electron microscope (TEM). As a result, we succeeded in directly synthesizing an ultrathin single-crystalline silicon layer with ≤20 nm thick separated by a thin buried stoichiometric SiO{sub 2} layer with ≤20 nm thick formed by extremely low-energy {sup 16}O{sup +} implantation followed by surprisingly low temperature annealing at 1050{sup ∘} C.

  2. Ion beam studies. Part 1. The retardation of ion beams to very low energies in an implantation accelerator

    International Nuclear Information System (INIS)

    Freeman, J.H.; Temple, W.; Beanland, D.; Gard, G.A.

    1976-02-01

    The design and operation of a compact electrostatic lens for the retardation and focussing of high intensity beams of heavy ions down to energies in the range 10 to 1,000 eV is described. The use of such beams for low-energy ion implantation and for the production of uniform ion-deposited layers is outlined. The practical behaviour of the lens is shown to be in agreement with computer calculations and the theoretical model is used to delineate and explain the boundary conditions under which the focussing behaviour becomes anomalous. The calculated and measured effects of space-charge repulsion on the quality of focussing are compared and it is demonstrated that a simple retardation lens design can be effectively employed at high flux. (author)

  3. Mechanical properties of ion implanted ceramic surfaces

    International Nuclear Information System (INIS)

    Burnett, P.J.

    1985-01-01

    This thesis investigates the mechanisms by which ion implantation can affect those surface mechanical properties of ceramics relevant to their tribological behaviour, specifically hardness and indentation fracture. A range of model materials (including single crystal Si, SiC, A1 2 0 3 , Mg0 and soda-lime-silica glass) have been implanted with a variety of ion species and at a range of ion energies. Significant changes have been found in both low-load microhardness and indentation fracture behaviour. The changes in hardness have been correlated with the evolution of an increasingly damaged and eventually amorphous thin surface layer together with the operation of radiation-, solid-solution- and precipitation-hardening mechanisms. Compressive surface stresses have been shown to be responsible for the observed changes in identation fracture behaviour. In addition, the levels of surface stress present have been correlated with the structure of the surface layer and a simple quantitative model proposed to explain the observed stress-relief upon amorphisation. Finally, the effects of ion implantation upon a range of polycrystalline ceramic materials has been investigated and the observed properties modifications compared and contrasted to those found for the model single crystal materials. (author)

  4. Intracorporeal Heat Distribution from Fully Implantable Energy Sources for Mechanical Circulatory Support: A Computational Proof-of-Concept Study

    OpenAIRE

    Biasetti, Jacopo; Pustavoitau, Aliaksei; Spazzini, Pier Giorgio

    2017-01-01

    Mechanical circulatory support devices, such as total artificial hearts and left ventricular assist devices, rely on external energy sources for their continuous operation. Clinically approved power supplies rely on percutaneous cables connecting an external energy source to the implanted device with the associated risk of infections. One alternative, investigated in the 70s and 80s, employs a fully implanted nuclear power source. The heat generated by the nuclear decay can be converted into ...

  5. Modification of medical metals by ion implantation of copper

    Science.gov (United States)

    Wan, Y. Z.; Xiong, G. Y.; Liang, H.; Raman, S.; He, F.; Huang, Y.

    2007-10-01

    The effect of copper ion implantation on the antibacterial activity, wear performance and corrosion resistance of medical metals including 317 L of stainless steels, pure titanium, and Ti-Al-Nb alloy was studied in this work. The specimens were implanted with copper ions using a MEVVA source ion implanter with ion doses ranging from 0.5 × 10 17 to 4 × 10 17 ions/cm 2 at an energy of 80 keV. The antibacterial effect, wear rate, and inflexion potential were measured as a function of ion dose. The results obtained indicate that copper ion implantation improves the antibacterial effect and wear behaviour for all the three medical materials studied. However, corrosion resistance decreases after ion implantation of copper. Experimental results indicate that the antibacterial property and corrosion resistance should be balanced for medical titanium materials. The marked deteriorated corrosion resistance of 317 L suggests that copper implantation may not be an effective method of improving its antibacterial activity.

  6. Radiation blistering of Nb implanted sequentially with helium ions of different energies (3-500 keV)

    International Nuclear Information System (INIS)

    Guseva, M.I.; Gusev, V.; Krasulin, U.L.; Martinenko, U.V.; Das, S.K.; Kaminsky, M.S.

    1976-01-01

    Cold rolled, polycrystalline niobium samples were irradiated at room temperature with 4 He + ions sequentially at 14 different energies over an energy range from 3 keV--500 keV in steps of 50 keV. The dose for each energy was chosen to give an approximately uniform concentration of helium between the implant depths corresponding to 3 keV and 500 keV. In one set of experiments the irradiations were started at the Kurchatov Institute with 3 keV 4 He + ions and extended up to 80 keV in several steps. Subsequently, the same target area was irradiated with 4 He + ions at Argonne National Laboratory (ANL) starting at 100 keV and increased to 500 keV in steps of 50 keV. Another set of irradiations were started at ANL with 500 keV 4 He + ions and continued with decreasing ion energies to 100 keV. Subsequently, the same area was irradiated at the Kurchatov Institute starting at 80 keV and continued with decreasing ion energies to 3 keV. Both sets of irradiations were completed for two different total doses, 0.5 C cm -2 and 1.0 C cm -2

  7. Endothelial cell adhesion to ion implanted polymers

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Y; Kusakabe, M [SONY Corp., Tokyo (Japan); Lee, J S; Kaibara, M; Iwaki, M; Sasabe, H [RIKEN (Inst. of Physical and Chemical Research), Saitama (Japan)

    1992-03-01

    The biocompatibility of ion implanted polymers has been studied by means of adhesion measurements of bovine aorta endothelial cells in vitro. The specimens used were polystyrene (PS) and segmented polyurethane (SPU). Na{sup +}, N{sub 2}{sup +}, O{sub 2}{sup +} and Kr{sup +} ion implantations were performed at an energy of 150 keV with fluences ranging from 1x10{sup 15} to 3x10{sup 17} ions/cm{sup 2} at room temperature. The chemical and physical structures of ion-implanted polymers have been investigated in order to analyze their tissue compatibility such as improvement of endothelial cell adhesion. The ion implanted SPU have been found to exhibit remarkably higher adhesion and spreading of endothelial cells than unimplanted specimens. By contrast, ion implanted PS demonstrated a little improvement of adhesion of cells in this assay. Results of FT-IR-ATR showed that ion implantation broke the original chemical bond to form new radicals such as OH, ....C=O, SiH and condensed rings. The results of Raman spectroscopy showed that ion implantation always produced a peak near 1500 cm{sup -1}, which indicated that these ion implanted PS and SPU had the same carbon structure. This structure is considered to bring the dramatic increase in the extent of cell adhesion and spreading to these ion implanted PS and SPU. (orig.).

  8. Study of the effects of focused high-energy boron ion implantation in diamond

    Science.gov (United States)

    Ynsa, M. D.; Agulló-Rueda, F.; Gordillo, N.; Maira, A.; Moreno-Cerrada, D.; Ramos, M. A.

    2017-08-01

    Boron-doped diamond is a material with a great technological and industrial interest because of its exceptional chemical, physical and structural properties. At modest boron concentrations, insulating diamond becomes a p-type semiconductor and at higher concentrations a superconducting metal at low temperature. The most conventional preparation method used so far, has been the homogeneous incorporation of boron doping during the diamond synthesis carried out either with high-pressure sintering of crystals or by chemical vapour deposition (CVD) of films. With these methods, high boron concentration can be included without distorting significantly the diamond crystalline lattice. However, it is complicated to manufacture boron-doped microstructures. A promising alternative to produce such microstructures could be the implantation of focused high-energy boron ions, although boron fluences are limited by the damage produced in diamond. In this work, the effect of focused high-energy boron ion implantation in single crystals of diamond is studied under different irradiation fluences and conditions. Micro-Raman spectra of the sample were measured before and after annealing at 1000 °C as a function of irradiation fluence, for both superficial and buried boron implantation, to assess the changes in the diamond lattice by the creation of vacancies and defects and their degree of recovery after annealing.

  9. Studies on mass deposition effect and energy effect of biomolecules implanted by N+ ion beam

    International Nuclear Information System (INIS)

    Shao Chunlin; Yu Zengliang

    1994-05-01

    By analyzing some spectrum of tyrosine sample implanted by N + ion beam, it is deduced that the implantation N + could react with the tyrosine molecule and substitute =C 5 H- group of benzene ring to produce a N-heterocyclic compound. This compound would notably affect the residual activity of the sample. Moreover, the percentage of the product molecules to the damaged tyrosine molecules is larger than the reciprocal of the proportion of their extinction coefficients. On the other hand, by comparing the release of inorganic phosphate, it is found that the radiation sensibility for four basic nucleotides is 5'-dTMP>5'-CMP>5'-GMP>5'-AMP. to implanted nucleotides, alkali treatment and heat treatment could increase the amount of inorganic phosphate. The amount of inorganic phosphate in the nucleotide samples directly implanted by ions beam is about 60% of the total amount of inorganic phosphate that could be released from the implanted samples heated at 90 degree C for 1.75 hours. Alkali treatment could damage and split the free bases released from the implanted nucleotides, but heat treatment might repair those damaged bases. Above results prove that ions implantation to biomolecules has the mass deposition effects and energy effects

  10. Surface morphologies of He-implanted tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Bannister, M.E., E-mail: bannisterme@ornl.gov [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Meyer, F.W.; Hijazi, H. [Physics Division, Oak Ridge National Laboratory, Oak Ridge, TN 37831-6371 (United States); Unocic, K.A.; Garrison, L.M.; Parish, C.M. [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, TN (United States)

    2016-09-01

    Surface morphologies of tungsten surfaces, both polycrystalline and single-crystal [1 1 0], were investigated using SEM and FIB/SEM techniques after implantations at elevated surfaces temperatures (1200–1300 K) using well-characterized, mono-energetic He ion beams with a wide range of ion energies (218 eV–250 keV). Nanofuzz was observed on polycrystalline tungsten (PCW) following implantation of 100-keV He ions at a flux threshold of 0.9 × 10{sup 16} cm{sup −2} s{sup −1}, but not following 200-keV implantations with similar fluxes. No nanofuzz formation was observed on single-crystal [1 1 0] tungsten (SCW), despite fluxes exceeding those demonstrated previously to produce nanofuzz on polycrystalline tungsten. Pre-damaging the single-crystal tungsten with implanted C impurity interstitials did not significantly affect the surface morphologies resulting from the high-flux He ion implantations. The main factor leading to the different observed surface structures for the pristine and C-implanted single-crystal W samples appeared to be the peak He ion flux characterizing the different exposures. It was speculated that nanofuzz formation was not observed for any SCW target exposures because of increased incubation fluences required for such targets.

  11. Defect generation/passivation by low energy hydrogen implant for silicon solar cells

    International Nuclear Information System (INIS)

    Sopori, B.L.; Zhou, T.Q.; Rozgonyi, G.A.

    1990-01-01

    Low energy ion implant is shown to produce defects in silicon. These defects include surface damage, hydrogen agglomeration, formation of platelets with (111) habit plane and decoration of dislocations. Hydrogen also produces an inversion type of surface on boron doped silicon. These effects indicate that a preferred approach for passivation is to incorporate hydrogen from the back side of the cell. A backside H + implant technique is described. The results show that degree of passivation differs for various devices. A comparison of the defect structures of hydrogenated devices indicates that the structure and the distribution of defects in the bulk of the material plays a significant role in determining the degree of passivation

  12. Reflectance spectroscopy of PMMA implanted with 50 keV silicon ions

    Energy Technology Data Exchange (ETDEWEB)

    Florian, Bojana [Bulgarian Institute of Metrology, 2 Prof. P. Mutafchiev Str., 1797 Sofia (Bulgaria); Stefanov, Ivan [Department of Quantum Electronics, Faculty of Physics, Sofia University, 5 James Bourchier Blvd., 1164 Sofia (Bulgaria); Hadjichristov, Georgi [Institute of Solid State Physics, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria)

    2009-07-01

    Recently, the modification of the specular reflectivity of PMMA implanted with low-energy (50 keV) silicon ions was studied and nano-clusters formed in PMMA by Si{sup +} implantation were evidenced by Raman spectroscopy and electrical measurements. Further, the optical loss due to off-specular (diffuse) reflectivity of this ion-implanted polymer is also of practical interest for applications such as micro-optical lenses, diffraction gratings, Fresnel lenses, waveguides, etc. We examined both specular and diffuse reflectivity of Si{sup +} implanted PMMA in the UV-Vis-NIR. The effect from Si{sup +} implantation in the dose range 10{sup 14}-10{sup 17} ions/cm{sup 2} is linked to the structure formed in PMMA where the buried ion-implanted layer has a thickness up to 100 nm. As compared to the pristine PMMA, an enhancement of the reflectivity of Si{sup +} implanted PMMA is observed, that is attributed to the modification of the subsurface region of PMMA upon the ion implantation.

  13. A study of ion implanted gallium arsenide using deep level transient spectroscopy

    International Nuclear Information System (INIS)

    Emerson, N.G.

    1981-03-01

    This thesis is concerned with the study of deep energy levels in ion implanted gallium arsenide (GaAs) using deep level transient spectroscopy (D.L.T.S.). The D.L.T.S. technique is used to characterise deep levels in terms of their activation energies and capture cross-sections and to determine their concentration profiles. The main objective is to characterise the effects on deep levels, of ion implantation and the related annealing processes. In the majority of cases assessment is carried out using Schottky barrier diodes. Low doses of selenium ions 1 to 3 x 10 12 cm -2 are implanted into vapour phase epitaxial (V.P.E.) GaAs and the effects of post-implantation thermal and pulsed laser annealing are compared. The process of oxygen implantation with doses in the range 1 x 10 12 to 5 x 10 13 cm -2 followed by thermal annealing at about 750 deg C, introduces a deep level at 0.79 eV from the conduction band. Oxygen implantation, at doses of 5 x 10 13 cm -2 , into V.P.E. GaAs produces a significant increase in the concentration of the A-centre (0.83 eV). High doses of zinc (10 15 cm -2 ) are implanted into n-type V.P.E. GaAs to form shallow p-type layers. The D.L.T.S. system described in the text is used to measure levels in the range 0.16 to 1.1 eV (for GaAs) with a sensitivity of the order 1:10 3 . (U.K.)

  14. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Energy Technology Data Exchange (ETDEWEB)

    Xu Gang, E-mail: xg335300@yahoo.com.cn [Center for Research and Development of Fine Chemicals, Guizhou University, Guiyang 550025 (China); Institute of Entomology, Guizhou University, Guiyang 550025 (China); Wang Xiaoteng [Department of Agricultural Resources and Environment, College of Agricultural, Guizhou University, Guiyang 550025 (China); Gan Cailing; Fang Yanqiong; Zhang Meng [College of Life Sciences, Guizhou University, Guiyang 550025 (China)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer We analyzed biological effects of N{sup +} implantation on dry Jatropha curcas seed. Black-Right-Pointing-Pointer N{sup +} implantation greatly decreased seedling survival rate. Black-Right-Pointing-Pointer At doses beyond 15 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place. Black-Right-Pointing-Pointer CAT was essential for H{sub 2}O{sub 2} removal. POD mainly functioned as seed was severely hurt. Black-Right-Pointing-Pointer HAsA-GSH cycle mainly contributed to the regeneration of HAsA. - Abstract: To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N{sup +} with energy of 25 keV was applied to treat the dry seed at six different doses. N{sup +} beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 Multiplication-Sign 10{sup 16} to 15 Multiplication-Sign 10{sup 16} ions cm{sup -2} severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 Multiplication-Sign 10{sup 16} ion cm{sup -2}, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 Multiplication-Sign 10{sup 16} ions cm{sup -2} may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  15. A 3-DOF SOI MEMS ultrasonic energy harvester for implanted devices

    International Nuclear Information System (INIS)

    Fowler, A G; Moheimani, S O R; Behrens, S

    2013-01-01

    This paper reports the design and testing of a microelectromechanical systems (MEMS) energy harvester that is designed to harvest electrical energy from an external source of ultrasonic waves. This mechanism is potentially suited to applications including the powering of implanted devices for biomedical applications. The harvester employs a novel 3-degree of freedom design, with electrical energy being generated from displacements of a proof mass via electrostatic transducers. A silicon-on-insulator MEMS process was used to fabricate the device, with experimental characterization showing that the harvester can generate 24.7 nW, 19.8 nW, and 14.5 nW of electrical power respectively through its x-, y-, and z-axis vibrational modes

  16. Diffusion and solubility of Au implanted into the AZ1350 photoresist

    International Nuclear Information System (INIS)

    Soares, M.R.F.; Kaschny, J.R.A.; Santos, J.H.R. dos; Amaral, L.; Behar, M.; Fink, D.

    2000-01-01

    In the present paper we report diffusion and solubility results for Au into the photoresist AZ1350. Au was implanted into AZ1350 films at very low energy (E=20 keV) and fluences (PHI=10 12 and 5x10 12 Au/cm 2 ). In this way the radiation damage introduced by the implantation process was minimized and cluster formation was avoided. Annealing was performed in the 150-300 deg. C temperature range and the as implanted and thermal treated samples were analyzed using the Rutherford backscattering (RBS) technique. For the lowest implantation fluence the results have shown a regular atomic diffusion process characterized by an activation energy of E a =640 meV. Instead, for PHI=5x10 12 Au/cm 2 the diffusional mechanism has revealed the effects of the radiation damage. In addition solubility measurements indicate that the solubility limit at 250 deg. C is of the order 0.3 at.%

  17. THE INFLUENCE OF SURFACE-FREE ENERGY ON SUPRAGINGIVAL AND SUBGINGIVAL PLAQUE MICROBIOLOGY - AN IN-VIVO STUDY ON IMPLANTS

    NARCIS (Netherlands)

    QUIRYNEN, M; VANDERMEI, HC; BOLLEN, CML; VANDENBOSSCHE, LH; DOORNBUSCH, GI; VANSTEENBERGHE, D; BUSSCHER, HJ

    THE INFLUENCE OF SURFACE FREE ENERGY on supra- and subgingival plaque microbiology was examined in 9 patients with functional fixed prostheses supported by endosseous titanium implants. Two abutments (trans-mucosal part of the 2 stage implant) were replaced by either a new titanium abutment or a

  18. Long-range prospects of world energy demands and future energy sources

    International Nuclear Information System (INIS)

    Kozaki, Yasuji

    1998-01-01

    The long-range prospects for world energy demands are reviewed, and the major factors which are influential in relation to energy demands are discussed. The potential for various kinds of conventional and new energy sources such as fossil fuels, solar energies, nuclear fission, and fusion energies to need future energy demands is also discussed. (author)

  19. Energy harvesting for human wearable and implantable bio-sensors.

    Science.gov (United States)

    Mitcheson, Paul D

    2010-01-01

    There are clear trade-offs between functionality, battery lifetime and battery volume for wearable and implantable wireless-biosensors which energy harvesting devices may be able to overcome. Reliable energy harvesting has now become a reality for machine condition monitoring and is finding applications in chemical process plants, refineries and water treatment works. However, practical miniature devices that can harvest sufficient energy from the human body to power a wireless bio-sensor are still in their infancy. This paper reviews the options for human energy harvesting in order to determine power availability for harvester-powered body sensor networks. The main competing technologies for energy harvesting from the human body are inertial kinetic energy harvesting devices and thermoelectric devices. These devices are advantageous to some other types as they can be hermetically sealed. In this paper the fundamental limit to the power output of these devices is compared as a function of generator volume when attached to a human whilst walking and running. It is shown that the kinetic energy devices have the highest fundamental power limits in both cases. However, when a comparison is made between the devices using device effectivenesses figures from previously demonstrated prototypes presented in the literature, the thermal device is competitive with the kinetic energy harvesting device when the subject is running and achieves the highest power density when the subject is walking.

  20. Electrical conductivity enhancement of polyethersulfone (PES) by ion implantation

    International Nuclear Information System (INIS)

    Bridwell, L.B.; Giedd, R.E.; Wang Yongqiang; Mohite, S.S.; Jahnke, T.; Brown, I.M.

    1991-01-01

    Amorphous polyethersulfone (PES) films have been implanted with a variety of ions (He, B, C, N and As) at a bombarding energy of 50 keV in the dose range 10 16 -10 17 ions/cm 2 . Surface resistance as a function of dose indicates a saturation effect with a significant difference between He and the other ions used. ESR line shapes in the He implanted samples changed from a mixed Gaussian/Lorentzian to a pure Lorentzian and narrowed with increasing dose. Temperature dependent resistivity indicates an electron hopping mechanism for conduction. Infrared results indicate cross-linking or self-cyclization occurred for all implanted ions with further destruction in the case of As. (orig.)

  1. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    International Nuclear Information System (INIS)

    Manuaba, A.; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I.

    2001-01-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of 15 N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10 16 ions/cm 2 the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10 16 ions/cm 2 flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of ∼0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed

  2. Distribution of implanted hydrogen in amorphous silicon dioxide a-SiO2

    International Nuclear Information System (INIS)

    Mokrushin, A.D.; Agafonov, Yu.A.; Zinenko, V.I.; Pustovit, A.N.

    2004-01-01

    Hydrogen SIMS distributions are measured in quartz glasses implanted by different doses of H 2 + ions with energy 40 keV. There are two features in distributions: the availability of intensive peak close to the surface and near-constant dependence at large depth up to ions range. These peculiarities are perhaps attributable to the radiation induced diffusion of hydrogen atoms back to the surface via which ions are implanted [ru

  3. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  4. Metallic artefact reduction with monoenergetic dual-energy CT: systematic ex vivo evaluation of posterior spinal fusion implants from various vendors and different spine levels

    Energy Technology Data Exchange (ETDEWEB)

    Guggenberger, R.; Winklhofer, S.; Andreisek, G.; Alkadhi, H.; Stolzmann, P. [University Hospital Zurich, Institute of Diagnostic and Interventional Radiology, Zurich (Switzerland); Osterhoff, G.; Wanner, G.A. [University Hospital Zurich, Department of Surgery, Division of Trauma Surgery, Zurich (Switzerland); Fortunati, M. [The Spine Center, Thun (Switzerland)

    2012-11-15

    To evaluate optimal monoenergetic dual-energy computed tomography (DECT) settings for artefact reduction of posterior spinal fusion implants of various vendors and spine levels. Posterior spinal fusion implants of five vendors for cervical, thoracic and lumbar spine were examined ex vivo with single-energy (SE) CT (120 kVp) and DECT (140/100 kVp). Extrapolated monoenergetic DECT images at 64, 69, 88, 105 keV and individually adjusted monoenergy for optimised image quality (OPTkeV) were generated. Two independent radiologists assessed quantitative and qualitative image parameters for each device and spine level. Inter-reader agreements of quantitative and qualitative parameters were high (ICC = 0.81-1.00, {kappa} = 0.54-0.77). HU values of spinal fusion implants were significantly different among vendors (P < 0.001), spine levels (P < 0.01) and among SECT, monoenergetic DECT of 64, 69, 88, 105 keV and OPTkeV (P < 0.01). Image quality was significantly (P < 0.001) different between datasets and improved with higher monoenergies of DECT compared with SECT (V = 0.58, P < 0.001). Artefacts decreased significantly (V = 0.51, P < 0.001) at higher monoenergies. OPTkeV values ranged from 123-141 keV. OPTkeV according to vendor and spine level are presented herein. Monoenergetic DECT provides significantly better image quality and less metallic artefacts from implants than SECT. Use of individual keV values for vendor and spine level is recommended. (orig.)

  5. High dislocation density structures and hardening produced by high fluency pulsed-ion-beam implantation

    International Nuclear Information System (INIS)

    Sharkeev, Yu.P.; Didenko, A.N.; Kozlov, E.V.

    1994-01-01

    The paper presents a review of experimental data on the ''long-range effect'' (a change in dislocation structure and in physicomechanical properties at distances considerably greater than the ion range value in ion-implanted metallic materials and semiconductors). Our results of electron microscopy studies of high density dislocation structure in ion-implanted metallic materials with different initial states are given. It has been shown that the nature of the dislocation structure and its quantitative characteristics in the implanted metals and alloys depend on the target initial state, the ion type and energy and the retained dose. The data obtained by different workers are in good agreement both with our results and with each other as well as with the results of investigation of macroscopic characteristics (wear resistance and microhardness). It has been established that the ''long-range effect'' occurs in metallic materials with a low yield point or high plasticity level and with little dislocation density in their initial state prior to ion implantation. ((orig.))

  6. Biological effects of low energy nitrogen ion implantation on Jatropha curcas L. seed germination

    Science.gov (United States)

    Xu, Gang; Wang, Xiao-teng; Gan, Cai-ling; Fang, Yan-qiong; Zhang, Meng

    2012-09-01

    To explore the biological effects of nitrogen ion beam implantation on dry Jatropha curcas seed, a beam of N+ with energy of 25 keV was applied to treat the dry seed at six different doses. N+ beam implantation greatly decreased germination rate and seedling survival rate. The doses within the range of 12 × 1016 to 15 × 1016 ions cm-2 severely damaged the seeds: total antioxidant capacity (TAC), germination rate, seedling survival rate, reduced ascorbate acid (HAsA) and reduced glutathione (GSH) contents, and most of the tested antioxidases activity (i.e. catalase (CAT), ascorbate peroxidase (APX) and superoxide dismutase (SOD)) reached their lowest levels. At a dose of 18 × 1016 ion cm-2, biological repair took place: moderate increases were found in TAC, germination rate, seedling survival rate, HAsA and GSH contents, and some antioxidant enzyme activities (i.e. CAT, APX, SOD and GPX). The dose of 18 × 1016 ions cm-2 may be the optimum dose for use in dry J. curcas seed mutation breeding. CAT, HAsA and GSH contributed to the increase of TAC, but CAT was the most important. POD performed its important role as seed was severely damaged. The main role of the HAsA-GSH cycle appeared to be for regeneration of HAsA.

  7. A photovoltaic-driven and energy-autonomous CMOS implantable sensor.

    Science.gov (United States)

    Ayazian, Sahar; Akhavan, Vahid A; Soenen, Eric; Hassibi, Arjang

    2012-08-01

    An energy-autonomous, photovoltaic (PV)-driven and MRI-compatible CMOS implantable sensor is presented. On-chip P+/N-well diode arrays are used as CMOS-compatible PV cells to harvest μW's of power from the light that penetrates into the tissue. In this 2.5 mm × 2.5 mm sub-μW integrated system, the in-vivo physiological signals are first measured by using a subthreshold ring oscillator-based sensor, the acquired data is then modulated into a frequency-shift keying (FSK) signal, and finally transmitted neuromorphically to the skin surface by using a pair of polarized electrodes.

  8. Range energy for heavy ions in CR-39

    International Nuclear Information System (INIS)

    Gil, L.R.; Marques, A.

    1987-01-01

    Range-energy relations in CR-39, for ions from He to Ar, are obtained after their effective nuclear charge. Comparison with earlier calculations and numerical results in the energy range 0,1 to 200 Mev/ Nucleon are also given. (M.W.O.)

  9. The Breeding of a Pigment Mutant Strain of Steroid Hydroxylation Aspergillus Flavus by Low Energy Ion Implantation

    International Nuclear Information System (INIS)

    Ye Hui; Ma Jingming; Feng Chun; Cheng Ying; Zhu Suwen; Cheng Beijiu

    2009-01-01

    In the process of the fermentation of steroid C 11 α-hydroxylgenation strain Aspergillus flavus AF-ANo208, a red pigment is derived, which will affect the isolation and purification of the target product. Low energy ion beam implantation is a new tool for breeding excellent mutant strains. In this study, the ion beam implantation experiments were performed by infusing two different ions: argon ion (Ar + ) and nitrogen ion (N + ). The results showed that the optimal ion implantation was N + with an optimum dose of 2.08 x 10 15 ions/cm 2 , with which the mutant strain AF-ANm16 that produced no red pigment was obtained. The strain had high genetic stability and kept the strong capacity of C11α-hydroxylgenation, which could be utilized in industrial fermentation. The differences between the original strain and the mutant strain at a molecular level were analyzed by randomly amplified polymorphic DNA (RAPD). The results indicated that the frequency of variation was 7.00%, which would establish the basis of application investigation into the breeding of pigment mutant strains by low energy ion implantation. (ion beam bioengineering)

  10. Ion implantation: [fundamental factors which affect accelerator performance and their implications

    International Nuclear Information System (INIS)

    Armour, D.G.

    1987-01-01

    The use of ion implantation to modify the composition of the near surface layers of solid materials has been widely exploited in the semiconductor industry and is finding increasing application in the treatment of metals, ceramics and polymers. The bombardment of a solid with energetic ions inevitably involves the deposition of energy as well as material and this effect, which results in unwanted effects such as radiation damage in conventional implantation situations, is also being utilized to assist in the deposition of highly adherent or epitaxial layers. The increasing range of applications of ion implantation and ion assisted processing of materials has placed increasingly stringent demands on machine performance; in the present paper implantation techniques and their applications will be discussed. (author)

  11. Synthesis of dilute magnetic semiconductors by ion implantation

    International Nuclear Information System (INIS)

    Braunstein, G.H.; Dresselhaus, G.; Withrow, S.P.

    1986-01-01

    We have synthesized layers of CdMnTe by implantation of Mn into CdTe. Samples of CdTe have been implanted with Mn ions of 60 keV energy to fluences in the range 1 x 10 13 cm -2 to 2 x 10 16 cm -2 resulting in local concentrations of up to 10% at the maximum of the Mn distribution. Rutherford backscattering-channeling analysis has been used to study the radiation damage after implantation and after subsequent rapid thermal annealing (RTA). These experiments reveal that RTA for 15 sec at a temperature T greater than or equal to 700 0 C results in the complete recovery of the lattice order, without affecting the stoichiometry of CdTe. Photoluminescence (PL) measurements of a sample showing complete annealing reveal an increase in the band gap corresponding to the synthesis of very dilute (x approx. = 0.004) Cd/sub 1-x/Mn/sub x/Te. A shift of the excitonic PL peak to lower energies is observed when a magnetic field H less than or equal to 1T is applied. These measurements provide clear evidence for the synthesis of a DMS by ion implantation of Mn into CdTe

  12. Prevalence of Peri-Implant Mucositis and Peri-Implantitis in Patients Treated with a Combination of Axial and Tilted Implants Supporting a Complete Fixed Denture

    Directory of Open Access Journals (Sweden)

    Nicolò Cavalli

    2015-01-01

    Full Text Available Objectives. The aim of this retrospective study was to assess the incidence and prevalence of peri-implant mucositis and peri-implantitis in patients with a fixed full-arch prosthesis supported by two axial and two tilted implants. Materials and Methods. Sixty-nine patients were included in the study. Each patient received a fixed full-arch prosthesis supported by two mesial axial and two distal tilted implants to rehabilitate the upper arch, the lower arch, or both. Three hundred thirty-six implants for 84 restorations were delivered. Patients were scheduled for follow-up visits every 6 months in the first 2 years and yearly after. At each follow-up visit peri-implant mucositis and peri-implantitis were diagnosed if present. Results. The overall follow-up range was from 12 to 130 months (mean 63,2 months. Three patients presented peri-implantitis. The prevalence of peri-implant mucositis ranged between 0 and 7,14% of patients (5,06% of implants while the prevalence of peri-implantitis varied from 0 to 4,55% of patients (3,81% of implants. Conclusions. The prevalence and incidence of peri-implant mucositis and peri-implantitis are lower than most of the studies in literature. Therefore this kind of rehabilitation could be considered a feasible option, on the condition of adopting a systematic hygienic protocol.

  13. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    International Nuclear Information System (INIS)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin

    2010-01-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10 10 -10 11 cm -2 . The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  14. A Wireless Magnetic Resonance Energy Transfer System for Micro Implantable Medical Sensors

    Directory of Open Access Journals (Sweden)

    Tianyang Yang

    2012-07-01

    Full Text Available Based on the magnetic resonance coupling principle, in this paper a wireless energy transfer system is designed and implemented for the power supply of micro-implantable medical sensors. The entire system is composed of the in vitro part, including the energy transmitting circuit and resonant transmitter coils, and in vivo part, including the micro resonant receiver coils and signal shaping chip which includes the rectifier module and LDO voltage regulator module. Transmitter and receiver coils are wound by Litz wire, and the diameter of the receiver coils is just 1.9 cm. The energy transfer efficiency of the four-coil system is greatly improved compared to the conventional two-coil system. When the distance between the transmitter coils and the receiver coils is 1.5 cm, the transfer efficiency is 85% at the frequency of 742 kHz. The power transfer efficiency can be optimized by adding magnetic enhanced resonators. The receiving voltage signal is converted to a stable output voltage of 3.3 V and a current of 10 mA at the distance of 2 cm. In addition, the output current varies with changes in the distance. The whole implanted part is packaged with PDMS of excellent biocompatibility and the volume of it is about 1 cm3.

  15. Formation and growth of embedded indium nanoclusters by In2+ implantation in silica

    International Nuclear Information System (INIS)

    Santhana Raman, P.; Nair, K.G.M.; Kesavamoorthy, R.; Panigrahi, B.K.; Dhara, S.; Ravichandran, V.

    2007-01-01

    Indium nanoclusters are synthesized in an amorphous silica matrix using an ion-implantation technique. Indium ions (In 2+ ) with energy of 890 keV are implanted on silica to fluences in the range of 3 x 10 16 -3 x 10 17 cm -2 . The formation of indium nanoclusters is confirmed by optical absorption spectrometry and glancing incidence X-ray diffraction studies. A low frequency Raman scattering technique is used to study the growth of embedded indium nanoclusters in the silica matrix as a function of fluence and post-implantation annealing duration. Rutherford backscattering spectrometry studies show the surface segregation of implanted indium. Photoluminescence studies indicate the formation of a small quantity of indium oxide phase in the ion-implanted samples. (orig.)

  16. Thermal behaviour of nitrogen implanted into zirconium

    International Nuclear Information System (INIS)

    Miyagawa, S.; Ikeyama, M.; Saitoh, K.; Nakao, S.; Niwa, H.; Tanemura, S.; Miyagawa, Y.

    1994-01-01

    Zirconium films were implanted with 15 N ions of energy 50keV to a total fluence of 1x10 18 ionscm -2 in an attempt to study the formation process and thermal stability of ZrN layers produced by high fluence implantation of nitrogen. Subsequent to the implantation at room temperature, samples were annealed at temperatures of 300 C-900 C. The depth profiles of the implanted nitrogen were measured by nuclear reaction analysis using the 15 N(p,αγ) 12 C at E R =429keV, and the surfaces were examined by thin film X-ray diffraction (XRD) and scanning electron microscopy. There were many blisters 0.2-0.4μm in diameter on the surface of the as-implanted samples and double peaks were observed in the nitrogen depth profiles; they were in both sides of the mean projected range. It was found that most of the blisters became extinct after annealing above 400 C, and the XRD peak (111) intensity was increased with the increase in the annealing temperature. Moreover, 14 N and 15 N implantations were superimposed on Zr samples in order to study the atomic migration of nitrogen at each stage of high fluence implantation. It was found that the decrease in the peak at the deeper layers was related to blister extinction and nitrogen diffusion into underling zirconium which could be correlated with radiation damage induced by post-implanted ions. ((orig.))

  17. Range distribution of heavy ions in multi-elemental targets

    International Nuclear Information System (INIS)

    Wang Keming; Shandong Univ., Jinan; Liu Xiju; Wang Yihua; Liu Jitian; Shi Borong; Chen Huanchu

    1989-01-01

    Some results of range distribution on Hg + implanted NaSBN and CeSBN crystals are given. A computer program is written based on the angular diffusion model by Biersack to calculate the mean projected range and range straggling. For comparison, other published experimental data are also included. The comparison between experimental and theoretical values indicates that the measured projected ranges are in good agreement with those predicted by the Biersack model within experimental error, and a marked improvement in range stragglings is obtained after considering the second order energy loss. (author)

  18. Optical effects of ion implantation

    International Nuclear Information System (INIS)

    Townsend, P.D.

    1987-01-01

    The review concerns the effects of ion implantation that specifically relate to the optical properties of insulators. Topics which are reviewed include: ion implantation, ion range and damage distributions, colour centre production by ion implantation, high dose ion implantation, and applications for integrated optics. Numerous examples are presented of both diagnostic and industrial examples of ion implantation effects in insulators. (U.K.)

  19. Energy dependence of polymer gels in the orthovoltage energy range

    Directory of Open Access Journals (Sweden)

    Yvonne Roed

    2014-03-01

    Full Text Available Purpose: Ortho-voltage energies are often used for treatment of patients’ superficial lesions, and also for small- animal irradiations. Polymer-Gel dosimeters such as MAGAT (Methacrylic acid Gel and THPC are finding increasing use for 3-dimensional verification of radiation doses in a given treatment geometry. For mega-voltage beams, energy dependence of MAGAT has been quoted as nearly energy-independent. In the kilo-voltage range, there is hardly any literature to shade light on its energy dependence.Methods: MAGAT was used to measure depth-dose for 250 kVp beam. Comparison with ion-chamber data showed a discrepancy increasing significantly with depth. An over-response as much as 25% was observed at a depth of 6 cm.Results and Conclusion: Investigation concluded that 6 cm water in the beam resulted in a half-value-layer (HVL change from 1.05 to 1.32 mm Cu. This amounts to an effective-energy change from 81.3 to 89.5 keV. Response measurements of MAGAT at these two energies explained the observed discrepancy in depth-dose measurements. Dose-calibration curves of MAGAT for (i 250 kVp beam, and (ii 250 kVp beam through 6 cm of water column are presented showing significant energy dependence.-------------------Cite this article as: Roed Y, Tailor R, Pinksy L, Ibbott G. Energy dependence of polymer gels in the orthovoltage energy range. Int J Cancer Ther Oncol 2014; 2(2:020232. DOI: 10.14319/ijcto.0202.32 

  20. Effect of MeV energy He and N pre-implantation on the formation of porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Manuaba, A. E-mail: manu@rmki.kfki.hu; Paszti, F.; Ortega, C.; Grosman, A.; Horvath, Z.E.; Szilagyi, E.; Khanh, N.Q.; Vickridge, I

    2001-06-01

    The effects of MeV energy He and N pre-implantation of Si substrate on the structure of porous silicon formed by anodic etching were studied by measuring the depth profiles of {sup 15}N decorating the pores walls. Radiation damage was recovered by annealing after the implantation. It was found that the He implant accelerates the etching process, probably due to the bubbles or the remaining lattice damage. At a dose of 8x10{sup 16} ions/cm{sup 2} the He containing layer was formed with a significantly enhanced porosity due to the contribution of the large-sized bubbles. At the highest dose of 32.5x10{sup 16} ions/cm{sup 2} flaking took place during the anodic etching. In contrast to He, N stopped the anodic etching at a depth of critical N concentration of {approx}0.9 at.%. For the lowest implantation dose, where the peak concentration was below this limit, the pores propagate through the implanted layer with an enhanced speed.

  1. Number of implants for mandibular implant overdentures: a systematic review

    Science.gov (United States)

    Lee, Jeong-Yol; Kim, Ha-Young; Bryant, S. Ross

    2012-01-01

    PURPOSE The aim of this systematic review is to address treatment outcomes of Mandibular implant overdentures relative to implant survival rate, maintenance and complications, and patient satisfaction. MATERIALS AND METHODS A systematic literature search was conducted by a PubMed search strategy and hand-searching of relevant journals from included studies. Randomized Clinical Trials (RCT) and comparative clinical trial studies on mandibular implant overdentures until August, 2010 were selected. Eleven studies from 1098 studies were finally selected and data were analyzed relative to number of implants. RESULTS Six studies presented the data of the implant survival rate which ranged from 95% to 100% for 2 and 4 implant group and from 81.8% to 96.1% for 1 and 2 implant group. One study, which statistically compared implant survival rate showed no significant differences relative to the number of implants. The most common type of prosthetic maintenance and complications were replacement or reattaching of loose clips for 2 and 4 implant group, and denture repair due to the fracture around an implant for 1 and 2 implant groups. Most studies showed no significant differences in the rate of prosthetic maintenance and complication, and patient satisfaction regardless the number of implants. CONCLUSION The implant survival rate of mandibular overdentures is high regardless of the number of implants. Denture maintenance is likely not inflenced substantially by the number of implants and patient satisfaction is typically high again regardless os the number of implants. PMID:23236572

  2. Implantation of boron in silicon

    International Nuclear Information System (INIS)

    Hofker, W.K.

    1975-01-01

    The distribution versus depth of boron implanted in silicon and the corresponding electrical activity obtained after annealing are studied. The boron distributions are measured by secondary-ion mass spectrometry. Boron distributions implanted at energies in the range from 30 keV to 800 keV in amorphous and polycrystalline silicon are analysed. Moments of these distributions are determined by a curve-fitting programme and compared with moments calculated by Winterbon. Boron distributions obtained by implantations along a dense crystallographic direction in monocrystalline silicon are found to have penetrating tails. After investigation of some possible mechanisms of tail formation it is concluded that the tails are due to channelling. It was found that the behaviour of boron during annealing is determined by the properties of three boron fractions consisting of precipitated boron, interstitial boron and substitutional boron. The electrical activity of the boron versus depth is found to be consistent with the three boron fractions. A peculiar redistribution of boron is found which is induced by the implantation of a high dose of heavy ions and subsequent annealing. Different mechanisms which may cause the observed effects, such as thermal diffusion which is influenced by lattice strain and damage, are discussed. (Auth.)

  3. Correlation between room temperature luminescence and energy-transfer in Er–Au co-implanted silica

    Energy Technology Data Exchange (ETDEWEB)

    Cesca, T., E-mail: tiziana.cesca@unipd.it [Department of Physics and Astronomy and CNISM, via Marzolo 8, I-35131 Padova, University of Padova (Italy); Kalinic, B.; Maurizio, C.; Scian, C. [Department of Physics and Astronomy and CNISM, via Marzolo 8, I-35131 Padova, University of Padova (Italy); Trave, E.; Battaglin, G. [Department of Molecular Sciences and Nanosystems, Dorsoduro 2137, I-30123 Venice, Ca’ Foscari University of Venice (Italy); Mazzoldi, P.; Mattei, G. [Department of Physics and Astronomy and CNISM, via Marzolo 8, I-35131 Padova, University of Padova (Italy)

    2015-11-01

    We report on the room temperature photoluminescence characterization in the visible and near-infrared range of Er–Au co-implanted silica systems as a function of the annealing temperature. Besides the characteristic Er{sup 3+} emission at 1540 nm, the samples exhibit luminescence bands in the wavelength region 600–1400 nm related to the formation of ultra-small Au{sub N} aggregates with a number of atoms N less than 50 atoms. In particular, the correlation between such Au{sub N}-related luminescence and the enhancement of the Er{sup 3+} emission was investigated and an anti-correlation between the Er{sup 3+} luminescence at 1540 nm and an Au{sub N}-related band at 980 nm was revealed that represents a possible path for the energy-transfer from Au{sub N} nanoclusters to Er{sup 3+} ions, giving rise to the Er{sup 3+} sensitized emission.

  4. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  5. AIDA: A 16-channel amplifier ASIC to read out the advanced implantation detector array for experiments in nuclear decay spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Braga, D. [STFC Rutherford Appleton Laboratory, Didcot, OX11 0QX (United Kingdom); Coleman-Smith, P. J. [STFC Daresbury Laboratory, Warrington WA4 4AD (United Kingdom); Davinson, T. [Dept. of Physics and Astronomy, Univ. of Edinburgh, Edinburgh EH9 3JZ (United Kingdom); Lazarus, I. H. [STFC Daresbury Laboratory, Warrington WA4 4AD (United Kingdom); Page, R. D. [Dept. of Physics, Univ. of Liverpool, Oliver Lodge Laboratory, Liverpool L69 7ZE (United Kingdom); Thomas, S. [STFC Rutherford Appleton Laboratory, Didcot, OX11 0QX (United Kingdom)

    2011-07-01

    We have designed a read-out ASIC for nuclear decay spectroscopy as part of the AIDA project - the Advanced Implantation Detector Array. AIDA will be installed in experiments at the Facility for Antiproton and Ion Research in GSI, Darmstadt. The AIDA ASIC will measure the signals when unstable nuclei are implanted into the detector, followed by the much smaller signals when the nuclei subsequently decay. Implant energies can be as high as 20 GeV; decay products need to be measured down to 25 keV within just a few microseconds of the initial implants. The ASIC uses two amplifiers per detector channel, one covering the 20 GeV dynamic range, the other selectable over a 20 MeV or 1 GeV range. The amplifiers are linked together by bypass transistors which are normally switched off. The arrival of a large signal causes saturation of the low-energy amplifier and a fluctuation of the input voltage, which activates the link to the high-energy amplifier. The bypass transistors switch on and the input charge is integrated by the high-energy amplifier. The signal is shaped and stored by a peak-hold, then read out on a multiplexed output. Control logic resets the amplifiers and bypass circuit, allowing the low-energy amplifier to measure the subsequent decay signal. We present simulations and test results, demonstrating the AIDA ASIC operation over a wide range of input signals. (authors)

  6. Electromagnetic Radiation Efficiency of Body-Implanted Devices

    Science.gov (United States)

    Nikolayev, Denys; Zhadobov, Maxim; Karban, Pavel; Sauleau, Ronan

    2018-02-01

    Autonomous wireless body-implanted devices for biotelemetry, telemedicine, and neural interfacing constitute an emerging technology providing powerful capabilities for medicine and clinical research. We study the through-tissue electromagnetic propagation mechanisms, derive the optimal frequency range, and obtain the maximum achievable efficiency for radiative energy transfer from inside a body to free space. We analyze how polarization affects the efficiency by exciting TM and TE modes using a magnetic dipole and a magnetic current source, respectively. Four problem formulations are considered with increasing complexity and realism of anatomy. The results indicate that the optimal operating frequency f for deep implantation (with a depth d ≳3 cm ) lies in the (108- 109 )-Hz range and can be approximated as f =2.2 ×107/d . For a subcutaneous case (d ≲3 cm ), the surface-wave-induced interference is significant: within the range of peak radiation efficiency (about 2 ×108 to 3 ×109 Hz ), the max-to-min ratio can reach a value of 6.5. For the studied frequency range, 80%-99% of radiation efficiency is lost due to the tissue-air wave-impedance mismatch. Parallel polarization reduces the losses by a few percent; this effect is inversely proportional to the frequency and depth. Considering the implantation depth, the operating frequency, the polarization, and the directivity, we show that about an order-of-magnitude efficiency improvement is achievable compared to existing devices.

  7. Range verification for eye proton therapy based on proton-induced x-ray emissions from implanted metal markers

    International Nuclear Information System (INIS)

    Rosa, Vanessa La; Royle, Gary; Gibson, Adam; Kacperek, Andrzej

    2014-01-01

    Metal fiducial markers are often implanted on the back of the eye before proton therapy to improve target localization and reduce patient setup errors. We aim to detect characteristic x-ray emissions from metal targets during proton therapy to verify the treatment range accuracy. Initially gold was chosen for its biocompatibility properties. Proton-induced x-ray emissions (PIXE) from a 15 mm diameter gold marker were detected at different penetration depths of a 59 MeV proton beam at the CATANA proton facility at INFN-LNS (Italy). The Monte Carlo code Geant4 was used to reproduce the experiment and to investigate the effect of different size markers, materials, and the response to both mono-energetic and fully modulated beams. The intensity of the emitted x-rays decreases with decreasing proton energy and thus decreases with depth. If we assume the range to be the depth at which the dose is reduced to 10% of its maximum value and we define the residual range as the distance between the marker and the range of the beam, then the minimum residual range which can be detected with 95% confidence level is the depth at which the PIXE peak is equal to 1.96 σ bkg , which is the standard variation of the background noise. With our system and experimental setup this value is 3 mm, when 20 GyE are delivered to a gold marker of 15 mm diameter. Results from silver are more promising. Even when a 5 mm diameter silver marker is placed at a depth equal to the range, the PIXE peak is 2.1 σ bkg . Although these quantitative results are dependent on the experimental setup used in this research study, they demonstrate that the real-time analysis of the PIXE emitted by fiducial metal markers can be used to derive beam range. Further analysis are needed to demonstrate the feasibility of the technique in a clinical setup. (paper)

  8. Range verification for eye proton therapy based on proton-induced x-ray emissions from implanted metal markers

    Science.gov (United States)

    La Rosa, Vanessa; Kacperek, Andrzej; Royle, Gary; Gibson, Adam

    2014-06-01

    Metal fiducial markers are often implanted on the back of the eye before proton therapy to improve target localization and reduce patient setup errors. We aim to detect characteristic x-ray emissions from metal targets during proton therapy to verify the treatment range accuracy. Initially gold was chosen for its biocompatibility properties. Proton-induced x-ray emissions (PIXE) from a 15 mm diameter gold marker were detected at different penetration depths of a 59 MeV proton beam at the CATANA proton facility at INFN-LNS (Italy). The Monte Carlo code Geant4 was used to reproduce the experiment and to investigate the effect of different size markers, materials, and the response to both mono-energetic and fully modulated beams. The intensity of the emitted x-rays decreases with decreasing proton energy and thus decreases with depth. If we assume the range to be the depth at which the dose is reduced to 10% of its maximum value and we define the residual range as the distance between the marker and the range of the beam, then the minimum residual range which can be detected with 95% confidence level is the depth at which the PIXE peak is equal to 1.96 σbkg, which is the standard variation of the background noise. With our system and experimental setup this value is 3 mm, when 20 GyE are delivered to a gold marker of 15 mm diameter. Results from silver are more promising. Even when a 5 mm diameter silver marker is placed at a depth equal to the range, the PIXE peak is 2.1 σbkg. Although these quantitative results are dependent on the experimental setup used in this research study, they demonstrate that the real-time analysis of the PIXE emitted by fiducial metal markers can be used to derive beam range. Further analysis are needed to demonstrate the feasibility of the technique in a clinical setup.

  9. Factors affecting date of implantation, parturition, and den entry estimated from activity and body temperature in free-ranging brown bears.

    Science.gov (United States)

    Friebe, Andrea; Evans, Alina L; Arnemo, Jon M; Blanc, Stéphane; Brunberg, Sven; Fleissner, Günther; Swenson, Jon E; Zedrosser, Andreas

    2014-01-01

    Knowledge of factors influencing the timing of reproduction is important for animal conservation and management. Brown bears (Ursus arctos) are able to vary the birth date of their cubs in response to their fat stores, but little information is available about the timing of implantation and parturition in free-ranging brown bears. Body temperature and activity of pregnant brown bears is higher during the gestation period than during the rest of hibernation and drops at parturition. We compared mean daily body temperature and activity levels of pregnant and nonpregnant females during preimplantation, gestation, and lactation. Additionally we tested whether age, litter size, primiparity, environmental conditions, and the start of hibernation influence the timing of parturition. The mean date of implantation was 1 December (SD = 12), the mean date of parturition was 26 January (SD = 12), and the mean duration of the gestation period was 56 days (SD = 2). The body temperature of pregnant females was higher during the gestation and lactation periods than that of nonpregnant bears. The body temperature of pregnant females decreased during the gestation period. Activity recordings were also used to determine the date of parturition. The parturition dates calculated with activity and body temperature data did not differ significantly and were the same in 50% of the females. Older females started hibernation earlier. The start of hibernation was earlier during years with favorable environmental conditions. Dates of parturition were later during years with good environmental conditions which was unexpected. We suggest that free-ranging pregnant brown bears in areas with high levels of human activities at the beginning of the denning period, as in our study area, might prioritize investing energy in early denning than in early parturition during years with favorable environmental conditions, as a strategy to prevent disturbances caused by human.

  10. Factors affecting date of implantation, parturition, and den entry estimated from activity and body temperature in free-ranging brown bears.

    Directory of Open Access Journals (Sweden)

    Andrea Friebe

    Full Text Available Knowledge of factors influencing the timing of reproduction is important for animal conservation and management. Brown bears (Ursus arctos are able to vary the birth date of their cubs in response to their fat stores, but little information is available about the timing of implantation and parturition in free-ranging brown bears. Body temperature and activity of pregnant brown bears is higher during the gestation period than during the rest of hibernation and drops at parturition. We compared mean daily body temperature and activity levels of pregnant and nonpregnant females during preimplantation, gestation, and lactation. Additionally we tested whether age, litter size, primiparity, environmental conditions, and the start of hibernation influence the timing of parturition. The mean date of implantation was 1 December (SD = 12, the mean date of parturition was 26 January (SD = 12, and the mean duration of the gestation period was 56 days (SD = 2. The body temperature of pregnant females was higher during the gestation and lactation periods than that of nonpregnant bears. The body temperature of pregnant females decreased during the gestation period. Activity recordings were also used to determine the date of parturition. The parturition dates calculated with activity and body temperature data did not differ significantly and were the same in 50% of the females. Older females started hibernation earlier. The start of hibernation was earlier during years with favorable environmental conditions. Dates of parturition were later during years with good environmental conditions which was unexpected. We suggest that free-ranging pregnant brown bears in areas with high levels of human activities at the beginning of the denning period, as in our study area, might prioritize investing energy in early denning than in early parturition during years with favorable environmental conditions, as a strategy to prevent disturbances caused by human.

  11. Theoretical ion implantation profiles for low energy protons under channeling conditions

    International Nuclear Information System (INIS)

    Nobel, J.A.; Sabin, J.R.; Trickey, S.B.

    1994-01-01

    The authors present early results from the CHANNEL code, which simulates the passage of ionized projectiles through bulk solids. CHANNEL solves the classical equations of motion for the projectile using a force obtained from the gradient of the quantum mechanically derived coulombic potential of the solid (determined via a full potential augmented plane wave (FLAPW) calculation on the bulk) and a quantum mechanical energy dissipation term, the stopping power, as determined from the method of Echenique, Neiminen, and Ritchie. The code then generates the trajectory of the ionic projectile for a given incident position on the unit cell face and an initial velocity. The authors use CHANNEL to generate an ion (proton) implantation profile for the test case of simple cubic hydrogen with the projectile's initial velocity parallel to the (100) channel. Further preliminary results for ion implantation profiles of protons in diamond structure Si, with initial velocity along the (100) and (110) channels, are given

  12. Heavy doping of CdTe single crystals by Cr ion implantation

    Science.gov (United States)

    Popovych, Volodymyr D.; Böttger, Roman; Heller, Rene; Zhou, Shengqiang; Bester, Mariusz; Cieniek, Bogumil; Mroczka, Robert; Lopucki, Rafal; Sagan, Piotr; Kuzma, Marian

    2018-03-01

    Implantation of bulk CdTe single crystals with high fluences of 500 keV Cr+ ions was performed to achieve Cr concentration above the equilibrium solubility limit of this element in CdTe lattice. The structure and composition of the implanted samples were studied using secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analysis, X-ray diffraction (XRD) and Rutherford backscattering spectrometry (RBS) to characterize the incorporation of chromium into the host lattice and to investigate irradiation-induced damage build-up. It was found that out-diffusion of Cr atoms and sputtering of the targets alter the depth distribution and limit concentration of the projectile ions in the as-implanted samples. Appearance of crystallographically oriented, metallic α-Cr nanoparticles inside CdTe matrix was found after implantation, as well as a strong disorder at the depth far beyond the projected range of the implanted ions.

  13. Implantation of D+ ions in niobium and deuterium gas reemission

    International Nuclear Information System (INIS)

    Pisarev, A.A.; Tel'kovskij, V.G.

    1975-01-01

    This is a study of the implanting and reflex gasoisolation of D ions in niobium. It has been discovered that deutrium scope and gasoisolation are defined by several processes. An assumption is made that in ion bombarding conditions the implanting solutions are possible to exist and that deutrium can be replaced on the basis of niobium and hydrid compounds NbxDy. The portion of the particles entrained in the metal in one or another way depends on the ion energy. The dependence of the scope coefficient of n D + ions from the target temperature in the range of 290-1500 K was registered. An increase of the scope coefficient of the ions at high temperature with an increase of the ion energy was discovered

  14. Dopant site location in dual-implanted GaP using (111) planar channeling

    International Nuclear Information System (INIS)

    Parikh, N.R.; Kao, C.T.; Lee, D.R.; Muse, J.; Swanson, M.L.; Venkatasubramanian, R.; Timmons, M.

    1990-01-01

    Previous studies have indicated that dual implantation can efficiently introduce group IV dopant onto selected sub-lattice sites in III--V compound semiconductors, thus enhancing electrical activation. The authors have studied this phenomenon in GaP using Rutherford Backscattering Spectroscopy (RBS) to determine the lattice location of Sn atoms. The authors used single crystals of GaP (100) which had been implanted at 400 degrees C with 120 Sn + following previously implanted 69 Ga + or 31 P + . Energies were selected for equivalent projected ranges, and all species were implanted with doses of 1 x 10 15 atoms/cm 2 . Asymmetry in the angular scan of the {111} planar channel was then used to determine the sub-lattice location of the implanted Sn. RBS results indicated that for all implants Sn atoms were substituting Ga and P sites equally. However, Hall effect measurements gave p type conduction for GaP implanted with Sn alone, while those with prior implants of Ga or P resulted in n-type conduction. RBS and Hall effect results are explained by a vacancy complex model

  15. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  16. TEM study of amorphous alloys produced by ion implantation

    International Nuclear Information System (INIS)

    Johnson, E.; Grant, W.A.; Wohlenberg, P.; Hansen, P.; Chadderton, L.T.

    1978-01-01

    Ion implantation is a technique for introducing foreign elements into surface layers of solids. Ions, as a suitably accelerated beam, penetrate the surface, slow down by collisions with target atoms to produce a doped layer. This non-equilibrium technique can provide a wide range of alloys without the restrictions imposed by equilibrium phase diagrams. This paper reports on the production of some amorphous transition metal-metalloid alloys by implantation. Thinned foils of Ni, Fe and stainless steel were implanted at room temperature with Dy + and P + ions at doses between 10 13 - 10 17 ions/cm 2 at energies of 20 and 40 keV respectively. Transmission electron microscopy and selected area diffraction analysis were used to investigate the implanted specimens. Radial diffracted intensity measurements confirmed the presence of an amorphous implanted layer. The peak positions of the maxima are in good agreement with data for similar alloys produced by conventional techniques. Only certain ion/target combinations produce these amorphous layers. Implantations at doses lower than those needed for amorphization often result in formation of new crystalline phases such as an h.c.p. phase in nickel and a b.c.c. phase in stainless steel. (Auth.)

  17. Energy-range relations for hadrons in nuclear matter

    Science.gov (United States)

    Strugalski, Z.

    1985-01-01

    Range-energy relations for hadrons in nuclear matter exist similarly to the range-energy relations for charged particles in materials. When hadrons of GeV kinetic energies collide with atomic nuclei massive enough, events occur in which incident hadron is stopped completely inside the target nucleus without causing particle production - without pion production in particular. The stoppings are always accompanied by intensive emission of nucleons with kinetic energy from about 20 up to about 400 MeV. It was shown experimentally that the mean number of the emitted nucleons is a measure of the mean path in nuclear matter in nucleons on which the incident hadrons are stopped.

  18. Effect of 3.0 MeV helium implantation on electrical characteristics of 4H-SiC BJTs

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Muhammad; Hallen, Anders; Ghandi, Reza; Domeij, Martin, E-mail: musman@kth.s [Microelectronics and Applied Physics, School of Communication and Information Technology, Royal Institute of Technology (KTH), Electrum 229, 16440 Kista (Sweden)

    2010-11-01

    Degradation of 4H-SiC power bipolar junction transistors (BJTs) under the influence of a high-energy helium ion beam was studied. Epitaxially grown npn BJTs were implanted with 3.0 MeV helium in the fluence range of 10{sup 10}-10{sup 11} cm{sup -2}. The devices were characterized by their current-voltage (I-V) behaviour before and after the implantation, and the results showed a clear degradation of the output characteristics of the devices. Annealing these implanted devices increased the interface traps between passivation oxide and the semiconductor, resulting in an increase of base current in the low-voltage operation range.

  19. Strain improvement and optimization for β-glucosidase production in Aspergillus niger by low-energy N+ implantation

    International Nuclear Information System (INIS)

    Diao Jinshan; Wang Li; Chen Zhen; Liu Hui; Nie Guangjun; Zheng Zhiming

    2010-01-01

    Low-energy N + implantation was employed to mutate Aspergillus niger Au to enhance productivity of β-glucosidase. Effects of N + on strains, survival and mutation rate were studied. After several rounds of implantation, activity of β-glucosidase of the final mutant Au 0847 reached 13.75 U/mL, which is higher by 106.8% than that of original strain Au, and its heritability was stabilized. Activity of β-glucosidase of Au 0847 reached 30.53 U/mL after further fermentation condition optimization. (authors)

  20. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  1. Modification of WC-Co Hard Metal by Ion Implantation with Ti+, AI+, N+, C+ and B+

    International Nuclear Information System (INIS)

    Rassoul, El.M.A.; Saleh, Z.A.; Waheed, A.F.; Abdel- Samad, S.M.; EI- Awadi, G.A.

    2010-01-01

    WC/Co hard metal was implanted by Ti + , AI + , N + , C + , and B + ions at a dose of 5x 10 17 ions/cm 2 at different energies ranging from 50 keV to 200 keV. The implanted layers were investigated by means of nano indentation, calotte measurements, SEM, X-ray diffraction XRD, tribometer and EDX. The maximum implanted zone was about 0.13 μm. The hardness of WC-Co was increased by a factor of 140% after its implantation by Ti, AI, and N and increased by a factor of 170 % after implantation by Ti + , AI + , C + , N + and B + ions as compared to the original value. Also friction coefficient of WC/Co was improved after ion implantation.

  2. Cell adhesion of F{sup +} ion implantation of intraocular lens

    Energy Technology Data Exchange (ETDEWEB)

    Li, D.J. E-mail: dejunli@hotmail.com; Cui, F.Z.; Gu, H.Q

    1999-04-01

    The cell adhesion of ion implanted polymethylmethacrylate (PMMA) intraocular lens was studied using cultured cells in vitro. F{sup +} ion implantation was performed at the energies of 40, 60, 80, 100 keV with the fluences ranging from 5x10{sup 13} to 1x10{sup 15} ions/cm{sup 2} at room temperature. The cell adhesion tests gave interesting results that the number of the neutral granulocytes and the macrophages adhering on surface were reduced significantly after ion implantation. The optimal fluence was about 4x10{sup 14} ions/cm{sup 2}. The hydrophobicity imparted to the lens surface was also enhanced. The results of X-ray photoelectron spectroscopy analysis indicated that ion implantation resulted in the cleavage of some pendant groups, the oxidation of the surface, and the formation of some new chemical bonds, which was probably the main reason for the cell adhesion change.

  3. Enhancement of electrical conductivity of ion-implanted polymer films

    International Nuclear Information System (INIS)

    Brock, S.

    1985-01-01

    The electrical conductivity of ion-implanted films of Nylon 66, Polypropylene (PP), Poly(tetrafluoroethylene) (Teflon) and mainly Poly (ethylene terephthalate) (PET) was determined by DC measurements at voltages up to 4500 V and compared with the corresponding values of pristine films. Measurements were made at 21 0 C +/- 1 0 C and 65 +/- 2% RH. The electrical conductivity of PET films implanted with F + , Ar + , or As + ions at energies of 50 keV increases by seven orders of magnitude as the fluence increases from 1 x 10 18 to 1 x 10 20 ions/m 2 . The conductivity of films implanted with As + was approximately one order greater than those implanted with Ar + , which in turn was approximately one-half order greater than those implanted with F + . The conductivity of the most conductive film ∼1 S/m) was almost 14 orders of magnitude greater than the pristine PET film. Except for the three PET samples implanted at fluences near 1 x 10 20 ions/m 2 with F + , Ar + , and As + ions, all implanted films were ohmic up to an electric field strength of 600 kV/m. The temperature dependence of the conductivity of the three PET films implanted near a fluence of 1 x 10 20 ions/m 2 was measured over the range of 80 K < T < 300 K

  4. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  5. Comparison of single and mixed ion implantation effects on the changes of the surface hardness, light transmittance, and electrical conductivity of polymeric materials

    International Nuclear Information System (INIS)

    Park, J. W.; Lee, J. H.; Lee, J. S.; Kil, J. G.; Choi, B. H.; Han, Z. H.

    2001-01-01

    Single or mixed ions of N, He, C were implanted onto the transparent PET(Polyethylen Terephtalate) with the ion energies of less than 100 keV and the surface hardness, light transmittance and electrical conductivity were examined. As measured with nanoindentation, mixed ion implantations such as N + +He + or N + + C + exhibited more increase in the surface hardness than the single ion implantation. Especially, implantation of C+N ions increased the surface hardness by about three times as compared to the implantation of N ion alone, which means more than 10 times increase than the untreated PET. Surface electrical conductivity was increased along with the hardness increase. The conductivity increase was more proportional to the hardness when used the higher ion energy and ion dose, while it did not show any relationship at as low as 50 keV of ion energy. The light at the 550 nm wavelength (visual range) transmitted more than 85%, which is close to that of as-received PET, and at the wavelength below 300 nm(UV range) the rays were absorbed more than 95% as traveling through the sheet, implying that there are processing parameters which the ion implanted PET maintains the transparency and absorbs the UV rays

  6. Radiation sterilization of polymeric implant materials

    International Nuclear Information System (INIS)

    Bruck, S.D.; Mueller, E.P.

    1988-01-01

    High-energy irradiation sterilization of medical devices and implants composed of polymeric biomaterials that are in contact with tissue and/or blood, may adversely affect their long-term mechanical and/or biological performance (tissue and/or blood compatibility). Since many polymeric implants may contain trace quantities of catalysts and/or other additives, the effect of high-energy radiation on these additives, and possible synergistic effects with the polymer chains under the influence of high-energy radiation, must be considered. It is essential to indicate whether polymeric implants are used in short-term (acute) or long-term (chronic) applications. Relatively small changes in their physicochemical, mechanical, and biological properties may be tolerable in the short term, whereas similar changes may lead to catastrophic failures in long-term applications. Therefore, polymeric implants which are to be sterilized by high-energy irradiation should be carefully evaluated for long-term property changes which may be induced by the radiation

  7. Defect diffusion during annealing of low-energy ion-implanted silicon

    International Nuclear Information System (INIS)

    Bedrossian, P.J.; Caturla, M.J.; Diaz de la Rubia, T.

    1997-01-01

    The authors present a new approach for investigating the kinetics of defect migration during annealing of low-energy, ion-implanted silicon, employing a combination of computer simulations and atomic-resolution tunneling microscopy. Using atomically-clean Si(111)-7 x 7 as a sink for bulk point defects created by 5 keV Xe and Ar irradiation, they observe distinct, temperature-dependent surface arrival rates for vacancies and interstitials. A combination of simulation tools provides a detailed description of the processes that underlie the observed temperature-dependence of defect segregation, and the predictions of the simulations agree closely with the experimental observations

  8. The effect of implant design and bone quality on insertion torque, resonance frequency analysis, and insertion energy during implant placement in low or low- to medium-density bone.

    Science.gov (United States)

    Wang, Tong-Mei; Lee, Ming-Shu; Wang, Juo-Song; Lin, Li-Deh

    2015-01-01

    This study investigated the effect of implant design and bone quality on insertion torque (IT), implant stability quotient (ISQ), and insertion energy (IE) by monitoring the continuous change in IT and ISQ while implants were inserted in artificial bone blocks that simulate bone of poor or poor-to-medium quality. Polyurethane foam blocks (Sawbones) of 0.16 g/cm³ and 0.32 g/cm³ were respectively used to simulate low density and low- to medium-density cancellous bone. In addition, some test blocks were laminated with a 1-mm 0.80 g/cm³ polyurethane layer to simulate cancellous bone with a thin cortical layer. Four different implants (Nobel Biocare Mk III-3.75, Mk III-4.0, Mk IV-4.0, and NobelActive-4.3) were placed into the different test blocks in accordance with the manufacturer's instructions. The IT and ISQ were recorded at every 0.5-mm of inserted length during implant insertion, and IE was calculated from the torque curve. The peak IT (PIT), final IT (FIT), IE, and final ISQ values were statistically analyzed. All implants showed increasing ISQ values when the implant was inserted more deeply. In contrast to the ISQ, implants with different designs showed dissimilar IT curve patterns during the insertion. All implants showed a significant increase in the PIT, FIT, IE, and ISQ when the test-block density increased or when the 1-mm laminated layer was present. Tapered implants showed FIT or PIT values of more than 40 Ncm for all of the laminated test blocks and for the nonlaminated test blocks of low to medium density. Parallel-wall implants did not exhibit PIT or FIT values of more than 40 Ncm for all of the test blocks. NobelActive-4.3 showed a significantly higher FIT, but a significantly lower IE, than Mk IV-4.0. While the existence of cortical bone or implant designs significantly affects the dynamic IT profiles during implant insertion, it does not affect the ISQ to a similar extent. Certain implant designs are more suitable than others if high IT is

  9. Low flux and low energy helium ion implantation into tungsten using a dedicated plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Pentecoste, Lucile [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Thomann, Anne-Lise, E-mail: anne-lise.thomann@univ-orleans.fr [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Melhem, Amer; Caillard, Amael; Cuynet, Stéphane; Lecas, Thomas; Brault, Pascal [GREMI, CNRS/Université d’Orléans, 14 rue d’Issoudun, B.P. 6744, 45067 Orléans Cedex2 (France); Desgardin, Pierre; Barthe, Marie-France [CNRS, UPR3079 CEMHTI, 1D avenue de la Recherche Scientifique, 45071 Orléans Cedex2 (France)

    2016-09-15

    The aim of this work is to investigate the first stages of defect formation in tungsten (W) due to the accumulation of helium (He) atoms inside the crystal lattice. To reach the required implantation conditions, i.e. low He ion fluxes (10{sup 11}–10{sup 14} ions.cm{sup 2}.s{sup −1}) and kinetic energies below the W atom displacement threshold (about 500 eV for He{sup +}), an ICP source has been designed and connected to a diffusion chamber. Implantation conditions have been characterized by means of complementary diagnostics modified for measurements in this very low density helium plasma. It was shown that lowest ion fluxes could only be reached for the discharge working in capacitive mode either in α or γ regime. Special attention was paid to control the energy gained by the ions by acceleration through the sheath at the direct current biased substrate. At very low helium pressure, in α regime, a broad ion energy distribution function was evidenced, whereas a peak centered on the potential difference between the plasma and the biased substrate was found at higher pressures in the γ mode. Polycrystalline tungsten samples were exposed to the helium plasma in both regimes of the discharge and characterized by positron annihilation spectroscopy in order to detect the formed vacancy defects. It was found that W vacancies are able to be formed just by helium accumulation and that the same final implanted state is reached, whatever the operating mode of the capacitive discharge.

  10. A 2 MV heavy ion Van de Graaff implanter for research and development

    International Nuclear Information System (INIS)

    Hemment, P.L.F.; Sealy, B.J.; Stephens, K.G.; Mynard, J.E.; Jeynes, C.; Browton, M.D.; Wilson, R.J.; Ma, M.X.; Cansell, A.; Mous, D.J.W.; Koudijs, R.

    1993-01-01

    A high energy heavy ion implantation system is described which is based upon a 2 MV High Voltage Engineering Europa Van de Graaff accelerator, which incorporates an ion source rapid exchange mechanism. The design and performance are described with particular reference to the system mass resolution, beam transport and performance of a sputter ion source. The system is used to provide a wide ranging implantation service and also supports material science studies, some of which are described briefly. (orig.)

  11. Depth profiling of boron implanted silicon by positron beam

    International Nuclear Information System (INIS)

    Oevuenc, S.

    2004-01-01

    Positron depth profiling analyses of low energy implants of silicon aim to observe tbe structure and density of the vacancies generating by implantation and the effect of annealing. This work present the results to several set of data starting S and W parameters. Boron implanted Silicon samples with different implantation energies,20,22,24,and 26 keV are analyzed by Slow positron beam (0-40 keV and 10 5 e + /s )(Variable Energy Positron) at the Positron Centre Delf-HOLLAND

  12. The temperature effect of low-energy ion beam implantation on seed

    International Nuclear Information System (INIS)

    Chang Shenghe; Su Mingjie; Qin Guangyong; Wu Yuping; Zhao Haizhen

    2005-01-01

    The temperature effects of low-energy ion beam implantation on the seed germination were studied. Maize dry seeds were covered with copy paper, aluminum foil and without cover, respectively. Results showed that the germination rate of the seeds covered with paper which was the bad heat transmitter was the highest among three treatments, while that covered with aluminum foil which can transmit heat energy well was the least. The germination rate of the seeds covered with nothing was the second. Temperature affected seeds germination markedly. Generally the temperature of the target room inhibited the seeds' germination. After minus the effects of the temperature in the target room, the germination rates of the seeds were modified in this paper. The modified germination rate curve was also provided. (authors)

  13. Implantation of xenon in amorphous carbon and silicon for brachytherapy application

    International Nuclear Information System (INIS)

    Marques, F.C.; Barbieri, P.F.; Viana, G.A.; Silva, D.S. da

    2013-01-01

    We report a procedure to implant high dose of xenon atoms (Xe) in amorphous carbon, a-C, and amorphous silicon, a-Si, for application in brachytherapy seeds. An ion beam assisted deposition (IBAD) system was used for the deposition of the films, where one ion gun was used for sputtering a carbon (or silicon) target, while the other ion gun was used to simultaneously bombard the growing film with a beam of xenon ion Xe + in the 0–300 eV range. Xe atoms were implanted into the film with concentration up to 5.5 at.%, obtained with Xe bombardment energy in the 50–150 eV range. X-ray absorption spectroscopy was used to investigate the local arrangement of the implanted Xe atoms through the Xe L III absorption edge (4.75 keV). It was observed that Xe atoms tend to agglomerate in nanoclusters in a-C and are dispersed in a-Si.

  14. Experimental and numerical optical characterization of plasmonic copper nanoparticles embedded in ZnO fabricated by ion implantation and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Le, Khai Q. [Faculty of Science and Technology, Hoa Sen University, Ho Chi Minh City (Viet Nam); Department of Physics, Faculty of Science, Jazan University, P.O. Box 114, 45142 Jazan (Saudi Arabia); Nguyen, Hieu P.T. [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, NJ 07102 (United States); Ngo, Quang Minh [Institute of Material Sciences, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet Road, Cau Giay, Hanoi (Viet Nam); Canimoglu, Adil [Nigde University, Faculty of Arts and Sciences, Physics Department, Nigde (Turkey); Can, Nurdogan, E-mail: cannurdogan@yahoo.com [Celal Bayar University, Faculty of Arts and Sciences, Department of Physics, Muradiye, Manisa (Turkey); Department of Physics, Faculty of Science, Jazan University, P.O. Box 114, 45142 Jazan (Saudi Arabia)

    2016-06-05

    Here we describe the successfully fabrication of metal nanoparticle crystals by implanting copper (Cu) ions into single zinc oxide (ZnO) crystals with ion energy of 400 keV at ion doses of 1 × 10{sup 16} to 1 × 10{sup 17} ions/cm{sup 2}. After implantation and post-annealing treatment, the Cu implanted ZnO produces a broad range of luminescence emissions, ranging from green to yellow. A green luminescence peak at 550 nm could be ascribed to the isolated Cu ions. The changes in luminescence emission bands between the initial implant and annealed suggest that the implants give rise to clustering Cu nanoparticles in the host matrix but that the annealing process dissociates these. Numerical modelling of the Cu nanoparticles was employed to simulate their optical properties including the extinction cross section, electron energy loss spectroscopy and cathodoluminescence. We demonstrate that the clustering of nanoparticles generates Fano resonances corresponding to the generation of multiple resonances, while the isolation of nanoparticles results in intensity amplification. - Highlights: • We present the fabrication of metal nanoparticle crystals by implanting Cu into ZnO. • The luminescence properties were studied at different annealing temperature. • Numerical modelling of the Cu nanoparticles was employed. • We demonstrate that the clustering of nanoparticles generates Fano resonances.

  15. Surface depression of glass and surface swelling of ceramics induced by ion implantation

    International Nuclear Information System (INIS)

    Ikeyama, Masami; Saitoh, Kazuo; Nakao, Setsuo; Niwa, Hiroaki; Tanemura, Seita; Miyagawa, Yoshiko; Miyagawa, Souji

    1994-01-01

    By the measurement of the change of the surface shapes of the glass and ceramics in which ion implantation was performed, it was clarified that glass surface was depressed, and ceramic surface swelled. These depression and swelling changed according to the kinds of ions, energy and the amount to be implanted and the temperature of samples. It became clear that the depression of glass surface was nearly proportional to the range of flight of the implanted ions, and the swelling of ceramic surface showed different state in the silicon nitride with strong covalent bond and the alumina and sapphire with strong ionic bond. For the improvement of the mechanical characteristics of solid materials such as hardness, strength, toughness, wear resistance, oxidation resistance and so on, attention has been paid to the surface reforming by high energy ion implantation at MeV level. The change of shapes of base materials due to ion implantation is not always negligible. The experiment was carried out on sintered silicon nitride and alumina, polished sapphire single crystals and quartz glass. The experimental method and the results are reported. (K.I.)

  16. Rapid-relocation model for describing high-fluence retention of rare gases implanted in solids

    Science.gov (United States)

    Wittmaack, K.

    2009-09-01

    It has been known for a long time that the maximum areal density of inert gases that can be retained in solids after ion implantation is significantly lower than expected if sputter erosion were the only limiting factor. The difference can be explained in terms of the idea that the trapped gas atoms migrate towards the surface in a series of detrapping-trapping events so that reemission takes place well before the receding surface has advanced to the original depth of implantation. Here it is shown that the fluence dependent shift and shape of implantation profiles, previously determined by Rutherford backscattering spectrometry (RBS), can be reproduced surprisingly well by extending a simple retention model originally developed to account only for the effect of surface recession by sputtering ('sputter approximation'). The additional migration of inert gas atoms is formally included by introducing an effective shift parameter Yeff as the sum of the sputtering yield Y and a relocation efficiency Ψrel. The approach is discussed in detail for 145 keV Xe + implanted in Si at normal incidence. Yeff was found to increase with increasing fluence, to arrive at a maximum equivalent to about twice the sputtering yield. At the surface one needs to account for Xe depletion and the limited depth resolution of RBS. The (high-fluence) effect of implanted Xe on the range distributions is discussed on the basis of SRIM calculations for different definitions of the mean target density, including the case of volume expansion (swelling). To identify a 'range shortening' effect, the implanted gas atoms must be excluded from the definition of the depth scale. The impact-energy dependence of the relocation efficiency was derived from measured stationary Xe concentrations. Above some characteristic energy (˜20 keV for Ar, ˜200 keV for Xe), Y exceeds Ψrel. With decreasing energy, however, Ψrel increases rapidly. Below 2-3 keV more than 90% of the reemission of Ar and Xe is estimated

  17. Ge-semiconductor detectors with a p-implanted n+-contact

    International Nuclear Information System (INIS)

    Protic, D.; Riepe, G.

    1979-01-01

    P-implanted large-surface-detectors with improved properties can be produced by implantation of the n + -contact with relatively low dose and high energy. After an annealing process a nearly perfect lattice structure is obtained. By a subsequent p-implantation step with high dose and low energy, the surface restisivity can be reduced. The p + -contacts are obtained by B-implantation. (DG) [de

  18. Quantitative ion implantation

    International Nuclear Information System (INIS)

    Gries, W.H.

    1976-06-01

    This is a report of the study of the implantation of heavy ions at medium keV-energies into electrically conducting mono-elemental solids, at ion doses too small to cause significant loss of the implanted ions by resputtering. The study has been undertaken to investigate the possibility of accurate portioning of matter in submicrogram quantities, with some specific applications in mind. The problem is extensively investigated both on a theoretical level and in practice. A mathematical model is developed for calculating the loss of implanted ions by resputtering as a function of the implanted ion dose and the sputtering yield. Numerical data are produced therefrom which permit a good order-of-magnitude estimate of the loss for any ion/solid combination in which the ions are heavier than the solid atoms, and for any ion energy from 10 to 300 keV. The implanted ion dose is measured by integration of the ion beam current, and equipment and techniques are described which make possible the accurate integration of an ion current in an electromagnetic isotope separator. The methods are applied to two sample cases, one being a stable isotope, the other a radioisotope. In both cases independent methods are used to show that the implantation is indeed quantitative, as predicted. At the same time the sample cases are used to demonstrate two possible applications for quantitative ion implantation, viz. firstly for the manufacture of calibration standards for instrumental micromethods of elemental trace analysis in metals, and secondly for the determination of the half-lives of long-lived radioisotopes by a specific activity method. It is concluded that the present study has advanced quantitative ion implantation to the state where it can be successfully applied to the solution of problems in other fields

  19. Photoemission studies of amorphous silicon induced by P + ion implantation

    Science.gov (United States)

    Petö, G.; Kanski, J.

    1995-12-01

    An amorphous Si layer was formed on a Si (1 0 0) surface by P + implantation at 80 keV. This layer was investigated by means of photoelectron spectroscopy. The resulting spectra are different from earlier spectra on amorphous Si prepared by e-gun evaporation or cathode sputtering. The differences consist of a decreased intensity in the spectral region corresponding to p-states, and appearace of new states at higher binding energy. Qualitativity similar results have been reported for Sb implanted amorphous Ge and the modification seems to be due to the changed short range order.

  20. An accurate energy-range relationship for high-energy electron beams in arbitrary materials

    International Nuclear Information System (INIS)

    Sorcini, B.B.; Brahme, A.

    1994-01-01

    A general analytical energy-range relationship has been derived to relate the practical range, R p to the most probable energy, E p , of incident electron beams in the range 1 to 50 MeV and above, for absorbers of any atomic number. In the present study only Monte Carlo data determined with the new ITS.3 code have been employed. The standard deviations of the mean deviation from the Monte Carlo data at any energy are about 0.10, 0.12, 0.04, 0.11, 0.04, 0.03, 0.02 mm for Be, C, H 2 O, Al, Cu, Ag and U, respectively, and the relative standard deviation of the mean is about 0.5% for all materials. The fitting program gives some priority to water-equivalent materials, which explains the low standard deviation for water. A small error in the fall-off slope can give a different value for R p . We describe a new method which reduces the uncertainty in the R p determination, by fitting an odd function to the descending portion of the depth-dose curve in order to accurately determine the tangent at the inflection point, and thereby the practical range. An approximate inverse relation is given expressing the most probable energy of an electron beam as a function of the practical range. The resultant relative standard error of the energy is less than 0.7%, and the maximum energy error ΔE p is less than 0.3 MeV. (author)

  1. The examination of calcium ion implanted alumina with energy filtered transmission electron microscopy

    International Nuclear Information System (INIS)

    Hunt, E.M.; Hampikian, J.M.

    1997-01-01

    Ion implantation can be used to alter in the optical response of insulators through the formation of embedded nano-sized particles. Single crystal alumina has been implanted at ambient temperature with 50 keV Ca + to a fluence of 5 x 10 16 ions/cm 2 . Ion channeling, Knoop microhardness measurements, and transmission electron microscopy (TEM) indicate that the alumina surface layer was amorphized by the implant. TEM also revealed nano-sized crystals ∼7--8 nm in diameter. These nanocrystals are randomly oriented, and exhibit a face-centered cubic structure (FCC) with a lattice parameter of 0.409 nm ± 0.002 nm. The similarity between this crystallography and that of pure aluminum suggests that they are metallic aluminum nanocrystals with a slightly dilated lattice parameter, possibly due to the incorporation of a small amount of calcium. Energy-filtered transmission electron microscopy (EFTEM) provides an avenue by which to confirm the metallic nature of the aluminum involved in the nanocrystals. EFTEM has confirmed that the aluminum present in the particles is metallic in nature, that the particles are oxygen deficient in comparison with the matrix material and that the particles are deficient in calcium, and therefore not likely to be calcia. The particles thus appear to be FCC Al (possibly alloyed with a few percent Ca) with a lattice parameter of 0.409nm. A similar result was obtained for yttrium ion implantation into alumina

  2. Electrical properties of InP:Fe single crystals implanted by phosphorus ions

    International Nuclear Information System (INIS)

    Radautsan, S.I.; Tiginyanu, I.M.; Pyshnaya, N.B.

    1988-01-01

    Investigations of phosphorus ion implantation in InP:Fe monocrystals and of the post-implantation annealing process upon the electrical properties of InP:Fe were carried out. The electrical parameters of the samples have been determined by Hall effect measurements. The curves of electron surface concentration n s and mobility μ s as functions of annealing temperature in the range of 200 to 600 0 C are shown and discussed. In order to estimate the depth of donor levels in annealed samples the temperature dependence of the surface Hall coefficient has been studied in the range 100 to 400 K. The thermal electron activation energy has been determined to be 0.09 eV

  3. Solutions to defect-related problems in implanted silicon by controlled injection of vacancies by high-energy ion irradiation

    International Nuclear Information System (INIS)

    Roth, E.G.; Holland, O.W.; Duggan, J.L.

    1999-01-01

    Amorphization and a dual implant technique have been used to manipulate residual defects that persist following implantation and post-implant thermal treatments. Residual defects can often be attributed to ion-induced defect excesses. A defect is considered to be excess when it occurs in a localized region at a concentration greater than its complement. Sources of excess defects include spatially separated Frenkel pairs, excess interstitials resulting from the implanted atoms, and sputtering. Preamorphizing prior to dopant implantation has been proposed to eliminate dopant broadening due to ion channeling as well as dopant diffusion during subsequent annealing. However, transient-enhanced diffusion (TED) of implanted boron has been observed in pre-amorphized Si. The defects driving this enhanced boron diffusion are thought to be the extended interstitial-type defects that form below the amorphous-crystalline interface during implantation. A dual implantation process was applied in an attempt to reduce or eliminate this interfacial defect band. High-energy, ion implantation is known to inject a vacancy excess in this region. Vacancies were implanted at a concentration coincident with the excess interstitials below the a-c interface to promote recombination between the two defect species. Preliminary results indicate that a critical fluence, i.e., a sufficient vacancy concentration, will eliminate the interstitial defects. The effect of the reduction or elimination of these interfacial defects upon TED of boron will be discussed. Rutherford backscattering/channeling and cross section transmission electron microscopy analyses were used to characterize the defect structure within the implanted layer. Secondary ion mass spectrometry was used to profile the dopant distributions. copyright 1999 American Institute of Physics

  4. Effect of titanium implantation on the mechanical properties of silicon nitride

    International Nuclear Information System (INIS)

    Fujihana, T.; Nishimura, O.; Yabe, K.; Hayashi, H.; Iwaki, M.

    1995-01-01

    A study has been made of the chemical composition and mechanical properties of Ti + -implanted Si 3 N 4 surface layers. Implantation of 48 Ti + was performed with doses ranging from 10 15 to 10 17 ions cm -2 at an energy of 150 keV, and at nearly room temperature. XPS was used to analyze the depth dependence of atomic fraction and chemical bonding states of Ti + -implanted layers. The near-surface hardness was measured by a Vickers hardness tester. The friction and wear properties were measured under unlubricated conditions at room temperature using a pin on disk-plane and a block on wheel-periphery configurations, in which the pin and wheel used were AISI 1045 and ASTM W1-9, respectively. Implanted Ti-atoms formed a gaussian distribution predicted by the range theory. At the average projected range, most of Ti-atoms existed as a metallic state and TiN bonding was also formed. Oxygen and carbon were found near the surface layers. In addition to the surface peak, O-atoms accumulated in front of the average projected range of Ti. Such O-atoms formed bonds of Si-oxides and Ti-oxides. Carbon existed as a graphitic state. With increasing a Ti dose, the near-surface hardness decreased, and the wear rate increased at the running-in stage having the high friction coefficient. The steady wear attributed to the stable friction coefficient appeared after the running-in stage, although such a stable stage was not observed for unimplanted Si 3 N 4 . The mechanism for the change in mechanical properties of Si 3 N 4 induced by Ti + -implantation will be discussed in relation to XPS characteristics

  5. Characterisation Of The Beam Plasma In High Current, Low Energy Ion Beams For Implanters

    International Nuclear Information System (INIS)

    Fiala, J.; Armour, D. G.; Berg, J. A. van der; Holmes, A. J. T.; Goldberg, R. D.; Collart, E. H. J.

    2006-01-01

    The effective transport of high current, positive ion beams at low energies in ion implanters requires the a high level of space charge compensation. The self-induced or forced introduction of electrons is known to result in the creation of a so-called beam plasma through which the beam propagates. Despite the ability of beams at energies above about 3-5 keV to create their own neutralising plasmas and the development of highly effective, plasma based neutralising systems for low energy beams, very little is known about the nature of beam plasmas and how their characteristics and capabilities depend on beam current, beam energy and beamline pressure. These issues have been addressed in a detailed scanning Langmuir probe study of the plasmas created in beams passing through the post-analysis section of a commercial, high current ion implanter. Combined with Faraday cup measurements of the rate of loss of beam current in the same region due to charge exchange and scattering collisions, the probe data have provided a valuable insight into the nature of the slow ion and electron production and loss processes. Two distinct electron energy distribution functions are observed with electron temperatures ≥ 25 V and around 1 eV. The fast electrons observed must be produced in their energetic state. By studying the properties of the beam plasma as a function of the beam and beamline parameters, information on the ways in which the plasma and the beam interact to reduce beam blow-up and retain a stable plasma has been obtained

  6. Development of a keV single-ion-implanter for nanofabrication

    International Nuclear Information System (INIS)

    Yang, C.; Jamieson, D.N.; Hopf, T.; Tamanyan, G.; Spizziri, P.; Pakes, C.; Andresen, S.E.; Hudson, F.; Gauja, E.; Dzurak, A.; Clark, R.G.

    2005-01-01

    Traditional methods of doping semiconductors have a difficulty meeting the demand for high precision doping due to large statistical fluctuations in the numbers of dopant atoms introduced in the ever shrinking volume in micro- and nano-electronics devices, especially when the fabrication process approaches the nanometre scale. The statistical fluctuations in doping semiconductors for the fabrication of devices with a very small feature size may lead to inconsistent and unreliable performance. This paper describes the adaptation of a commercial ion implanter into a single-ion-implantation system for the accurate delivery of dopants into a nanometre or micrometre area in a silicon substrate. All the implanted ions can be accurately counted with near 100% certainty through online detection using the silicon substrate itself as an ion detector. A variety of ion species including B + , N + , P + at the energy range of 10-15 keV can be delivered in the single ion implantation system. (author). 6 refs., 6 figs

  7. Room temperature diamond-like carbon coatings produced by low energy ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, A., E-mail: a.markwitz@gns.cri.nz [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, B.; Leveneur, J. [Department for Ion Beam Technologies, GNS Science, 30 Gracefield Road, Lower Hutt (New Zealand)

    2014-07-15

    Nanometre-smooth diamond-like carbon coatings (DLC) were produced at room temperature with ion implantation using 6 kV C{sub 3}H{sub y}{sup +} ion beams. Ion beam analysis measurements showed that the coatings contain no heavy Z impurities at the level of 100 ppm, have a homogeneous stoichiometry in depth and a hydrogen concentration of typically 25 at.%. High resolution TEM analysis showed high quality and atomically flat amorphous coatings on wafer silicon. Combined TEM and RBS analysis gave a coating density of 3.25 g cm{sup −3}. Raman spectroscopy was performed to probe for sp{sup 2}/sp{sup 3} bonds in the coatings. The results indicate that low energy ion implantation with 6 kV produces hydrogenated amorphous carbon coatings with a sp{sup 3} content of about 20%. Results highlight the opportunity of developing room temperature DLC coatings with ion beam technology for industrial applications.

  8. Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate

    International Nuclear Information System (INIS)

    Tsukamoto, K.; Akasaka, Y.; Horie, K.

    1977-01-01

    Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side

  9. Implantation, recoil implantation, and sputtering

    International Nuclear Information System (INIS)

    Kelly, R.

    1984-01-01

    Underlying ion-beam modification of surfaces is the more basic subject of particle-surface interaction. The ideas can be grouped into forward and backward features, i.e. those affecting the interior of the target and those leading to particle expulsion. Forward effects include the stopping of the incident particles and the deposition of energy, both governed by integral equations which are easily set up but difficult to solve. Closely related is recoil implantation where emphasis is placed not on the stopping of the incident particles but on their interaction with target atoms with resulting implantation of these atoms. Backward effects, all of which are denoted as sputtering, are in general either of collisional, thermal, electronic, or exfoliational origin. (Auth.)

  10. Ion implantation in semiconductors

    International Nuclear Information System (INIS)

    Gusev, V.; Gusevova, M.

    1980-01-01

    The historical development is described of the method of ion implantation, the physical research of the method, its technological solution and practical uses. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material, ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions. (M.S.)

  11. Ion implantation in semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Gusev, V; Gusevova, M

    1980-06-01

    The historical development of the method of ion implantation, the physical research of the method, its technological solution and practical uses is described. The method is universally applicable, allows the implantation of arbitrary atoms to an arbitrary material and ensures high purity of the doping element. It is linked with sample processing at low temperatures. In implantation it is possible to independently change the dose and energy of the ions thereby affecting the spatial distribution of the ions.

  12. Cochlear implants in children implanted in Jordan: A parental overview.

    Science.gov (United States)

    Alkhamra, Rana A

    2015-07-01

    Exploring the perspective of parents on the cochlear implant process in Jordan. Sixty parents of deaf children were surveyed on the information gathering process prior to cochlear implant surgery, and their implant outcome expectations post-surgery. Whether child or parent characteristics may impact parents' post-surgical expectations was explored. Although parents used a variety of information sources when considering a cochlear implant, the ear, nose and throat doctor comprised their major source of information (60%). Parents received a range of information prior to cochlear implant but agreed (93.3%) on the need for a multidisciplinary team approach. Post-surgically, parents' expected major developments in the areas of spoken language (97%), and auditory skills (100%). Receiving education in mainstream schools (92%) was expected too. Parents perceived the cochlear implant decision as the best decision they can make for their child (98.3%). A significant correlation was found between parents contentment with the cochlear implant decision and expecting developments in the area of reading and writing (r=0.7). Child's age at implantation and age at hearing loss diagnosis significantly affected parents' post-implant outcome expectations (pparents agree on the need for a comprehensive multidisciplinary team approach during the different stages of the cochlear implant process. Parents' education about cochlear implants prior to the surgery can affect their post-surgical outcome expectations. The parental perspective presented in this study can help professionals develop better understanding of parents' needs and expectations and henceforth improve their services and support during the different stages of the cochlear implant process. Copyright © 2015. Published by Elsevier Ireland Ltd.

  13. Atomic force microscopy analysis of different surface treatments of Ti dental implant surfaces

    International Nuclear Information System (INIS)

    Bathomarco, R.V.; Solorzano, G.; Elias, C.N.; Prioli, R.

    2004-01-01

    The surface of commercial unalloyed titanium, used in dental implants, was analyzed by atomic force microscopy. The morphology, roughness, and surface area of the samples, submitted to mechanically-induced erosion, chemical etching and a combination of both, were compared. The results show that surface treatments strongly influence the dental implant physical and chemical properties. An analysis of the length dependence of the implant surface roughness shows that, for scan sizes larger than 50 μm, the average surface roughness is independent of the scanning length and that the surface treatments lead to average surface roughness in the range of 0.37 up to 0.48 μm. It is shown that the implant surface energy is sensitive to the titanium surface area. As the area increases there is a decrease in the surface contact angle

  14. Atomic force microscopy analysis of different surface treatments of Ti dental implant surfaces

    Science.gov (United States)

    Bathomarco, Ti R. V.; Solorzano, G.; Elias, C. N.; Prioli, R.

    2004-06-01

    The surface of commercial unalloyed titanium, used in dental implants, was analyzed by atomic force microscopy. The morphology, roughness, and surface area of the samples, submitted to mechanically-induced erosion, chemical etching and a combination of both, were compared. The results show that surface treatments strongly influence the dental implant physical and chemical properties. An analysis of the length dependence of the implant surface roughness shows that, for scan sizes larger than 50 μm, the average surface roughness is independent of the scanning length and that the surface treatments lead to average surface roughness in the range of 0.37 up to 0.48 μm. It is shown that the implant surface energy is sensitive to the titanium surface area. As the area increases there is a decrease in the surface contact angle.

  15. Surface engineering by ion implantation

    International Nuclear Information System (INIS)

    Nielsen, Bjarne Roger

    1995-01-01

    Awidespread commercial applica tion iof particle accelerators is for ion implantation. Accelerator beams are used for ion implantation into metals, alloying a thin surface layer with foreign atoms to concentrations impossible to achieve by thermal processes, making for dramatic improvements in hardness and in resistance to wear and corrosion. Traditional hardening processes require high temperatures causing deformation; ion implantation on the other hand is a ''cold process'', treating the finished product. The ionimplanted layer is integrated in the substrate, avoiding the risk of cracking and delamination from normal coating processes. Surface properties may be ''engineered'' independently of those of the bulk material; the process does not use environmentally hazardous materials such as chromium in the surface coating. The typical implantation dose required for the optimum surface properties of metals is around 2 x 10 17 ion/cm 2 , a hundred times the typical doses for semiconductor processing. When surface areas of more than a few square centimetres have to be treated, the implanter must therefore be able to produce high beam currents (5 to 10 mA) to obtain an acceptable treatment time. Ion species used include nitrogen, boron, carbon, titanium, chromium and tantalum, and beam energies range from 50 to 200 keV. Since most components are three dimensional, it must be possible to rotate and tilt them in the beam, and control beam position over a large area. Examples of industrial applications are: - surface treatment of prostheses (hip and knee joints) to reduce wear of the moving parts, using biocompatible materials; - ion implantation into high speed ball bearings to protect against the aqueous corrosion in jet engines (important for service helicopters on oil rigs); - hardening of metal forming and cutting tools; - reduction of corrosive wear of plastic moulding tools, which are expensive to produce

  16. Does Ferrule Effect Affect Implant-Abutment Stability?

    Science.gov (United States)

    Mohajerfar, Maryam; Beyabanaki, Elaheh; Geramy, Allahyar; Siadat, Hakimeh; Alikhasi, Marzieh

    2016-12-01

    This study investigated the influence of placing implant-supported crowns on the torque loss of the abutment screw before and after loading. Twenty implant-abutment assemblies were randomly assigned to two groups. The first group was consisted of abutments with abutment-level finishing line (abutment-level), and in the second group the crown margin was placed on the implant shoulder (implant-level). Initial torque loss was recorded for all specimens. After 500000 cyclic load of 75 N and frequency of 2 Hz, post loading torque loss was recorded. Finite element model of each group was also modeled and screw energy, and stress were analyzed and compared between two groups. ANOVA for repeated measurements showed that the torque loss did not change significantly after cyclic loading (P=0.73). Crown margin also had no significant effect on the torque loss (P=0.56). However, the energy and stress of screw in abutment-level model (4.49 mJ and 22.74 MPa) was higher than implant-level model (3.52 mJ and 20.81 MPa). Although embracing the implant with crown produced less stress and energy in the abutment-implant screw, it did not have any significant influence on the torque loss of the screw. Copyright© 2016 Dennis Barber Ltd

  17. Range-separated density-functional theory for molecular excitation energies

    International Nuclear Information System (INIS)

    Rebolini, E.

    2014-01-01

    Linear-response time-dependent density-functional theory (TDDFT) is nowadays a method of choice to compute molecular excitation energies. However, within the usual adiabatic semi-local approximations, it is not able to describe properly Rydberg, charge-transfer or multiple excitations. Range separation of the electronic interaction allows one to mix rigorously density-functional methods at short range and wave function or Green's function methods at long range. When applied to the exchange functional, it already corrects most of these deficiencies but multiple excitations remain absent as they need a frequency-dependent kernel. In this thesis, the effects of range separation are first assessed on the excitation energies of a partially-interacting system in an analytic and numerical study in order to provide guidelines for future developments of range-separated methods for excitation energy calculations. It is then applied on the exchange and correlation TDDFT kernels in a single-determinant approximation in which the long-range part of the correlation kernel vanishes. A long-range frequency-dependent second-order correlation kernel is then derived from the Bethe-Salpeter equation and added perturbatively to the range-separated TDDFT kernel in order to take into account the effects of double excitations. (author)

  18. Cochlear implants and medical tourism.

    Science.gov (United States)

    McKinnon, Brian J; Bhatt, Nishant

    2010-09-01

    To compare the costs of medical tourism in cochlear implant surgery performed in India as compared to the United States. In addition, the cost savings of obtaining cochlear implant surgery in India were compare d to those of other surgical interventions obtained as a medical tourist. Searches were conducted on Medline and Google using the search terms: 'medical tourism', 'medical offshoring', 'medical outsourcing', 'cochlear implants' and 'cochlear implantation'. The information regarding cost of medical treatment was obtained from personal communication with individuals familiar with India's cochlear implantation medical tourism industry. The range of cost depended on length of stay as well as the device chosen. Generally the cost, inclusive of travel, surgery and device, was in the range of $21,000-30,000, as compared to a cost range of $40,000-$60,000 in the US. With the escalating cost of healthcare in the United States, it is not surprising that some patients would seek to obtain surgical care overseas at a fraction of the cost. Participants in medical tourism often have financial resources, but lack health insurance coverage. While cardiovascular and orthopedic surgery performed outside the United States in India at centers that cater to medical tourists are often performed at one-quarter to one-third of the cost that would have been paid in the United States, the cost differential for cochlear implants is not nearly as favorable.

  19. The Raman effects in γ-LiAlO2 induced by low-energy Ga ion implantation

    Science.gov (United States)

    Zhang, Jing; Song, Hong-Lian; Qiao, Mei; Wang, Tie-Jun; Yu, Xiao-Fei; Wang, Xue-Lin

    2017-10-01

    The tetragonal γ-LiAlO2 crystal, known as a promising solid breeding material in future fusion reactors, has attracted much attention for its irradiation effects. This work focused on the Raman effects in ion-implanted γ-LiAlO2. Ga ions of 30, 80 and 150 keV were implanted on the z-cut γ-LiAlO2 sample surfaces at a fluence of 1 × 1014 ions/cm2 or 1 × 1015 ions/cm2. The average ion range varied from 230 to 910 Å. The Raman spectra were collected from the implanted surfaces before and after the implantation. Evident changes were reflected in the Raman modes intensities, with abnormal increments for the most detected modes. According to the assignments of Raman modes, the Al-O vibration was enhanced to a greater extent than the Li-Al-O vibration, and the LiO4-AlO4 vibration gained a lesser enhancement. The discussion, including the factors of roughness, crystalline disorder and influence by Ga ions, attempts to explain the increments of Raman intensity.

  20. Optical waveguides in fluoride lead silicate glasses fabricated by carbon ion implantation

    Science.gov (United States)

    Shen, Xiao-liang; Wang, Yue; Zhu, Qi-feng; Lü, Peng; Li, Wei-nan; Liu, Chun-xiao

    2018-03-01

    The carbon ion implantation with energy of 4.0 MeV and a dose of 4.0×1014 ions/cm2 is employed for fabricating the optical waveguide in fluoride lead silicate glasses. The optical modes as well as the effective refractive indices are measured by the prism coupling method. The refractive index distribution in the fluoride lead silicate glass waveguide is simulated by the reflectivity calculation method (RCM). The light intensity profile and the energy losses are calculated by the finite-difference beam propagation method (FD-BPM) and the program of stopping and range of ions in matter (SRIM), respectively. The propagation properties indicate that the C2+ ion-implanted fluoride lead silicate glass waveguide is a candidate for fabricating optical devices.

  1. Isothermal annealing of silicon implanted with 50 keV 10B ions

    International Nuclear Information System (INIS)

    Weidner, B.; Zaschke, G.

    1974-01-01

    Isothermal annealing characteristics of silicon implanted with boron were measured and compared with calculated results. Implantation was performed with 50 keV 10 B ions in the dose range of 7.5 x 10 12 cm -2 to 2.0 x 10 15 cm -2 . Annealing temperatures ranged from 700 to 900 0 C. Maximum annealing time was 10 4 minutes. Annealing time strongly increases with increasing dose and decreasing temperature. Assuming that there is only one activation energy the isothermal annealing curves of constant dose and different temperatures were combined to a reduced annealing curve and the reduced isothermal annealing curve calculated. Starting from first order kinetics, considering the doping profile of boron in silicon and assuming a depth-dependent decay constant the experimentally determined annealing curves could be easily described over the total dose and time range

  2. Optimization of High-Energy Implanter Beamline Pumping

    International Nuclear Information System (INIS)

    LaFontaine, Marvin; Pharand, Michel; Huang Yongzhang; Pokidov, Ilya; Ferrara, Joseph

    2006-01-01

    A high-energy implanter process chamber and its pumping configuration were designed to minimize the residual gas density in the endstation. A modified Nastran trade mark sign finite-element analysis (FEA) code was used to calculate the pressure distribution and gas flow within the process chamber. The modified FE method was readily applied to the internal geometry of the scan chamber, the corrector magnet waveguide, and the process chamber, which included the scan arm assembly, 300mm wafer, and plasma electron flood gun (PEF). Using the modified Nastran code, the gas flow and pressure distribution within the beamline geometry were calculated. The gas load consisted of H2, which is generated by photoresist (PR) outgassing from the 300mm wafer, and Xe from the plasma electron flood gun. Several pumping configurations were assessed, with each consisting of various locations and pumping capacities of vacuum pumps. The pressure distribution results for each configuration are presented, along with pumping efficiency results which are helpful in selecting the optimum pump configuration. The analysis results were compared to measured data, indicating a good correlation between the two

  3. Effect of annealing on photoluminescence properties of neon implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan); Zhu, J J [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Wang, Y T [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Liu, W [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Lu, G J [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Liu, W B [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Zhang, L Q [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Liu, Z S [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Zhao, D G [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Zhang, S M [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China); Jiang, D S; Yang, H [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, PO Box 912, Beijing 100083 (China)

    2008-01-21

    The effect of thermal annealing on the luminescence properties of neon implanted GaN thin films was studied. Low temperature photoluminescence (PL) measurements were carried out on the samples implanted with different doses ranging from 10{sup 14} to 9 x 10{sup 15} cm{sup -2} and annealed isochronally at 800 and 900 deg. C. We observed a new peak appearing at 3.44 eV in the low temperative PL spectra of all the implanted samples after annealing at 900 deg. C. This peak has not been observed in the PL spectra of implanted samples annealed at 800 deg. C except for the samples implanted with the highest dose. The intensity of the yellow luminescence (YL) band noticed in the PL spectra measured after annealing was observed to decrease with the increase in dose until it was completely suppressed at a dose of 5 x 10{sup 15} cm{sup -2}. The appearance of a new peak at 3.44 eV and dose dependent suppression of the YL band are attributed to the dissociation of V{sub Ga}O{sub N} complexes caused by high energy ion implantation.

  4. Effect of annealing on photoluminescence properties of neon implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar; Zhu, J J; Wang, Y T; Liu, W; Lu, G J; Liu, W B; Zhang, L Q; Liu, Z S; Zhao, D G; Zhang, S M; Jiang, D S; Yang, H

    2008-01-01

    The effect of thermal annealing on the luminescence properties of neon implanted GaN thin films was studied. Low temperature photoluminescence (PL) measurements were carried out on the samples implanted with different doses ranging from 10 14 to 9 x 10 15 cm -2 and annealed isochronally at 800 and 900 deg. C. We observed a new peak appearing at 3.44 eV in the low temperative PL spectra of all the implanted samples after annealing at 900 deg. C. This peak has not been observed in the PL spectra of implanted samples annealed at 800 deg. C except for the samples implanted with the highest dose. The intensity of the yellow luminescence (YL) band noticed in the PL spectra measured after annealing was observed to decrease with the increase in dose until it was completely suppressed at a dose of 5 x 10 15 cm -2 . The appearance of a new peak at 3.44 eV and dose dependent suppression of the YL band are attributed to the dissociation of V Ga O N complexes caused by high energy ion implantation

  5. Ion implantation and bio-compatibility

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Yoshiaki; Kusakabe, Masahiro [Sony Corp., Tokyo (Japan). Corporate Research Labs.; Iwaki, Masaya

    1992-07-01

    Surface modification of polymers by ion implantation has been carried out to control surface properties such as conductivity, wettability, blood and tissue compatibility. Ion implantation into silicone rubber, polystyrene and segmented polyurethane was performed at 150 keV with doses ranging from 1 x 10[sup 15] to 3 x 10[sup 17] ions/cm[sup 2] to improve bio-compatibility. The platelet accumulation on ion implanted silicone rubber decreased and non-thrombogenicity of ion implanted specimens were improved. The ion implanted polystyrene and segmented polyurethane have been found to exhibit remarkably higher adhesion and spreading of endothelial cells compared to the non-implanted case. It is concluded that ion implantation into polymers is effective in controlling their bio-compatibility. (author).

  6. Cochlear implants in Waardenburg syndrome.

    Science.gov (United States)

    Cullen, Robert D; Zdanski, Carlton; Roush, Patricia; Brown, Carolyn; Teagle, Holly; Pillsbury, Harold C; Buchman, Craig

    2006-07-01

    Waardenburg syndrome is an autosomal-dominant syndrome characterized by dystopia canthorum, hyperplasia of the eyebrows, heterochromia irides, a white forelock, and sensorineural hearing loss in 20% to 55% of patients. This patient population accounts for approximately 2% of congenitally deaf children. The purpose of this retrospective case review was to describe the outcomes for those children with Waardenburg syndrome who have undergone cochlear implantation. Pediatric cochlear implant recipients with documented evidence of Waardenburg syndrome underwent retrospective case review. All patients received their cochlear implants at the study institution followed by outpatient auditory habilitation. Charts were reviewed for etiology and duration of deafness, age at time of cochlear implantation, perioperative complications, duration of use, and performance outcomes. Results of standard tests batteries for speech perception and production administered as a part of the patients' auditory habilitation were reviewed. Seven patients with Waardenburg syndrome and cochlear implants were identified. The average age at implantation was 37 months (range, 18-64 months) and the average duration of use was 69 months (range, 12-143 months). All of these patients are active users of their devices and perform very well after implantation. There were no major complications in this small group of patients. Children with congenital sensorineural hearing loss without other comorbidities (e.g., developmental delay, inner ear malformations) perform well when they receive cochlear implantation and auditory habilitation. Patients with Waardenburg syndrome can be expected to have above-average performance after cochlear implantation.

  7. Physical Properties of Silicone Gel Breast Implants.

    Science.gov (United States)

    Jewell, Mark L; Bengtson, Bradley P; Smither, Kate; Nuti, Gina; Perry, TracyAnn

    2018-04-28

    Surgical applications using breast implants are individualized operations to fill and shape the breast. Physical properties beyond shape, size, and surface texture are important considerations during implant selection. Compare form stability, gel material properties, and shell thickness of textured shaped, textured round, and smooth round breast implants from 4 manufacturers: Allergan, Mentor, Sientra, and Establishment Labs through bench testing. Using a mandrel height gauge, form stability was measured by retention of dimensions on device movement from a horizontal to vertical supported orientation. Dynamic response of gel material (gel cohesivity, resistance to gel deformation, energy absorption) was measured using a synchronized target laser following application of graded negative pressure. Shell thickness was measured using digital thickness gauge calipers. Form stability, gel material properties, and shell thickness differed across breast implants. Of textured shaped devices, Allergan Natrelle 410 exhibited greater form stability than Mentor MemoryShape and Sientra Shaped implants. Allergan Inspira round implants containing TruForm 3 gel had greater form stability, higher gel cohesivity, greater resistance to gel deformation, and lower energy absorption than those containing TruForm 2 gel and in turn, implants containing TruForm 1 gel. Shell thickness was greater for textured versus smooth devices, and differed across styles. Gel cohesivity, resistance to gel deformation, and energy absorption are directly related to form stability, which in turn determines shape retention. These characteristics provide information to aid surgeons choosing an implant based on surgical application, patient tissue characteristics, and desired outcome.

  8. X-Ray diffraction studies of silicon implanted with high energy ions

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.; Graeff, W.

    1998-01-01

    The character of lattice deformation in silicon implanted with high energy alpha-particles and protons was studied using a number of X-ray methods. The experiments included double-crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter distribution proportional to the vacancy-interstitial distribution coming from the Biersack-ziegler theory. The theoretical rocking curves and distribution in back-reflection double-crystal and section topographs well corresponding to the experimental results were calculated using numerical integration of the takagi-taupin equations

  9. Compensating for Tissue Changes in an Ultrasonic Power Link for Implanted Medical Devices.

    Science.gov (United States)

    Vihvelin, Hugo; Leadbetter, Jeff; Bance, Manohar; Brown, Jeremy A; Adamson, Robert B A

    2016-04-01

    Ultrasonic power transfer using piezoelectric devices is a promising wireless power transfer technology for biomedical implants. However, for sub-dermal implants where the separation between the transmitter and receiver is on the order of several acoustic wavelengths, the ultrasonic power transfer efficiency (PTE) is highly sensitive to the distance between the transmitter and receiver. This sensitivity can cause large swings in efficiency and presents a serious limitation on battery life and overall performance. A practical ultrasonic transcutaneous energy transfer (UTET) system design must accommodate different implant depths and unpredictable acoustic changes caused by tissue growth, hydration, ambient temperature, and movement. This paper describes a method used to compensate for acoustic separation distance by varying the transmit (Tx) frequency in a UTET system. In a benchtop UTET system we experimentally show that without compensation, power transfer efficiency can range from 9% to 25% as a 5 mm porcine tissue sample is manipulated to simulate in situ implant conditions. Using an active frequency compensation method, we show that the power transfer efficiency can be kept uniformly high, ranging from 20% to 27%. The frequency compensation strategy we propose is low-power, non-invasive, and uses only transmit-side measurements, making it suitable for active implanted medical device applications.

  10. Implantable Biomedical Signal Monitoring Using RF Energy Harvestingand On-Chip Antenna

    Directory of Open Access Journals (Sweden)

    Jiann-Shiun Yuan

    2015-08-01

    Full Text Available This paper presents the design of an energy harvesting wireless and battery-less silicon-on-chip (SoC device that can be implanted in the human body to monitor certain health conditions. The proposed architecture has been designed on TSMC 0.18μm CMOS ICs and is an integrated system with a rectenna (antenna and rectifier and transmitting circuit, all on a single chip powered by an external transmitter and that is small enough to be inserted in the human eye, heart or brain. The transmitting and receiving antennas operate in the 5.8- GHz ISM band and have a -10dB gain. The distinguishing feature of this design is the rectenna that comprises of a singlestage diode connected NMOS rectifier and a 3-D on-chip antenna that occupies only 2.5 × 1 × 2.8 mm3 of chip area and has the ability to communicate within proximity of 5 cm while giving 10% efficiency. The external source is a reader that powers up the RF rectifier in the implantable chip triggering it to start sending data back to the reader enabling an efficient method of health evaluation for the patient.

  11. Metallic artefact reduction with monoenergetic dual-energy CT: systematic ex vivo evaluation of posterior spinal fusion implants from various vendors and different spine levels.

    Science.gov (United States)

    Guggenberger, R; Winklhofer, S; Osterhoff, G; Wanner, G A; Fortunati, M; Andreisek, G; Alkadhi, H; Stolzmann, P

    2012-11-01

    To evaluate optimal monoenergetic dual-energy computed tomography (DECT) settings for artefact reduction of posterior spinal fusion implants of various vendors and spine levels. Posterior spinal fusion implants of five vendors for cervical, thoracic and lumbar spine were examined ex vivo with single-energy (SE) CT (120 kVp) and DECT (140/100 kVp). Extrapolated monoenergetic DECT images at 64, 69, 88, 105 keV and individually adjusted monoenergy for optimised image quality (OPTkeV) were generated. Two independent radiologists assessed quantitative and qualitative image parameters for each device and spine level. Inter-reader agreements of quantitative and qualitative parameters were high (ICC = 0.81-1.00, κ = 0.54-0.77). HU values of spinal fusion implants were significantly different among vendors (P metallic artefacts from implants than SECT. Use of individual keV values for vendor and spine level is recommended. • Artefacts pose problems for CT following posterior spinal fusion implants. • CT images are interpreted better with monoenergetic extrapolation using dual-energy (DE) CT. • DECT extrapolation improves image quality and reduces metallic artefacts over SECT. • There were considerable differences in monoenergy values among vendors and spine levels. • Use of individualised monoenergy values is indicated for different metallic hardware devices.

  12. Buried melting in germanium implanted silicon by millisecond flash lamp annealing

    International Nuclear Information System (INIS)

    Voelskow, Matthias; Yankov, Rossen; Skorupa, Wolfgang; Pezoldt, Joerg; Kups, Thomas

    2008-01-01

    Flash lamp annealing in the millisecond range has been used to induce buried melting in silicon. For this purpose high dose high-energy germanium implantation has been employed to lower the melting temperature of silicon in a predetermined depth region. Subsequent flash lamp treatment at high energy densities leads to local melting of the germanium rich layer. The thickness of the molten layer has been found to depend on the irradiation energy density. During the cool-down period, epitaxial crystallization takes place resulting in a largely defect-free layer

  13. Radiographic evaluation of marginal bone levels adjacent to parallel-screw cylinder machined-neck implants and rough-surfaced microthreaded implants using digitized panoramic radiographs.

    Science.gov (United States)

    Nickenig, Hans-Joachim; Wichmann, Manfred; Schlegel, Karl Andreas; Nkenke, Emeka; Eitner, Stephan

    2009-06-01

    The purpose of this split-mouth study was to compare macro- and microstructure implant surfaces at the marginal bone level during a stress-free healing period and under functional loading. From January to February 2006, 133 implants (70 rough-surfaced microthreaded implants and 63 machined-neck implants) were inserted in the mandible of 34 patients with Kennedy Class I residual dentitions and followed until February 2008. The marginal bone level was radiographically determined, using digitized panoramic radiographs, at four time points: at implant placement (baseline level), after the healing period, after 6 months of functional loading, and at the end of follow-up. The median follow-up time was 1.9 (range: 1.9-2.1) years. The machined-neck group had a mean crestal bone loss of 0.5 mm (range: 0-2.3) after the healing period, 0.8 mm after 6 months (range: 0-2.4), and 1.1 mm (range: 0-3) at the end of follow-up. The rough-surfaced microthreaded implant group had a mean bone loss of 0.1 mm (range: -0.4-2) after the healing period, 0.4 mm (range: 0-2.1) after 6 months, and 0.5 mm (range: 0-2.1) at the end of follow-up. The two implant types showed significant differences in marginal bone levels (healing period: P=0.01; end of follow-up: Pimplants showed that implants with the microthreaded design caused minimal changes in crestal bone levels during healing (stress-free) and under functional loading.

  14. Properties of short-range and long-range correlation energy density functionals from electron-electron coalescence

    International Nuclear Information System (INIS)

    Gori-Giorgi, Paola; Savin, Andreas

    2006-01-01

    The combination of density-functional theory with other approaches to the many-electron problem through the separation of the electron-electron interaction into a short-range and a long-range contribution is a promising method, which is raising more and more interest in recent years. In this work some properties of the corresponding correlation energy functionals are derived by studying the electron-electron coalescence condition for a modified (long-range-only) interaction. A general relation for the on-top (zero electron-electron distance) pair density is derived, and its usefulness is discussed with some examples. For the special case of the uniform electron gas, a simple parametrization of the on-top pair density for a long-range only interaction is presented and supported by calculations within the ''extended Overhauser model.'' The results of this work can be used to build self-interaction corrected short-range correlation energy functionals

  15. Nitrogen implantation in steel with an impulsive ion implanter

    International Nuclear Information System (INIS)

    Feugeas, J.N.; Gonzalez, C.O.; Hermida, J.; Nieto, M.; Peyronel, M.F.; Sanchez, G.

    1990-01-01

    This work describes the results of steel implantation with nitrogen, with a pulsed accelerator which provides a continuous ion energy spectrum giving a uniform profile of nitrogen without changing its operative conditions. (Author)

  16. High-tech hip implant for wireless temperature measurements in vivo.

    Directory of Open Access Journals (Sweden)

    Georg Bergmann

    Full Text Available When walking long distances, hip prostheses heat up due to friction. The influence of articulating materials and lubricating properties of synovia on the final temperatures, as well as any potential biological consequences, are unknown. Such knowledge is essential for optimizing implant materials, identifying patients who are possibly at risk of implant loosening, and proving the concepts of current joint simulators. An instrumented hip implant with telemetric data transfer was developed to measure the implant temperatures in vivo. A clinical study with 100 patients is planned to measure the implant temperatures for different combinations of head and cup materials during walking. This study will answer the question of whether patients with synovia with poor lubricating properties may be at risk for thermally induced bone necrosis and subsequent implant failure. The study will also deliver the different friction properties of various implant materials and prove the significance of wear simulator tests. A clinically successful titanium hip endoprosthesis was modified to house the electronics inside its hollow neck. The electronics are powered by an external induction coil fixed around the joint. A temperature sensor inside the implant triggers a timer circuit, which produces an inductive pulse train with temperature-dependent intervals. This signal is detected by a giant magnetoresistive sensor fixed near the external energy coil. The implant temperature is measured with an accuracy of 0.1°C in a range between 20°C and 58°C and at a sampling rate of 2-10 Hz. This rate could be considerably increased for measuring other data, such as implant strain or vibration. The employed technique of transmitting data from inside of a closed titanium implant by low frequency magnetic pulses eliminates the need to use an electrical feedthrough and an antenna outside of the implant. It enables the design of mechanically safe and simple instrumented implants.

  17. Helium implanted RAFM steels studied by positron beam Doppler Broadening and Thermal Desorption Spectroscopy

    International Nuclear Information System (INIS)

    Carvalho, I; Schut, H; Fedorov, A; Luzginova, N; Desgardin, P; Sietsma, J

    2013-01-01

    Reduced Activation Ferritic/Martensitic steels are being extensively studied because of their foreseen application in fusion and Generation IV fission reactors. To mimic neutron irradiation conditions, Eurofer97 samples were implanted with helium ions at energies of 500 keV and 2 MeV and doses of 5x10 15 -10 16 He /cm 2 , creating atomic displacements in the range 0.07–0.08 dpa. The implantation induced defects were characterized by positron beam Doppler Broadening (DB) and Thermal Desorption Spectroscopy (TDS). The DB data could be fitted with one or two layers of material, depending on the He implantation energy. The S and W values obtained for the implanted regions suggest the presence of not only vacancy clusters but also positron traps of the type present in a sub-surface region found on the reference sample. The traps found in the implanted layers are expected to be He n V m clusters. For the 2 MeV, 10 16 He/cm 2 implanted sample, three temperature regions can be observed in the TDS data. Peaks below 450 K can be ascribed to He released from vacancies in the neighbourhood of the surface, the phase transition is found at 1180 K and the peak at 1350 K is likely caused by the migration of bubbles.

  18. Design of an end station for a high current ion implantation system

    International Nuclear Information System (INIS)

    Kranik, J.R.

    1979-01-01

    During the last 4 to 5 years IBM has been involved in an effort to develop a high current Ion Implantation system with pre-deposition capabilities. The system is dedicated to Arsenic implants, involving doses > 1 x 10 15 ions/cm 2 in the energy range of 30 to 60 keV. A major portion of this effort involved the design of an associated end station capable of producing high uniformity implants with beam currents in the 0.5 to 6.0 mA range. The end station contains all components from the exit of the analyzing magnet, including the exit beamline, process chamber, scan system, wafer handling system, high vacuum pumping package, beam optics, dosimetry system, and associated electronic controls. The unit was restricted to a six wafer (82 mm) batch size to maintain process line compatibility. In addition, implant dose non-uniformity objectives were established at +- 3% (2σ) within a wafer and +- 2% (2σ) wafer-to-wafer. Also, the system was to be capable of implanting 24 wafers/hour at a dose of 7.5 x 10 15 ions/cm 2 . Major consideration in the design was afforded to high reliability, ease of maintenance and production level throughput capabilities. The rationale and evolution of the final end station design is described. (author)

  19. He bubble sites in implanted copper alloy

    International Nuclear Information System (INIS)

    Moreno, D.; Eliezer, D.

    1996-01-01

    Structural materials in fusion reactors will be exposed to helium implantation over a broad range of energies. The deformation and partial exfoliation of surface layers due to hydrogen isotopes and helium contribute to the total erosion of the first wall. For this reason, one of the most important criteria in the choice of materials for the first wall of fusion reactors is the material's damage resistance. Recent advances in developing nuclear fusion reactors reveal that efficient heat removal from plasma-facing components is very important. Copper and copper alloys are considered an attractive choice for transporting such a high heat flux without thermal damage as they have high thermal conductivity. In the present study the authors report on the structural changes in a copper alloy, due to the helium implantation on the very near surface area, observed by transmission electron microscopy

  20. Radioactive implants for medical applications; Radioaktive Implantate fuer medizinische Anwendungen

    Energy Technology Data Exchange (ETDEWEB)

    Schubert, M.

    2008-07-01

    The long-term success of surgery is often diminished by excessive wound healing, which makes another intervention necessary. Locally applied radionuclides with short range radiation can prevent such benign hyperproliferation. As pure electron emitter with a half-life of 14.3 days and a mean energy of 694.9 keV (E{sub max}=1710.48 keV) {sup 32}P is a suitable radionuclide which can be produced from the stable {sup 31}P by the capture of thermal neutrons (1 x 10{sup 14} /s/cm{sup 2}) in a nuclear reactor. After a typical irradiation time (14 days) the ratio of {sup 32}P to {sup 31}P is 1.4 x 10{sup -5} to 1. Implants made of polymer and/or bioabsorbable material functioning as a carrier of the radioactive emitter allow - as opposed to metallic implants - for new applications for this type of radiotherapy. In this thesis a manufacturing method for previously not available organic, radioactive implants has been developed and a corresponding dosimetry system has been established. By means of ion implantation, {sup 32}P ions with up to 180 keV can be shot some 100 nm deep into organic implant materials. For a typical dose (15 Gy over 7 days, 1 mm distance from the implant) an activity of 75 kBq is needed corresponding to 1.3 x 10{sup 11} {sup 32}P ions. The sputter ion gun, which has been optimized for this application, creates an ion beam with high beam current (> 14 {mu}A P{sup -}) and low emittance (< 4 {pi} mm mrad {radical}(MeV)). Because of the good beam quality also small implants (<1 mm{sup 2}) can be manufactured with high efficiency. The unintentionally co-implanted portion of molecules and nuclides of the same mass (e.g. {sup 31}PH, {sup 16}O{sub 2} and {sup 32}S) could be reduced from approximately 500 to 50 by an improvement of the isotope selection at {sup 32}P beam creation. Hence, in comparison with the best hitherto existing implantation methods, the radiation dose of the implant could be reduced by an order of magnitude. With regard to the beta

  1. Reliable and energy-efficient communications for wireless biomedical implant systems.

    Science.gov (United States)

    Ntouni, Georgia D; Lioumpas, Athanasios S; Nikita, Konstantina S

    2014-11-01

    Implant devices are used to measure biological parameters and transmit their results to remote off-body devices. As implants are characterized by strict requirements on size, reliability, and power consumption, applying the concept of cooperative communications to wireless body area networks offers several benefits. In this paper, we aim to minimize the power consumption of the implant device by utilizing on-body wearable devices, while providing the necessary reliability in terms of outage probability and bit error rate. Taking into account realistic power considerations and wireless propagation environments based on the IEEE P802.l5 channel model, an exact theoretical analysis is conducted for evaluating several communication scenarios with respect to the position of the wearable device and the motion of the human body. The derived closed-form expressions are employed toward minimizing the required transmission power, subject to a minimum quality-of-service requirement. In this way, the complexity and power consumption are transferred from the implant device to the on-body relay, which is an efficient approach since they can be easily replaced, in contrast to the in-body implants.

  2. Amorphization and the effect of implanted ions in SiC

    International Nuclear Information System (INIS)

    Snead, L.L.; Zinkle, S.J.

    1994-01-01

    The effects of implanted ion chemistry and displacement damage on the amorphization threshold dose of SiC were studied using cross-section transmission electron microscopy. Room temperature as well as 200 and 400 C irradiations were carried out with 3.6 MeV Fe, 1.8 MeV Cl, 1 MeV He or 0.56 MeV Si ions. The room temperature amorphization threshold dose in irradiated regions well separated from the implanted ions was found to range from 0.3 to 0.5 dpa for the four different ion species. The threshold dose for amorphization in the He, Si and Fe ion-implanted regions was also ∼0.3 to 0.5 dpa. On the other hand, the amorphization threshold in the Cl-implanted region was only about 0.1 dpa. The volume change associated with amorphization was ∼17%. No evidence for amorphization was obtained in specimens irradiated at 200 or 400 C. An understanding of the microstructural evolution of SiC under irradiation is critical to the application of these materials in fusion energy systems

  3. Impact of dopant profiles on the end of range defects for low energy germanium preamorphized silicon

    International Nuclear Information System (INIS)

    Camillo-Castillo, R.A.; Law, M.E.; Jones, K.S.

    2004-01-01

    As the industry continues to aggressively scale CMOS technology, the shift to lower energy ion implantation becomes essential. The consequent shallower amorphous layers result in dopant profiles that are in closer proximity to the end of range (EOR) damage and therefore a better understanding of the interaction between the dopant atoms and the EOR is required. A study is conducted on the influence of dopant profiles on the behavior of the EOR defects. Czochralski-grown silicon wafers are preamorphized with 1 x 10 15 cm -2 , 10 keV Ge + ions and subsequently implanted with 1 x 10 15 cm -2 , 1 keV B + ions. A sequence of rapid thermal and furnace anneals are performed at 750 deg. C under a nitrogen ambient for periods of 1 s up to 6 h. Plan view transmission electron microscopy (PTEM) reveals a significant difference in the defect evolution for samples with and without boron, suggesting that the boron influences the evolution of the EOR defects. The extended defects observed for samples which contain boron appear as dot-like defects which are unstable and dissolve after very short anneal times. The defect evolution however, in samples without boron follows an Oswald ripening behavior and form {3 1 1}-type defects and dislocation loops. Hall effect measurements denote a high initial activation and subsequent deactivation of the dopant atoms which is characteristic of the formation of boron interstitial clusters. Diffusion analyses via secondary ion mass spectroscopy (SIMS) support this theory

  4. Long-range outlook of energy demands and supplies

    International Nuclear Information System (INIS)

    1984-01-01

    An interim report on the long-range outlook of energy demands and supplies in Japan as prepared by an ad hoc committee, Advisory Committee for Energy was given for the period up to the year 2000. As the energy demands in terms of crude oil, the following figures are set: 460 million kl for 1990, 530 million kl for 1995, and 600 million kl for 2000. In Japan, without domestic energy resources, over 80% of the primary energy has been imported; the reliance on Middle East where political situation is unstable, for petroleum is very large. The following things are described. Background and policy; energy demands in industries, transports, and people's livelihood; energy supplies by coal, nuclear energy, petroleum, etc.; energy demand/supply outlook for 2000. (Mori, K.)

  5. Characteristic electron energy loss spectra in SiC buried layers formed by C+ implantation into crystalline silicon

    International Nuclear Information System (INIS)

    Yan Hui; Chen Guanghua; Kwok, R.W.M.

    1998-01-01

    SiC buried layers were synthesized by a metal vapor vacuum arc ion source, with C + ions implanted into crystalline Si substrates. According to X-ray photoelectron spectroscopy, the characteristic electron energy loss spectra of the SiC buried layers were studied. It was found that the characteristic electron energy loss spectra depend on the profiles of the carbon content, and correlate well with the order of the buried layers

  6. Variable energy positron beam study of Xe-implanted uranium oxide

    International Nuclear Information System (INIS)

    Djourelov, Nikolay; Marchand, Benoît; Marinov, Hristo; Moncoffre, Nathalie; Pipon, Yves; Nédélec, Patrick; Toulhoat, Nelly; Sillou, Daniel

    2013-01-01

    Doppler broadening of annihilation gamma-line combined with a slow positron beam was used to measure the momentum density distribution of annihilating pair in a set of sintered UO 2 samples. The influence of surface polishing, of implantation with 800-keV 136 Xe 2+ at fluences of 1 × 10 15 and 1 × 10 16 Xe cm −2 , and of annealing were studied by following the changes of the momentum distribution shape by means of S and W parameters. The program used for this purpose was VEPFIT. At the two fluences in the stoichiometric as-implanted UO 2 , formation of Xe bubbles was not detected. The post-implantation annealing and over-stoichiometry in the as-implanted sample caused Xe precipitation and formation of Xe bubbles.

  7. Study of structural modifications induced by ion implantation in austenitic stainless steel; Etude des modifications structurales induites par implantation ionique dans les aciers austenitiques

    Energy Technology Data Exchange (ETDEWEB)

    Dudognon, J

    2006-12-15

    Ion implantation in steels, although largely used to improve the properties of use, involves structural modifications of the surface layer, which remain still prone to controversies. Within this context, various elements (N, Ar, Cr, Mo, Ag, Xe and Pb) were implanted (with energies varying from 28 to 280 keV) in a 316LVM austenitic stainless steel. The implanted layer has a thickness limited to 80 nm and a maximum implanted element concentration lower than 10 % at. The analysis of the implanted layer by grazing incidence X ray diffraction highlights deformations of austenite lines, appearance of ferrite and amorphization of the layer. Ferritic phase which appears at the grain boundaries, whatever the implanted element, is formed above a given 'threshold' of energy (produced of fluency by the energy of an ion). The formation of ferrite as well as the amorphization of the implanted layer depends only on energy. In order to understand the deformations of austenite diffraction lines, a simulation model of these lines was elaborated. The model correctly describes the observed deformations (broadening, shift, splitting) with the assumption that the expansion of the austenitic lattice is due to the presence of implanted element and is proportional to the element concentration through a coefficient k'. This coefficient only depends on the element and varies linearly with its radius. (author)

  8. Long range energy transfer in graphene hybrid structures

    International Nuclear Information System (INIS)

    Gonçalves, Hugo; Bernardo, César; Moura, Cacilda; Belsley, Michael; Schellenberg, Peter; Ferreira, R A S; André, P S; Stauber, Tobias

    2016-01-01

    In this work we quantify the distance dependence for the extraction of energy from excited chromophores by a single layer graphene flake over a large separation range. To this end hybrid structures were prepared, consisting of a thin (2 nm) layer of a polymer matrix doped with a well chosen strongly fluorescent organic molecule, followed by an un-doped spacer layer of well-defined thicknesses made of the same polymer material and an underlying single layer of pristine, undoped graphene. The coupling strength is assessed through the variation of the fluorescence decay kinetics as a function of distance between the graphene and the excited chromophore molecules. Non-radiative energy transfer to the graphene was observed at distances of up to 60 nm; a range much greater than typical energy transfer distances observed in molecular systems. (paper)

  9. X-ray diffraction studies of silicon implanted with high energy ions

    Energy Technology Data Exchange (ETDEWEB)

    Wieteska, K [Institute of Atomic Energy, Otwock-Swierk, (Poland); Wierzchowski, W [Institute of Electronic Materials Technology, Warsaw, (Poland); Graeff, W [Hasylab at Desy, Hamburg, (Germany)

    1997-12-31

    The character of lattice deformation in silicon in implanted with high energy {alpha} particles and protons was studied with a number of X-ray methods. The experiments included double crystal spectrometer method as well as single crystal section and projection topography realised both with conventional and synchrotron X-ray sources. All observed diffraction patterns were reasonably explainable assuming the lattice parameter depth distribution proportional to the vacancy-interstitial distribution coming from the Biersack-Ziegler theory. The theoretical rocking curves and density distribution in back-reflection double-crystal and section topography well corresponding to experimental results were calculated using numerical integration of the Takagi-Taupin equations. 9 figs.

  10. N+ ion-implantation-induced defects in ZnO studied with a slow positron beam

    International Nuclear Information System (INIS)

    Chen, Z Q; Sekiguchi, T; Yuan, X L; Maekawa, M; Kawasuso, A

    2004-01-01

    Undoped ZnO single crystals were implanted with multiple-energy N + ions ranging from 50 to 380 keV with doses from 10 12 to 10 14 cm -2 . Positron annihilation measurements show that vacancy defects are introduced in the implanted layers. The concentration of the vacancy defects increases with increasing ion dose. The annealing behaviour of the defects can be divided into four stages, which correspond to the formation and recovery of large vacancy clusters and the formation and disappearance of vacancy-impurity complexes, respectively. All the implantation-induced defects are removed by annealing at 1200 deg. C. Cathodoluminescence measurements show that the ion-implantation-induced defects act as nonradiative recombination centres to suppress the ultraviolet (UV) emission. After annealing, these defects disappear gradually and the UV emission reappears, which coincides with positron annihilation measurements. Hall measurements reveal that after N + implantation, the ZnO layer still shows n-type conductivity

  11. Subnanosecond timing with ion-implanted detectors

    International Nuclear Information System (INIS)

    Rijken, H.A.; Klein, S.S.; Jacobs, W.; Teeuwen, L.J.H.G.W.; Voigt, M.J.A. de; Burger, P.

    1992-01-01

    The energy resolution of ion-implanted charged particle detectors may be improved by decreasing the thickness of the implanted detector window to minimize energy straggling. Because of the resistance of this layer, however, the timing depends on the position of entry. Two solutions to this conflict between energy resolution and time resolution are studied: evaporating a very thin aluminum layer on the detector window and fabricating a rectangular detector. Both solutions are shown to be successful with a total time resolution in the low subnanosecond region (<200 ps). (orig.)

  12. Effect of isochronal annealing on photoluminescence properties of Mn-implanted GaN

    International Nuclear Information System (INIS)

    Majid, Abdul; Ali, Akbar

    2009-01-01

    Mn ions were implanted into metal organic chemical vapour deposition (MOCVD)-grown GaN with dose ranging from 10 14 to 5x10 16 cm -2 . Isochronal annealing at 800 and 850 deg. C has been carried out after implantation of the samples. Photoluminescence measurements were carried out on the implanted samples before and after annealing. A peak found at 3.34 eV in the spectra of implanted samples after annealing at 850 deg. C is attributed to the stacking faults. Blue and green luminescence bands have been observed suppressed and an oxygen-related peak appeared at 3.44 eV in the PL spectra. The suppression of blue and green luminescence bands has been assigned to dissociation of V Ga O N complex. Near-band-edge (NBE) peak exhibited a blue shift after 800 deg. C anneal and then red shift to restore its original energy position when annealed at 850 deg. C

  13. Effect of isochronal annealing on photoluminescence properties of Mn-implanted GaN

    Energy Technology Data Exchange (ETDEWEB)

    Majid, Abdul [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: abdulmajid40@yahoo.com; Ali, Akbar [Advance Materials Physics Laboratory, Physics Department, Quaid-i-Azam University, Islamabad (Pakistan)], E-mail: akbar@qau.edu.pk

    2009-01-15

    Mn ions were implanted into metal organic chemical vapour deposition (MOCVD)-grown GaN with dose ranging from 10{sup 14} to 5x10{sup 16} cm{sup -2}. Isochronal annealing at 800 and 850 deg. C has been carried out after implantation of the samples. Photoluminescence measurements were carried out on the implanted samples before and after annealing. A peak found at 3.34 eV in the spectra of implanted samples after annealing at 850 deg. C is attributed to the stacking faults. Blue and green luminescence bands have been observed suppressed and an oxygen-related peak appeared at 3.44 eV in the PL spectra. The suppression of blue and green luminescence bands has been assigned to dissociation of V{sub Ga}O{sub N} complex. Near-band-edge (NBE) peak exhibited a blue shift after 800 deg. C anneal and then red shift to restore its original energy position when annealed at 850 deg. C.

  14. Activation and thermal stability of ultra-shallow B+-implants in Ge

    International Nuclear Information System (INIS)

    Yates, B. R.; Darby, B. L.; Jones, K. S.; Petersen, D. H.; Hansen, O.; Lin, R.; Nielsen, P. F.; Romano, L.; Doyle, B. L.; Kontos, A.

    2012-01-01

    The activation and thermal stability of ultra-shallow B + implants in crystalline (c-Ge) and preamorphized Ge (PA-Ge) following rapid thermal annealing was investigated using micro Hall effect and ion beam analysis techniques. The residual implanted dose of ultra-shallow B + implants in Ge was characterized using elastic recoil detection and was determined to correlate well with simulations with a dose loss of 23.2%, 21.4%, and 17.6% due to ion backscattering for 2, 4, and 6 keV implants in Ge, respectively. The electrical activation of ultra-shallow B + implants at 2, 4, and 6 keV to fluences ranging from 5.0 × 10 13 to 5.0 × 10 15 cm −2 was studied using micro Hall effect measurements after annealing at 400–600 °C for 60 s. For both c-Ge and PA-Ge, a large fraction of the implanted dose is rendered inactive due to the formation of a presumable B-Ge cluster. The B lattice location in samples annealed at 400 °C for 60 s was characterized by channeling analysis with a 650 keV H + beam by utilizing the 11 B(p, α)2α nuclear reaction and confirmed the large fraction of off-lattice B for both c-Ge and PA-Ge. Within the investigated annealing range, no significant change in activation was observed. An increase in the fraction of activated dopant was observed with increasing energy which suggests that the surface proximity and the local point defect environment has a strong impact on B activation in Ge. The results suggest the presence of an inactive B-Ge cluster for ultra-shallow implants in both c-Ge and PA-Ge that remains stable upon annealing for temperatures up to 600 °C.

  15. Irradiation influence on Mylar and Makrofol induced by argon ions in a plasma immersion ion implantation system

    Energy Technology Data Exchange (ETDEWEB)

    Hassan, A. [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); El-Saftawy, A.A., E-mail: aama1978@yahoo.com [Accelerators & Ion Sources Department, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Aal, S.A. Abd El [Central Lab. for Elemental & Isotopic Analysis, Nuclear Research Center, Atomic Energy Authority, P.O. 13759, Cairo (Egypt); Ghazaly, M. El [Physiology Department, College of Medicine, Taif University, P.O. 888, Taif (Saudi Arabia); Physics Department, Faculty of Science, Zagazig University, P.O. 44519, Zagazig (Egypt)

    2015-08-30

    Highlights: • A home-built plasma immersion ion implantation system was tested in modifying surfaces. • Wettability modifications within the energy range 10 keV implantation are not investigated elsewhere, up to our knowledge. • The wettability of Mylar and Makrofol surface was enhanced by the dual effect of ion implantation and plasma treatment. • The improved wettability was found to depend on both surface roughness and chemistry. • The adhesive bonding and surface energy of the polymers are improved. - Abstract: Mylar and Makrofol polycarbonate polymers were irradiated by Ar ions in a plasma immersion ion implantation (PIII) system. The surface wettability of both polymers was investigated by employing the contact angle method. The measured contact angles were found to depend on the surface layer properties. Good wetting surfaces were found to depend not only on surface roughness but also on its chemistry that analyzed by Fourier transform infrared (FTIR) spectroscopy. Surfaces topography and roughness was investigated and correlated to their surface energy which studied with the aid of acid-base model for evaluating the improvement of surface wettability after irradiation. PIII improves polymers surface properties efficiently in a controllable way.

  16. Implanted-tritium permeation experiments

    International Nuclear Information System (INIS)

    Longhurst, G.R.; Holland, D.F.; Casper, L.A.; Hsu, P.Y.; Miller, L.G.; Schmunk, R.E.; Watts, K.D.; Wilson, C.J.; Kershner, C.J.; Rogers, M.L.

    1982-04-01

    In fusion reactors, charge exchange neutral atoms of tritium coming from the plasma will be implanted into the first wall and other interior structures. EG and G Idaho is conducting two experiments to determine the magnitude of permeation into the coolant streams and the retention of tritium in those structures. One experiment uses an ion gun to implant deuterium. The ion gun will permit measurements to be made for a variety of implantation energies and fluxes. The second experiment utilizes a fission reactor to generate a tritium implantation flux by the 3 He(n,p) 3 H reaction. This experiment will simulate the fusion reactor radiation environment. We also plan to verify a supporting analytical code development program, in progress, by these experiments

  17. Electrochemical investigations of ion-implanted oxide films

    International Nuclear Information System (INIS)

    Schultze, J.W.; Danzfuss, B.; Meyer, O.; Stimming, U.

    1985-01-01

    Oxide films (passive films) of 40-50 nm thickness were prepared by anodic polarization of hafnium and titanium electrodes up to 20 V. Multiple-energy ion implantation of palladium, iron and xenon was used in order to obtain modified films with constant concentration profiles of the implanted ions. Rutherford backscattering, X-ray photoelectron spectroscopy measurements and electrochemical charging curves prove the presence of implanted ions, but electrochemical and photoelectrochemical measurements indicate that the dominating effect of ion implantation is the disordering of the oxide film. The capacity of hafnium electrodes increases as a result of an increase in the dielectric constant D. For titanium the Schottky-Mott analysis shows that ion implantation causes an increase in D and the donor concentration N. Additional electronic states in the band gap which are created by the implantation improve the conductivity of the semiconducting or insulating films. This is seen in the enhancement of electron transfer reactions and its disappearance during repassivation and annealing. Energy changes in the band gap are derived from photoelectrochemical measurements; the absorption edge of hafnium oxide films decreases by approximately 2 eV because of ion implantation, but it stays almost constant for titanium oxide films. All changes in electrochemical behavior caused by ion implantation show little variation with the nature of the implanted ion. Hence the dominating effect seems to be a disordering of the oxide. (Auth.)

  18. Numerical simulation on range of high-energy electron moving in accelerator target

    International Nuclear Information System (INIS)

    Shao Wencheng; Sun Punan; Dai Wenjiang

    2008-01-01

    In order to determine the range of high-energy electron moving in accelerator target, the range of electron with the energy range of 1 to 100 MeV moving in common target material of accelerator was calculated by Monte-Carlo method. Comparison between the calculated result and the published data were performed. The results of Monte-Carlo calculation are in good agreement with the published data. Empirical formulas were obtained for the range of high-energy electron with the energy range of 1 to 100 MeV in common target material by curve fitting, offering a series of referenced data for the design of targets in electron accelerator. (authors)

  19. Surface mechanical attrition treatment of tungsten and its behavior under low energy deuterium plasma implantation relevant to ITER divertor conditions

    Energy Technology Data Exchange (ETDEWEB)

    Xu, H.Y.; Yuan, Y.; Fu, B.Q.; Godfrey, A.; Liu, W. [Tsinghua Univ.. Lab. of Advanced Materials, Beijing (China); Zhang, Y.B. [Technical Univ. og Denmark. DTU Risoe Campus, Roskilde (Denmark); Tao, N.R. [Chinese Academy of Sciences, Shenyang (China)

    2012-11-01

    In the light of a foreseen application for tungsten (W) as an ITER divertor material samples have been plastically deformed by a surface mechanical attrition treatment (SMAT) and by cold rolling. The resistance to blister formation by low energy deuterium implantation in these samples has been examined, with the result that the structure is significantly improved as the structural scale is reduced to the nanometer range in the SMAT sample. The distribution of blisters in this sample is however bimodal, due to the formation of several very large blisters, which are heterogeneously distributed. The observations suggest that process optimization must be a next step in the development with a view to the application of plastically deformed W in a fusion reactor. (Author)

  20. Implantation of β-emitters on biomedical implants: 32 P isotropic ion implantation using a coaxial plasma reactor

    International Nuclear Information System (INIS)

    Fortin, M.A.; Paynter, R.W.; Sarkissian, A.; Stansfield, B.L.; Terreault, B.; Dufresne, V.

    2003-01-01

    The development of endovascular brachytherapy and the treatment of certain types of cancers (liver, lung, prostate) often require the use of beta-emitters, sometimes in the form of radioisotope-implanted devices. Among the most commonly used isotopes figures 32 P, a pure beta-emitter (maximum energy: 1.7 MeV), of which the path in biological tissues is of a few cm, restricting the impact of electron bombardment to the immediate environment of the implant. Several techniques and processes have been tried to elaborate surfaces and devices showing strongly bonded, or implanted 32 P. Anodizing, vapor phase deposition, grafting of oligonucleotides, as well as ion implantation processes have been investigated by several research groups as methods to implant beta-radioisotopes into surfaces. A coaxial plasma reactor was developed at INRS to implant radioisotopes into cylindrical metallic objects, such as coronary stents commonly used in angioplasty procedures. The dispersion of 32 P atoms on the interior surfaces of the chamber can be investigated using radiographs, contributing to image the plasma ion transport mechanisms that guide the efficiency of the implantation procedure. The amount of radioactivity on the wall liner, on the internal components, and on the biomedical implants are quantified using a surface barrier detector. A comparative study establishes a relationship between the gray scale of the radiographs, and dose measurements. A program was developed to convert the digitized images into maps showing surface dose density in mCi/cm 2 . An integration process allows the quantification of the doses on the walls and components of the reactor. Finally, the resulting integral of the 32 P dose is correlated to the initial amount of radioactivity inserted inside the implanter before the dismantling procedure. This method could be introduced as a fast and reliable way to test, qualify and assess the amount of radioactivity present on the as-produced implants

  1. Improvement of tribological properties by ion implantation

    International Nuclear Information System (INIS)

    Gerve, A.

    1993-01-01

    Many different measurements confirm that ion implantation changes the friction and wear behaviour, which are the most important properties of tribological systems. Unfortunately, these properties will not always be improved. In industrial application, very often different results of the effects of ion implantation into tools or machine components can be observed, even if the same materials are used. A very important reason for this is the different stresses on the tribological systems. The energy input caused by friction, which is a function of the stress and other parameters of the tribosystem, within a short time leads to the appearance of energy islands, which are statistically distributed over the surfaces. The density of energy within these tiny energy islands is very high. Results of these high energy densities is a mutation of the material's composition and structure within a very thin layer of less than 100 nm underneath the surface and wear. Ion implantation also changes the composition and structure of the bulk material close to the surface. Thus there is urgent need to understand tribo-induced mutations of ion-implanted materials and their influence on the tribological properties. For that reason surface analyses have to be carried out to determine the composition and structure of the materials and the mutation caused by friction and wear

  2. Improving Sustainability of Ion Implant Modules

    Science.gov (United States)

    Mayer, Jim

    2011-01-01

    Semiconductor fabs have long been pressured to manage capital costs, reduce energy consumption and increasingly improve efforts to recycle and recover resources. Ion implant tools have been high-profile offenders on all three fronts. They draw such large volumes of air for heat dissipation and risk reduction that historically, they are the largest consumer of cleanroom air of any process tool—and develop energy usage and resource profiles to match. This paper presents a documented approach to reduce their energy consumption and dramatically downsize on-site facilities support for cleanroom air manufacture and abatement. The combination produces significant capital expenditure savings. The case entails applying SAGS Type 1 (sub-atmospheric gas systems) toxic gas packaging to enable engineering adaptations that deliver the energy savings and cost benefits without any reduction in environmental health and safety. The paper also summarizes benefits as they relate to reducing a fabs carbon emission footprint (and longer range advantages relative to potential cap and trade programs) with existing technology.

  3. Stress shielding and fatigue limits of poly-ether-ether-ketone dental implants.

    Science.gov (United States)

    Lee, Woo-Taek; Koak, Jai-Young; Lim, Young-Jun; Kim, Seong-Kyun; Kwon, Ho-Beom; Kim, Myung-Joo

    2012-05-01

    The poly-ether-ether-ketone (PEEK) polymer is of great interest as an alternative to titanium in orthopedics because of its biocompatibility and low elastic modulus. This study evaluated the fatigue limits of PEEK and the effects of the low elastic modulus PEEK in relation to existing dental implants. Compressive loading tests were performed with glass fiber-reinforced PEEK (GFR-PEEK), carbon fiber-reinforced PEEK (CFR-PEEK), and titanium rods. Among these tests, GFR-PEEK fatigue tests were performed according to ISO 14801. For the finite element analysis, three-dimensional models of dental implants and bone were constructed. The implants in the test groups were coated with a 0.5-mm thick and 5-mm long PEEK layer on the upper intrabony area. The strain energy densities (SED) were calculated, and the bone resorption was predicted. The fatigue limits of GFR-PEEK were 310 N and were higher than the static compressive strength of GFR-PEEK. The bone around PEEK-coated implants showed higher levels of SED than the bone in direct contact with the implants, and the wider diameter and stiffer implants showed lower levels of SED. The compressive strength of the GFR-PEEK and CFR-PEEK implants ranged within the bite force of the anterior and posterior dentitions, respectively, and the PEEK implants showed adequate fatigue limits for replacing the anterior teeth. Dental implants with PEEK coatings and PEEK implants may reduce stress shielding effects. Dental implant application of PEEK polymer-fatigue limit and stress shielding. Copyright © 2012 Wiley Periodicals, Inc.

  4. Structural changes in a copper alloy due to helium implantation

    International Nuclear Information System (INIS)

    Moreno, D.; Eliezer, D.

    1996-01-01

    The most suitable nuclear fusion reaction for energy production occurs between the two heavy hydrogen isotopes, deuterium and tritium. Structural materials in fusion reactors will be exposed to helium implantation over a broad range of energies. The deformation and partial exfoliation of surface layers due to hydrogen isotopes and helium contribute to the total erosion of the first wall. For this reason, one of the most important criteria in the choice of materials for the first wall of fusion reactors is the material's damage resistance. Recent advances in developing nuclear fusion reactors reveal that efficient heat removal from plasma-facing components is very important. Copper and copper alloys are considered an attractive choice for transporting such a high heat flux without thermal damage as they have high thermal conductivity. In the present study the authors report on the structural changes in a copper alloy, due to the helium implantation on the very near surface area, observed by transmission electron microscopy

  5. Effects of non-implantation factors on survival rate of microbe irradiated by low-energy N+

    International Nuclear Information System (INIS)

    Yang Tianyou; Chen Linhai; Qin Guangyong; Li Zongwei; Su Mingjie; Wang Yanping; Chang Shenghe; Huo Yuping; Li Zongyi

    2006-01-01

    The effects of non-implantation factors, such as drying, vacuum and the staying time of the E.coli LE392 culture, on survival rate of E.coli LE392 were studied when E.coli LE392 was irradiated by the low-energy N + . The results show that the survival rate of E.coli LE392 does not reduce steadily all the time but rapidly drops sometime during drying. The survival rate of E.coli LE392 declines sharply as the samples are placed in vacuum, then falls in distinctively with increasing of time. the tolerance of E.coli LE392 towards vacuum increasingly strengthens when the E.coli LE392 culture is placed at room temperature. Preparing the culture in batchs can ensure the consistency of the irradiated samples and avoid errors caused by the inconsistent samples. When the non-implantation factors are controlled, E.coli is implanted by 30 kev N + of 1 x 10 14 cm -2 and 3 x 10 15 cm -2 , respectively. And the results show no difference in the E.coli's survival rates between batchs at the same dose. (authors)

  6. Multilayer out-of-plane overlap electrostatic energy harvesting structure actuated by blood pressure for powering intra-cardiac implants

    Science.gov (United States)

    Deterre, M.; Risquez, S.; Bouthaud, B.; Dal Molin, R.; Woytasik, M.; Lefeuvre, E.

    2013-12-01

    We present an innovative multilayer out-of-plane electrostatic energy harvesting device conceived in view of scavenging energy from regular blood pressure in the heart. This concept involves the use of a deformable packaging for the implant in order to transmit the blood pressure to the electrostatic transducer. As shown in previous work, this is possible by using thin metal micro-bellows structure, providing long term hermeticity and high flexibility. The design of the electrostatic device has overcome several challenges such as the very low frequency of the mechanical excitation (1 to 2 Hz) and the small available room in the medical implant. Analytical and numerical models have been used to maximize the capacitance variation, and hence to optimize the energy conversion. We have theoretically shown that a 25-layer transducer with 6-mm diameter and 1-mm thickness could harvest at least 20 mJ per heart beat in the left ventricle under a maximum voltage of 75 V. These results show that the proposed concept is promising and could power the next generation of leadless pacemakers.

  7. Processing considerations with plasma-based ion implantation of polymers: theoretical aspects, limitations, and experimental results

    International Nuclear Information System (INIS)

    Lacoste, A.; Pelletier, J.

    2003-01-01

    Processing of polymers using plasma-based ion implantation techniques (PBII) has general implications in terms of plasma specifications and pulse characteristics. In particular, the different aspects of the processing of polymer layers are discussed as functions of plasma density, pulse duration, and layer characteristics (thickness and permittivity). Clearly, severe limitations (true implantation energy, arcing) may appear for high-density plasmas as well as for long pulse durations, when processing polymer layers with thickness in the mm range. A review of the experimental results of ion implantation in polymeric materials via PBII processing is presented. The experimental results demonstrate the possibility of processing polymer layers with the PBII technique, but with severe limitations resulting from the process itself

  8. Moessbauer and TEM study of martensitic transformations in ion implanted 17/7 stainless steel

    International Nuclear Information System (INIS)

    Johnson, E.; Johansen, A.; Sarholt-Kristensen, L.; Graabaek, L.

    1986-01-01

    It has earlier been shown that implantation of antimony into austenitic stainless steels induces martensitic phase transformations γ (fcc)→α (bcc). In the present work we have investigated which mechanisms are responsible for the transformation. Samples of 17/7 steels were implanted with noble gases (Kr, Ar) or the stainless steel constituent elements (Fe, Ni, Cr). The energies were selected to give ranges ∝40 nm. The phases present after implantation and the microstructures of the implanted samples were studied by CEMS and TEM respectively. A martensitic (α) phase was found to form after implantation both with Ni, Fe and Cr, in spite of the fact that these elements have opposite tendencies for stabilization of the austenite (γ) phase. The efficiency of martensite formation is therefore mainly related to stress relief associated with secondary radiation damage. This was substantiated from the noble gas implantations, where the highest degree of transformation was observed for fluences where bubble formation occurs. The CEMS analyses show that the transformation efficiency in such cases is nearly 100%. The hyperfine parameters of the implantation induced α phase are similar to those from conventionally induced martensites. (orig.)

  9. Characterisation of Cs ion implanted GaN by DLTS

    Science.gov (United States)

    Ngoepe, P. N. M.; Meyer, W. E.; Auret, F. D.; Omotoso, E.; Hlatshwayo, T. T.; Diale, M.

    2018-04-01

    Deep level transient spectroscopy (DLTS) was used to characterise Cs implanted GaN grown by hydride vapour phase epitaxy (HVPE). This implantation was done at room temperature using energy of 360 keV to a fluence of 10-11 cm-2. A defect with activation energy of 0.19 eV below the conduction band and an apparent capture cross section of 1.1 × 10-15 cm2 was induced. This defect has previously been observed after rare earth element (Eu, Er and Pr) implantation. It has also been reported after electron, proton and He ion implantation.

  10. Impact of cone-beam computed tomography on implant planning and on prediction of implant size

    Energy Technology Data Exchange (ETDEWEB)

    Pedroso, Ludmila Assuncao de Mello; Silva, Maria Alves Garcia Santos, E-mail: ludmilapedroso@hotmail.com [Universidade Federal de Goias (UFG), Goiania, GO (Brazil). Fac. de Odontologia; Garcia, Robson Rodrigues [Universidade Federal de Goias (UFG), Goiania, GO (Brazil). Fac. de Odontologia. Dept. de Medicina Oral; Leles, Jose Luiz Rodrigues [Universidade Paulista (UNIP), Goiania, GO (Brazil). Fac. de Odontologia. Dept. de Cirurgia; Leles, Claudio Rodrigues [Universidade Federal de Goias (UFG), Goiania, GO (Brazil). Fac. de Odontologia. Dept. de Prevencao e Reabilitacao Oral

    2013-11-15

    The aim was to investigate the impact of cone-beam computed tomography (CBCT) on implant planning and on prediction of final implant size. Consecutive patients referred for implant treatment were submitted to clinical examination, panoramic (PAN) radiography and a CBCT exam. Initial planning of implant length and width was assessed based on clinical and PAN exams, and final planning, on CBCT exam to complement diagnosis. The actual dimensions of the implants placed during surgery were compared with those obtained during initial and final planning, using the McNemmar test (p < 0.05). The final sample comprised 95 implants in 27 patients, distributed over the maxilla and mandible. Agreement in implant length was 50.5% between initial and final planning, and correct prediction of the actual implant length was 40.0% and 69.5%, using PAN and CBCT exams, respectively. Agreement in implant width assessment ranged from 69.5% to 73.7%. A paired comparison of the frequency of changes between initial or final planning and implant placement (McNemmar test) showed greater frequency of changes in initial planning for implant length (p < 0.001), but not for implant width (p = 0.850). The frequency of changes was not influenced by implant location at any stage of implant planning (chi-square test, p > 0.05). It was concluded that CBCT improves the ability of predicting the actual implant length and reduces inaccuracy in surgical dental implant planning. (author)

  11. Room-temperature annealing of Si implantation damage in InP

    International Nuclear Information System (INIS)

    Akano, U.G.; Mitchell, I.V.

    1991-01-01

    Spontaneous recovery at 295 K of Si implant damage in InP is reported. InP(Zn) and InP(S) wafers of (100) orientation have been implanted at room temperature with 600 keV Si + ions to doses ranging from 3.6x10 11 to 2x10 14 cm -2 . Room-temperature annealing of the resultant damage has been monitored by the Rutherford backscattering/channeling technique. For Si doses ≤4x10 13 cm -2 , up to 70% of the initial damage (displaced atoms) annealed out over a period of ∼85 days. The degree of recovery was found to depend on the initial level of damage. Recovery is characterized by at least two time constants t 1 2 ∼100 days. Anneal rates observed between 295 and 375 K are consistent with an activation energy of 1.2 eV, suggesting that the migration of implant-induced vacancies is associated with the reordering of the InP lattice

  12. Correlation between blister skin thickness, the maximum in the damage-energy distribution, and projected ranges of helium ions in Nb for the energy range 10 to 1500 keV

    International Nuclear Information System (INIS)

    St-Jacques, R.G.; Martel, J.G.; Terreault, B.; Veilleux, G.; Das, S.K.; Kaminsky, M.; Fenske, G.

    1976-01-01

    The skin thickness of blisters formed on polycrystalline niobium by 4 He + irradiation at room temperature for energies from 15 to 80 keV have been measured. Similar measurements were conducted for 10 keV 4 He + irradiation at 500 0 C to increase blister exfoliation, and thereby allow examination of a larger number of blister skins. For energies smaller than 100 keV the skin thicknesses are compared with the projected range and the damage-energy distributions constructed from moments interpolated from Winterbon's tabulated values. For energies of 10 and 15 keV the projected ranges and damage-energy distributions have also been computed with a Monte Carlo program. For energies larger than 100 keV the projected ranges of 4 He + in Nb were calculated using either Brice's formalism or the one given by Schiott. The thicknesses for 60 and 80 keV, and those reported earlier for 100 to 1500 keV correlate well with calculated projected ranges. For energies lower than 60 keV the measured thicknesses are larger than the calculated ranges

  13. P- and N-type implantation doping of GaN with Ca and O

    International Nuclear Information System (INIS)

    Zolper, J.C.; Wilson, R.G.; Pearton, S.J.

    1996-01-01

    III-N photonic devices have made great advances in recent years following the demonstration of doping of GaN p-type with Mg and n-type with Si. However, the deep ionization energy level of Mg in GaN (∼ 160 meV) limits the ionized of acceptors at room temperature to less than 1.0% of the substitutional Mg. With this in mind, the authors used ion implantation to characterize the ionization level of Ca in GaN since Ca had been suggested by Strite to be a shallow acceptor in GaN. Ca-implanted GaN converted from n-to-p type after a 1,100 C activation anneal. Variable temperature Hall measurements give an ionization level at 169 meV. Although this level is equivalent to that of Mg, Ca-implantation may have advantages (shallower projected range and less straggle for a given energy) than Mg for electronic devices. In particular, the authors report the first GaN device using ion implantation doping. This is a GaN junction field effect transistor (JFET) which employed Ca-implantation. A 1.7 microm JFET had a transconductance of 7 mS/mm, a saturation current at 0 V gate bias of 33 mA/mm, a f t of 2.7 GHz, and a f max of 9.4 GHz. O-implantation was also studied and shown to create a shallow donor level (∼ 25 meV) that is similar to Si. SIMS profiles of as-implanted and annealed samples showed no measurable redistribution of either Ca or O in GaN at 1,125 C

  14. Si+ and N+ ion implantation for improving blood compatibility of medical poly(methyl methacrylate)

    International Nuclear Information System (INIS)

    Li, D.J.; Cui, F.Z; Cui, F.Z.

    1998-01-01

    Si + and N + ion implantation into medical poly(methyl methacrylate) (PMMA) were performed at an energy of 80 keV with fluences ranging from 5x10 12 to 5x10 15 ions/cm 2 at room temperature to improve blood compatibility. The results of the blood contacting measurements in vitro showed that the anticoagulability and anticalcific behaviour on the surface morphology were enhanced after ion implantation. No appreciable change in the surface morphology was detected by scanning electron microscopy (SEM). X-ray photoelectron spectroscopy (XPS) analysis indicated that ion implantation broke some original chemical bonds on the surface to form some new Si- and N-containing groups. These results were considered responsible for the enhancement in the blood compatibility of PMMA. (author)

  15. Ion Implantation of Polymers

    DEFF Research Database (Denmark)

    Popok, Vladimir

    2012-01-01

    The current paper presents a state-of-the-art review in the field of ion implantation of polymers. Numerous published studies of polymers modified by ion beams are analysed. General aspects of ion stopping, latent track formation and changes of structure and composition of organic materials...... are discussed. Related to that, the effects of radiothermolysis, degassing and carbonisation are considered. Specificity of depth distributions of implanted into polymers impurities is analysed and the case of high-fluence implantation is emphasised. Within rather broad topic of ion bombardment, the focus...... is put on the low-energy implantation of metal ions causing the nucleation and growth of nanoparticles in the shallow polymer layers. Electrical, optical and magnetic properties of metal/polymer composites are under the discussion and the approaches towards practical applications are overviewed....

  16. Comparison of surface modified zirconia implants with commercially available zirconium and titanium implants: a histological study in pigs.

    Science.gov (United States)

    Gredes, Tomasz; Kubasiewicz-Ross, Pawel; Gedrange, Tomasz; Dominiak, Marzena; Kunert-Keil, Christiane

    2014-08-01

    New biomaterials and their various surface modifications should undergo in vitro and in vivo evaluation before clinical trials. The objective of our in vivo study was to evaluate the biocompatibility of newly created zirconium implant surfaces after implantation in the lower jaw of pigs and compare the osseointegration of these dental implants with commercially available zirconium and titanium implants. After a healing period of 12 weeks, a histological analysis of the soft and hard tissues and a histomorphometric analysis of the bone-implant contact (BIC) were performed. The implant surfaces showed an intimate connection to the adjacent bone for all tested implants. The 3 newly created zirconium implant surfaces achieved a BIC of 45% on average in comparison with a BIC of 56% from the reference zirconium implants and 35% from titanium implants. Furthermore, the new zirconium implants had a better attachment to gingival and bone tissues in the range of implant necks as compared with the reference implants. The results suggest that the new implants comparably osseointegrate within the healing period, and they have a good in vivo biocompatibility.

  17. Microstructural and corrosivity changes induced by nitrogen ion implantation on chromium films

    International Nuclear Information System (INIS)

    Shokouhy, A.; Larijani, M.M.; Ghoranneviss, M.; Hosseini G, S.H. Haji; Yari, M.; Sari, A.H.; Shahraki, M. Gholipur

    2006-01-01

    The chromium thin films were prepared using ion beam deposition on stainless steel 304. The chromium films were implanted by nitrogen ions after deposition at doses in the range of 4.5 x 10 17 to 2.7 x 10 18 N + /cm 2 and energy of 30 keV. The formation of nitride phases and corrosion behavior after nitrogen implantation were characterized by XRD and corrosion test, respectively. The results show that corrosion resistance rise, reach to a maximum at dose of 1.8 x 10 18 , and then fall down at higher doses. In addition, the effect of corrosion tests was analyzed using scanning electron microscopy (SEM)

  18. N{sup +} ion-implantation-induced defects in ZnO studied with a slow positron beam

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z Q [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan (Japan); Sekiguchi, T [Nanomaterials Laboratory, National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Yuan, X L [Nanomaterials Laboratory, National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan); Maekawa, M [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan (Japan); Kawasuso, A [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292, Japan (Japan)

    2004-01-21

    Undoped ZnO single crystals were implanted with multiple-energy N{sup +} ions ranging from 50 to 380 keV with doses from 10{sup 12} to 10{sup 14} cm{sup -2}. Positron annihilation measurements show that vacancy defects are introduced in the implanted layers. The concentration of the vacancy defects increases with increasing ion dose. The annealing behaviour of the defects can be divided into four stages, which correspond to the formation and recovery of large vacancy clusters and the formation and disappearance of vacancy-impurity complexes, respectively. All the implantation-induced defects are removed by annealing at 1200 deg. C. Cathodoluminescence measurements show that the ion-implantation-induced defects act as nonradiative recombination centres to suppress the ultraviolet (UV) emission. After annealing, these defects disappear gradually and the UV emission reappears, which coincides with positron annihilation measurements. Hall measurements reveal that after N{sup +} implantation, the ZnO layer still shows n-type conductivity.

  19. Highly Stripped Ion Sources for MeV Ion Implantation

    Energy Technology Data Exchange (ETDEWEB)

    Hershcovitch, Ady

    2009-06-30

    Original technical objectives of CRADA number PVI C-03-09 between BNL and Poole Ventura, Inc. (PVI) were to develop an intense, high charge state, ion source for MeV ion implanters. Present day high-energy ion implanters utilize low charge state (usually single charge) ion sources in combination with rf accelerators. Usually, a MV LINAC is used for acceleration of a few rnA. It is desirable to have instead an intense, high charge state ion source on a relatively low energy platform (de acceleration) to generate high-energy ion beams for implantation. This de acceleration of ions will be far more efficient (in energy utilization). The resultant implanter will be smaller in size. It will generate higher quality ion beams (with lower emittance) for fabrication of superior semiconductor products. In addition to energy and cost savings, the implanter will operate at a lower level of health risks associated with ion implantation. An additional aim of the project was to producing a product that can lead to long­ term job creation in Russia and/or in the US. R&D was conducted in two Russian Centers (one in Tomsk and Seversk, the other in Moscow) under the guidance ofPVI personnel and the BNL PI. Multiple approaches were pursued, developed, and tested at various locations with the best candidate for commercialization delivered and tested at on an implanter at the PVI client Axcelis. Technical developments were exciting: record output currents of high charge state phosphorus and antimony were achieved; a Calutron-Bemas ion source with a 70% output of boron ion current (compared to 25% in present state-of-the-art). Record steady state output currents of higher charge state phosphorous and antimony and P ions: P{sup 2+} (8.6 pmA), P{sup 3+} (1.9 pmA), and P{sup 4+} (0.12 pmA) and 16.2, 7.6, 3.3, and 2.2 pmA of Sb{sup 3+} Sb {sup 4 +}, Sb{sup 5+}, and Sb{sup 6+} respectively. Ultimate commercialization goals did not succeed (even though a number of the products like high

  20. Ion implantation and amorphous metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Rauschenbach, B.

    1981-01-01

    This review deals with ion implantation of metals in the high concentration range for preparing amorphous layers (>= 10 at%, implantation doses > 10 16 ions/cm 2 ). Different models are described concerning formation of amorphous phases of metals by ion implantation and experimental results are given. The study of amorphous phases has been carried out by the aid of Rutherford backscattering combined with the channeling technique and using transmission electron microscopy. The structure of amorphous metals prepared by ion implantation has been discussed. It was concluded that amorphous metal-metalloid compounds can be described by a dense-random-packing structure with a great portion of metal atoms. Ion implantation has been compared with other techniques for preparing amorphous metals and the adventages have been outlined

  1. Atomic scale simulations of hydrogen implantation defects in hydrogen implanted silicon - smart Cut technology

    International Nuclear Information System (INIS)

    Bilteanu, L.

    2010-12-01

    The topic of this thesis is related to the implantation step of the SmartCut TM technology. This technology uses hydrogen in order to transfer silicon layers on insulating substrates. The transfer is performed through a fracture induced by the formation of bidimensional defects well known in literature as 'platelets'. More exactly, we have studied within this thesis work the defects appearing in the post implant state and the evolution of the implantation damage towards a state dominated by platelets. The study is organised into two parts: in the first part we present the results obtained by atomic scale simulations while in the second part we present an infrared spectroscopy study of the evolution of defects concentrations after annealing at different temperatures. The atomic scale simulations have been performed within the density functional theory and they allowed us to compute the formation energies and the migration and recombination barriers. The defects included in our study are: the atomic and diatomic interstitials, the hydrogenated vacancies and multi-vacancies and the several platelets models. The obtained energies allowed us to build a stability hierarchy for these types of defects. This scheme has been confronted with some infrared analysis on hydrogen implanted silicon samples (37 keV) in a sub-dose regime which does not allow usually the formation of platelets during the implantation step. The analysis of the infrared data allowed the detailed description of the defects concentration based on the behaviour of peaks corresponding to the respective defects during annealing. The comparison between these evolutions and the energy scheme obtained previously allowed the validation of an evolution scenario of defects towards the platelet state. (author)

  2. Effects of ion-implanted C on the microstructure and surface mechanical properties of Fe alloys implanted with Ti

    International Nuclear Information System (INIS)

    Follstaedt, D.M.; Knapp, J.A.; Pope, L.E.; Yost, F.G.; Picraux, S.T.

    1984-01-01

    The microstructural and tribological effects of ion implanting C into Ti-implanted, Fe-based alloys are examined and compared to the influence of C introduced by vacuum carburization during Ti implantation alone. The amorphous surface alloy formed by Ti implantation of pure Fe increases in thickness when additional C is implanted at depths containing Ti but beyond the range of carburization. Pin-on-disc tests of 15-5 PH stainless steel show that implantation of both Ti and C reduces friction significantly under conditions where no reduction is obtained by Ti implantation alone; wear depths are also less when C is implanted. All available experimental results can be accounted for by consideration of the thickness and Ti concentration of the amorphous Fe-Ti-C alloy. The thicker amorphous layer on samples implanted with additional C extends tribological benefits to more severe wear regimes

  3. Amorphous GaP produced by ion implantation

    International Nuclear Information System (INIS)

    Shimada, T.; Kato, Y.; Shiraki, Y.; Komatsubara, K.F.

    1976-01-01

    Two types of non-crystalline states ('disordered' and 'amorphous') of GaP were produced by using ion implantation and post annealing. A structural-phase-transition-like annealing behaviour from the 'disordered' state to the 'amorphous' state was observed. The ion dose dependence and the annealing behaviour of the atomic structure of GaP implanted with 200 keV -N + ions were studied by using electron diffraction, backscattering and volume change measurements. The electronic structure was also investigated by measuring optical absorption and electrical conductivity. The implanted layer gradually loses the crystalline order with the increase of the nitrogen dose. The optical absorption coefficient α and electric conductivity sigma of GaP crystals implanted with 200 keV -N + ions of 1 x 10 16 cm -2 were expressed as αhν = C(hν - E 0 )sup(n) and log sigma = A -BTsup(-1/4), respectively. Moreover, the volume of the implanted layer increased about three percent and the electron diffraction pattern was diffused halo whose intensity monotonically decreases along the radial direction. These results indicate that the as-implanted layer has neither a long range order or short range order ('disordered state'). In the sample implanted at 1 x 10 16 cm -2 , a structural phase-transition-like annealing stage was observed at around 400 0 C. That is, the optical absorption coefficient abruptly fell off from 6 x 10 4 to 7 x 10 3 cm -1 and the volume of the implanted layer decreased about 2% within an increase of less than 10 degrees in the anneal temperature. Moreover, the short range order of the lattice structure appeared in the electron diffraction pattern. According to the backscattering experiment, the heavily implanted GaP was still in the non-crystalline state even after annealing. These facts suggest that heavily implanted GaP, followed by annealing at around 400 0 C, is in the 'amorphous' state, although as-implanted GaP is not in the 'amorphous' state but in the

  4. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  5. Wide-Range Highly-Efficient Wireless Power Receivers for Implantable Biomedical Sensors

    KAUST Repository

    Ouda, Mahmoud

    2016-01-01

    for implantable devices or IoT nodes. A custom setup is developed to test the chip in a saline solution with electrical properties similar to those of the aqueous humor of the eye. The proposed chip, in this eye-like setup, is wirelessly charged to 1V from a 5W

  6. Electrical and optical properties of nitrile rubber modified by ion implantation

    Science.gov (United States)

    S, Najidha; Predeep, P.

    2014-10-01

    Implantation of N+ ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 1014 to 1016 ions/cm2. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  7. Characterization of Cement Particles Found in Peri-implantitis-Affected Human Biopsy Specimens.

    Science.gov (United States)

    Burbano, Maria; Wilson, Thomas G; Valderrama, Pilar; Blansett, Jonathan; Wadhwani, Chandur P K; Choudhary, Pankaj K; Rodriguez, Lucas C; Rodrigues, Danieli C

    2015-01-01

    Peri-implantitis is a disease characterized by soft tissue inflammation and continued loss of supporting bone, which can result in implant failure. Peri-implantitis is a multifactorial disease, and one of its triggering factors may be the presence of excess cement in the soft tissues surrounding an implant. This descriptive study evaluated the composition of foreign particles from 36 human biopsy specimens with 19 specimens selected for analysis. The biopsy specimens were obtained from soft tissues affected by peri-implantitis around cement-retained implant crowns and compared with the elemental composition of commercial luting cement. Nineteen biopsy specimens were chosen for the comparison, and five test cements (TempBond, Telio, Premier Implant Cement, Intermediate Restorative Material, and Relyx) were analyzed using scanning electron microscopy equipped with energy dispersive x-ray spectroscopy. This enabled the identification of the chemical composition of foreign particles embedded in the tissue specimens and the composition of the five cements. Statistical analysis was conducted using classification trees to pair the particles present in each specimen with the known cements. The particles in each biopsy specimen could be associated with one of the commercial cements with a level of probability ranging between .79 and 1. TempBond particles were found in one biopsy specimen, Telio particles in seven, Premier Implant Cement particles in four, Relyx particles in four, and Intermediate Restorative Material particles in three. Particles found in human soft tissue biopsy specimens around implants affected by peri-implant disease were associated with five commercially available dental cements.

  8. Heart rate, multiple body temperature, long-range and long-life telemetry system for free-ranging animals

    Science.gov (United States)

    Lund, G. F.; Westbrook, R. M.; Fryer, T. B.

    1980-01-01

    The design details and rationale for a versatile, long-range, long-life telemetry data acquisition system for heart rates and body temperatures at multiple locations from free-ranging animals are presented. The design comprises an implantable transmitter for short to medium range transmission, a receiver retransmitter collar to be worn for long-range transmission, and a signal conditioner interface circuit to assist in signal discrimination and demodulation of receiver or tape-recorded audio outputs. Implanted electrodes are used to obtain an ECG, from which R-wave characteristics are selected to trigger a short RF pulse. Pulses carrying heart rate information are interrupted periodically by a series of pulse interval modulated RF pulses conveying temperature information sensed at desired locations by thermistors. Pulse duration and pulse sequencing are used to discriminate between heart rate and temperature pulses as well as radio frequency interference. The implanted transmitter may be used alone for medium and short-range tracking, or with a receiver-transmitter collar that employs commercial tracking equipment for transmissions of up to 12 km. A system prototype has been tested on a dog.

  9. Mid-range adiabatic wireless energy transfer via a mediator coil

    International Nuclear Information System (INIS)

    Rangelov, A.A.; Vitanov, N.V.

    2012-01-01

    A technique for efficient mid-range wireless energy transfer between two coils via a mediator coil is proposed. By varying the coil frequencies, three resonances are created: emitter–mediator (EM), mediator–receiver (MR) and emitter–receiver (ER). If the frequency sweeps are adiabatic and such that the EM resonance precedes the MR resonance, the energy flows sequentially along the chain emitter–mediator–receiver. If the MR resonance precedes the EM resonance, then the energy flows directly from the emitter to the receiver via the ER resonance; then the losses from the mediator are suppressed. This technique is robust against noise, resonant constraints and external interferences. - Highlights: ► Efficient and robust mid-range wireless energy transfer via a mediator coil. ► The adiabatic energy transfer is analogous to adiabatic passage in quantum optics. ► Wireless energy transfer is insensitive to any resonant constraints. ► Wireless energy transfer is insensitive to noise in the neighborhood of the coils.

  10. Chemical effects induced by ion implantation in molecular solids

    International Nuclear Information System (INIS)

    Foti, G.; Calcagno, L.; Puglisi, O.

    1983-01-01

    Ion implantation in molecular solids as ice, frozen noble gases, benzene and polymers produces a large amount of new molecules compared to the starting materials. Mass and energy analysis of ejected molecules together with the erosion yield, are discussed for several ion-target combinations at low temperature. The observed phenomena are analyzed in terms of deposited ennergy in electronic and nuclear collisions, for incoming beams, as helium or argon, in the range 10-2000 keV. (orig.)

  11. Configuration and mobility of hydrogen implanted in aluminium

    International Nuclear Information System (INIS)

    Bugeat, J.P.; Chami, A.C.; Ligeon, E.

    1976-01-01

    Localization methods through channeling and nuclear reaction analysis using low energy ion beam were applied to the study of deuterium and hydrogen implanted in aluminium single crystals. It was shown that implanted hydrogen occupies a tetrahedral site in the lattice as far as the implantation temperature is lower than 175K. This fact is interpreted by considering an interaction between hydrogen and monovacancies created during the implantation [fr

  12. Energy requirements for gestation and lactation in a delayed implanter, the American badger.

    Science.gov (United States)

    Harlow, H J; Miller, B; Ryder, T; Ryder, L

    1985-01-01

    1. Two adult female badgers were water-deprived and/or fasted during the last one-half to two-thirds of pregnancy while a third pregnant female received water ad libitum and was fed meat and dog food. 2. The litter size, birth weights, post partum energy consumption, growth rate, development of homeothermy, tooth eruption and date of weaning, as well as other developmental characteristics, were not significantly different between cubs born to the fed or fasted mothers. 3. The energy demands for gestation are apparently small and are accommodated by fat reserves during periods of food deprivation. However, the calculated energy for lactation is 16 times that of gestation, which is quadruple the expenditure for most mammals. 4. As a result of delayed implantation, the length of gestation and litter weights of badgers are considerably below those predicted from allometric equations. 5. The period of lactation is therefore extremely critical to the survival of both the cubs and lactating adults which require heavy fat stores and possibly torpor to ensure sufficient energy availability during prolonged winter food shortage.

  13. Formation and surface strengthening of nano-meter embedded phases during high energy Ti implanted and annealed steel

    International Nuclear Information System (INIS)

    Zhang Tonghe; Wu Yuguang; Cui Ping; Wang Ping

    1999-12-01

    Observation of transmission electron microscope indicated that the phase of FeTi 2 with 3.5-20 nm in diameter is embedded in high energy Ti implanted layer. It's average diameter is 8 nm. The nano-meter phases were embedded among dislocations and grain boundary in Ti implanted steel at 400 degree C. The wear resistance has been improved. The embedded structure can be changed obviously after annealing. The structure has been changed slightly after annealing at annealing temperature raging from 350 to 500 degree C, however, the hardness and wear resistance of implanted layer increased greatly. The maximum of hardness is obtained when the sample was annealed at 500 degree C for 20 min. It can be seen that the strengthening of implanted layer has enhanced by annealing indeed. The grain boundary and dislocations have disappeared; the diameter of nano-meter phases increased from 10 nm to 15 nm after annealing at temperature of 750 degree C and 1000 degree respectively. The average densities of nano-meter phases are 8.8 x 10 10 /cm 2 and 6.5 x 10 10 /cm 2 respectively for both of annealing temperature. The hardness decreased obviously when the annealing temperature is greater than 750 degree C

  14. Interference fringes in synchrotron section topography of implanted silicon with a very large ion range

    International Nuclear Information System (INIS)

    Wieteska, K.; Dluzewska, K.; Wierzchowski, W.; Graeff, W.

    1997-01-01

    Silicon crystals implanted with 9 MeV protons to the dose of 5x10 17 cm -2 were studied with X-ray topographic methods using both conventional and synchrotron radiation sources. After the implantation the crystals were thermally and electron annealed. The implantation produced large 600 μm thick shot-through layer while the total thickness of the samples was 1.6 mm. It was confirmed by means of double crystal topography that the whole crystal was elastically bent. The transmission section patterns revealed both parts of the implanted crystal separated by strong contrasts coming from the most damaged layer and distinct interference fringes which appeared on one side of the topograph only. The locations of the fringes changed when the beam entered the other side of the sample. The mechanism of fringe formation was studied with numerical integration of the Takagi-Taupin equations, especially studying the intensity distribution in the diffraction plane. The simulations reproduced the location of the fringes in different geometries and indicate that they can be caused both by variable crystal curvature and variable ion dose. (author)

  15. A touch probe method of operating an implantable RFID tag for orthopedic implant identification.

    Science.gov (United States)

    Liu, Xiaoyu; Berger, J Lee; Ogirala, Ajay; Mickle, Marlin H

    2013-06-01

    The major problem in operating an implantable radio-frequency identification (RFID) tag embedded on an orthopedic implant is low efficiency because of metallic interference. To improve the efficiency, this paper proposes a method of operating an implantable passive RFID tag using a touch probe at 13.56 MHz. This technology relies on the electric field interaction between two pairs of electrodes, one being a part of the touch probe placed on the surface of tissue and the other being a part of the tag installed under the tissue. Compared with using a conventional RFID antenna such as a loop antenna, this method has a better performance in the near field operation range to reduce interference with the orthopedic implant. Properly matching the touch probe and the tag to the tissue and the implant reduces signal attenuation and increases the overall system efficiency. The experiments have shown that this method has a great performance in the near field transcutaneous operation and can be used for orthopedic implant identification.

  16. Lithium ion implantation effects in MgO (100)

    NARCIS (Netherlands)

    van Huis, MA; Fedorov, AV; van Veen, A; Labohm, F; Schut, H; Mijnarends, PE; Kooi, BJ; De Hosson, JTM; Triftshauser, W; Kogel, G; Sperr, P

    2001-01-01

    Single crystals of MgO (100) were implanted with 10(16) (6)Li ions cm(-2) at an energy of 30 keV. After ion implantation the samples were annealed isochronally in air at temperatures up to 1200K. After implantation and after each annealing step, the defect evolution was monitored with optical

  17. Study of low energy hydrogen ion implantation effects in silicon: electric properties

    International Nuclear Information System (INIS)

    Barhdadi, A.

    1985-07-01

    Several analysis methods have been developed: hydrogen distribution analysis by nuclear reaction, crystal disorder evaluation by R.B.S., chemical impurities identification by SIMS, optical measurements, electrical characterization of surface barriers, deep level spectroscopy DLTS, ... All these analyses have been made after implantation then after thermal annealing. A model explaining the effect of implantation then after thermal annealing. A model explaining the effect of implanted hydrogen is proposed, the implantation creates an important quantity of defects in a thin layer near the surface; a chemical attack removes them. In Schottky devices, this layer has a basic role on carrier transport phenomena. Other results are given, some of them allow to give an account of the passivation by hydrogen implantation [fr

  18. Visual performance after bilateral implantation of 2 new presbyopia-correcting intraocular lenses: Trifocal versus extended range of vision.

    Science.gov (United States)

    Monaco, Gaspare; Gari, Mariangela; Di Censo, Fabio; Poscia, Andrea; Ruggi, Giada; Scialdone, Antonio

    2017-06-01

    To compare the visual outcomes and quality of vision of 2 new diffractive multifocal intraocular lenses (IOLs) with those of a monofocal IOL. Fatebenefratelli e Oftalmico Hospital, Milan, Italy. Prospective case series. Patients had bilateral cataract surgery with implantation of a trifocal IOL (Panoptix), an extended-range-of-vision IOL (Symfony), or a monofocal IOL (SN60WF). Postoperative examinations included assessing distance, intermediate, and near visual acuity; binocular defocus; intraocular and total aberrations; point-spread function (PSF); modulation transfer function (MTF); retinal straylight; and quality-of-vision (QoV) and spectacle-dependence questionnaires. Seventy-six patients (152 eyes) were assessed for study eligibility. Twenty patients (40 eyes) in each arm of the study (60 patients, 120 eyes) completed the outcome assessment. At the 4-month follow-up, the trifocal group had significantly better near visual acuity than the extended-range-of-vision group (P = .005). The defocus curve showed the trifocal IOL had better intermediate/near performance than the extended-range-of-vision IOL and both multifocal IOLs performed better than the monofocal IOL. Intragroup comparison of the total higher-order aberrations, PSF, MTF, and retinal straylight were not statistically different. The QoV questionnaire results showed no differences in dysphotopsia between the multifocal IOL groups; however, the results were significantly higher than in the monofocal IOL group. Both multifocal IOLs seemed to be good options for patients with intermediate-vision requirements, whereas the trifocal IOL might be better for patients with near-vision requirements. The significant perception of visual side effects indicates that patients still must be counseled about these effects before a multifocal IOL is implanted. Copyright © 2017 ASCRS and ESCRS. Published by Elsevier Inc. All rights reserved.

  19. Ion implantation: an annotated bibliography

    International Nuclear Information System (INIS)

    Ting, R.N.; Subramanyam, K.

    1975-10-01

    Ion implantation is a technique for introducing controlled amounts of dopants into target substrates, and has been successfully used for the manufacture of silicon semiconductor devices. Ion implantation is superior to other methods of doping such as thermal diffusion and epitaxy, in view of its advantages such as high degree of control, flexibility, and amenability to automation. This annotated bibliography of 416 references consists of journal articles, books, and conference papers in English and foreign languages published during 1973-74, on all aspects of ion implantation including range distribution and concentration profile, channeling, radiation damage and annealing, compound semiconductors, structural and electrical characterization, applications, equipment and ion sources. Earlier bibliographies on ion implantation, and national and international conferences in which papers on ion implantation were presented have also been listed separately

  20. Battery electric vehicle energy consumption modelling for range estimation

    NARCIS (Netherlands)

    Wang, J.; Besselink, I.J.M.; Nijmeijer, H.

    2017-01-01

    Range anxiety is considered as one of the major barriers to the mass adoption of battery electric vehicles (BEVs). One method to solve this problem is to provide accurate range estimation to the driver. This paper describes a vehicle energy consumption model considering the influence of weather

  1. Mechanism of long-range penetration of low-energy ions in botanic samples

    International Nuclear Information System (INIS)

    Liu Feng; Wang Yugang; Xue Jianming; Wang Sixue; Du Guanghua; Yan Sha; Zhao Weijiang

    2002-01-01

    The authors present experimental evidence to reveal the mechanism of long-range penetration of low-energy ions in botanic samples. In the 100 keV Ar + ion transmission measurement, the result confirmed that low-energy ions could penetrate at least 60 μm thick kidney bean slices with the probability of about 1.0 x 10 -5 . The energy spectrum of 1 MeV He + ions penetrating botanic samples has shown that there is a peak of the count of ions with little energy loss. The probability of the low-energy ions penetrating the botanic sample is almost the same as that of the high-energy ions penetrating the same samples with little energy loss. The results indicate that there are some micro-regions with mass thickness less than the projectile range of low-energy ions in the botanic samples and they result in the long-range penetration of low-energy ions in botanic samples

  2. Thermal desorption of deuterium from polycrystalline nickel pre-implanted with helium

    International Nuclear Information System (INIS)

    Shi, S.Q.; Abramov, E.; Thompson, D.A.

    1990-01-01

    The thermal desorption technique has been used to study the trapping of deuterium atoms in high-purity polycrystalline nickel pre-implanted with helium for 1 x 10 19 to 5 x 10 20 ions/m 2 . The effect of post-implantation annealing at 703 K and 923 K on the desorption behavior was investigated. Measured values of the total amount of detrapped deuterium (Q T ) and helium concentration were used in a computer simulation of the desorption curve. It was found that the simulation using one or two discrete trap energies resulted in an inadequate fit between the simulated and the measured data. Both experimental and simulation results are explained using a stress-field trapping model. The effective binding energy, E b eff , was estimated to be in the range of 0.4-0.6 eV. Deuterium charging was found to stimulate a release of helium at a relatively low temperature

  3. Implantable power generation system utilizing muscle contractions excited by electrical stimulation.

    Science.gov (United States)

    Sahara, Genta; Hijikata, Wataru; Tomioka, Kota; Shinshi, Tadahiko

    2016-06-01

    An implantable power generation system driven by muscle contractions for supplying power to active implantable medical devices, such as pacemakers and neurostimulators, is proposed. In this system, a muscle is intentionally contracted by an electrical stimulation in accordance with the demands of the active implantable medical device for electrical power. The proposed system, which comprises a small electromagnetic induction generator, electrodes with an electrical circuit for stimulation and a transmission device to convert the linear motion of the muscle contractions into rotational motion for the magneto rotor, generates electrical energy. In an ex vivo demonstration using the gastrocnemius muscle of a toad, which was 28 mm in length and weighed 1.3 g, the electrical energy generated by the prototype exceeded the energy consumed for electrical stimulation, with the net power being 111 µW. It was demonstrated that the proposed implantable power generation system has the potential to replace implantable batteries for active implantable medical devices. © IMechE 2016.

  4. Range and energy functions of interest in neutron dosimetry

    International Nuclear Information System (INIS)

    Bhatia, D.P.; Nagarajan, P.S.

    1978-01-01

    This report documents the energy and range functions generated and used in fast neutron interface dosimetry studies. The basic data of stopping power employed are the most recent. The present report covers a number of media mainly air, oxygen, nitrogen, polythene, graphite, bone and tissue, and a number of charged particles, namely protons, alphas, 9 Be, 11 B, 12 C, 13 C, 14 N and 16 O. These functions would be useful for generation of energy and range values for any of the above particles in any of the above media within +- 1% in any dosimetric calculations. (author)

  5. Reduction of dark-band-like metal artifacts caused by dental implant bodies using hypothetical monoenergetic imaging after dual-energy computed tomography.

    Science.gov (United States)

    Tanaka, Ray; Hayashi, Takafumi; Ike, Makiko; Noto, Yoshiyuki; Goto, Tazuko K

    2013-06-01

    The aim of this study was to evaluate the usefulness of hypothetical monoenergetic images after dual-energy computed tomography (DECT) for assessment of the bone encircling dental implant bodies. Seventy-two axial images of implantation sites clipped out from image data scanned using DECT in dual-energy mode were used. Subjective assessment on reduction of dark-band-like artifacts (R-DBAs) and diagnosability of adjacent bone condition (D-ABC) in 3 sets of DECT images-a fused image set (DE120) and 2 sets of hypothetical monoenergetic images (ME100, ME190)-was performed and the results were statistically analyzed. With regards to R-DBAs and D-ABC, significant differences among DE120, ME100, and ME190 were observed. The ME100 and ME190 images revealed more artifact reduction and diagnosability than those of DE120. DECT imaging followed by hypothetical monoenergetic image construction can cause R-DBAs and increase D-ABC and may be potentially used for the evaluation of postoperative changes in the bone encircling implant bodies. Copyright © 2013 Elsevier Inc. All rights reserved.

  6. A prospective study evaluating cochlear implant management skills: development and validation of the Cochlear Implant Management Skills survey.

    Science.gov (United States)

    Bennett, R J; Jayakody, D M P; Eikelboom, R H; Taljaard, D S; Atlas, M D

    2016-02-01

    To investigate the ability of cochlear implant (CI) recipients to physically handle and care for their hearing implant device(s) and to identify factors that may influence skills. To assess device management skills, a clinical survey was developed and validated on a clinical cohort of CI recipients. Survey development and validation. A prospective convenience cohort design study. Specialist hearing implant clinic. Forty-nine post-lingually deafened, adult CI recipients, at least 12 months postoperative. Survey test-retest reliability, interobserver reliability and responsiveness. Correlations between management skills and participant demographic, audiometric, clinical outcomes and device factors. The Cochlear Implant Management Skills survey was developed, demonstrating high test-retest reliability (0.878), interobserver reliability (0.972) and responsiveness to intervention (skills training) [t(20) = -3.913, P = 0.001]. Cochlear Implant Management Skills survey scores range from 54.69% to 100% (mean: 83.45%, sd: 12.47). No associations were found between handling skills and participant factors. This is the first study to demonstrate a range in cochlear implant device handling skills in CI recipients and offers clinicians and researchers a tool to systematically and objectively identify shortcomings in CI recipients' device handling skills. © 2015 John Wiley & Sons Ltd.

  7. Surface microhardening by ion implantation

    International Nuclear Information System (INIS)

    Singh, Amarjit

    1986-01-01

    The paper discusses the process and the underlying mechanism of surface microhardening by implanting suitable energetic ions in materials like 4145 steel, 304 stainless steel, aluminium and its 2024-T351 alloy. It has been observed that boron and nitrogen implantation in materials like 4145 steel and 304 stainless steel can produce a significant increase in surface hardness. Moreover the increase can be further enhanced with suitable overlay coatings such as aluminium (Al), Titanium (Ti) and carbon (C). The surface hardening due to implantation is attributed to precipitation hardening or the formation of stable/metastable phase or both. The effect of lithium implantation in aluminium and its alloy on microhardness with increasing ion dose and ion beam energy is also discussed. (author)

  8. Modification of polyvinyl alcohol surface properties by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pukhova, I.V., E-mail: ivpuhova@mail.ru [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Kurzina, I.A. [National Research Tomsk State University, 36 Lenin Ave, Tomsk 634050 (Russian Federation); Savkin, K.P. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation); Laput, O.A. [National Research Tomsk Polytechnic University, 30 Lenin Ave, Tomsk 634050 (Russian Federation); Oks, E.M. [Institute of High Current Electronics, 2/3 Akademichesky Ave, Tomsk 634055 (Russian Federation)

    2017-05-15

    We describe our investigations of the surface physicochemical properties of polyvinyl alcohol modified by silver, argon and carbon ion implantation to doses of 1 × 10{sup 14}, 1 × 10{sup 15} and 1 × 10{sup 16} ion/cm{sup 2} and energies of 20 keV (for C and Ar) and 40 keV (for Ag). Infrared spectroscopy (IRS) indicates that destructive processes accompanied by chemical bond (−C=O) generation are induced by implantation, and X-ray photoelectron spectroscopy (XPS) analysis indicates that the implanted silver is in a metallic Ag3d state without stable chemical bond formation with polymer chains. Ion implantation is found to affect the surface energy: the polar component increases while the dispersion part decreases with increasing implantation dose. Surface roughness is greater after ion implantation and the hydrophobicity increases with increasing dose, for all ion species. We find that ion implantation of Ag, Ar and C leads to a reduction in the polymer microhardness by a factor of five, while the surface electrical resistivity declines modestly.

  9. RAPD analysis of alfalfa DNA mutation via N+ implantation

    International Nuclear Information System (INIS)

    Li Yufeng; Huang Qunce; Yu Zengliang; Liang Yunzhang

    2003-01-01

    Germination capacity of alfalfa seeds under low energy N + implantation manifests oscillations going down with dose strength. From analyzing alfalfa genome DNA under low energy N + implantation by RAPD (Random Amplified Polymorphous DNA), it is recommended that 30 polymorphic DNA fragments be amplified with 8 primers in total 100 primers, and fluorescence intensity of the identical DNA fragment amplified by RAPD is different between CK and treatments. Number of different polymorphic DNA fragments between treatment and CK via N + implantation manifests going up with dose strength

  10. GC-MS analysis of ethanol solution with D2O as solvent implanted by low energy N+

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Yu Zengliang

    2001-10-01

    Low energy ions were produced by N 2 glow-discharge. The positive ones were accelerated into ethanol solution with D 2 O as solvent to induce chemical reactions. GC-MS analysis showed that DCH 2 CH 2 OH, HOCH 2 CH 2 OD were produced by such kind of implantation. Thus, it was proved that the reaction was mainly caused by radicals generated by decomposition of water molecules, D . and . OD played an important role in the process. Meanwhile, CH 3 COOD and HOCH 2 CH 2 ND 2 were also found in the products, so it was concluded that the reaction was carried out under an oxidative atmosphere, which was thought to be probably related to . OD radicals, too. The capture of D from D 2 O by N + to form . ND 2 radicals was not only an initial step to produce HOCH 2 CH 2 ND 2 but also served as a probable pattern for 'nitrogen deposition'. All these were helpful to reveal the mechanism of the reaction induced by low energy N + implanting into solution samples

  11. Application of TXRF for ion implanter dose matching experiments

    Science.gov (United States)

    Frost, M. R.; French, M.; Harris, W.

    2004-06-01

    Secondary ion mass spectrometry (SIMS) has been utilized for many years to measure the dose of ion implants in silicon for the purpose of verifying the ability of ion implantation equipment to accurately and reproducibly implant the desired species at the target dose. The development of statistically and instrumentally rigorous protocols has lead to high confidence levels, particularly with regard to accuracy and short-term repeatability. For example, high-dose, high-energy B implant dosimetry can be targeted to within ±1%. However, performing dose determination experiments using SIMS does have undesirable aspects, such as being highly labor intensive and sample destructive. Modern total reflection X-ray fluorescence (TXRF) instruments are equipped with capabilities for full 300 mm wafer handling, automated data acquisition software and intense X-ray sources. These attributes enable the technique to overcome the SIMS disadvantages listed above, as well as provide unique strengths that make it potentially highly amenable to implanter dose matching. In this paper, we report on data collected to date that provides confidence that TXRF is an effective and economical method to perform these measurements within certain limitations. We have investigated a number of ion implanted species that are within the "envelope" of TXRF application. This envelope is defined by a few important parameters. Species: For the anode materials used in the more common X-ray sources on the market, each has its own set of elements that can be detected. We have investigated W and Mo X-ray sources, which are the most common in use in commercial instrumentation. Implant energy: In general, if the energy of the implanted species is too high (or more specifically, the distribution of the implanted species is too deep), the amount of dopant not detected by TXRF may be significant, increasing the error of the measurement. Therefore, for each species investigated, the implant energy cannot exceed a

  12. Precision of fit between implant impression coping and implant replica pairs for three implant systems.

    Science.gov (United States)

    Nicoll, Roxanna J; Sun, Albert; Haney, Stephan; Turkyilmaz, Ilser

    2013-01-01

    The fabrication of an accurately fitting implant-supported fixed prosthesis requires multiple steps, the first of which is assembling the impression coping on the implant. An imprecise fit of the impression coping on the implant will cause errors that will be magnified in subsequent steps of prosthesis fabrication. The purpose of this study was to characterize the 3-dimensional (3D) precision of fit between impression coping and implant replica pairs for 3 implant systems. The selected implant systems represent the 3 main joint types used in implant dentistry: external hexagonal, internal trilobe, and internal conical. Ten impression copings and 10 implant replicas from each of the 3 systems, B (Brånemark System), R (NobelReplace Select), and A (NobelActive) were paired. A standardized aluminum test body was luted to each impression coping, and the corresponding implant replica was embedded in a stone base. A coordinate measuring machine was used to quantify the maximum range of displacement in a vertical direction as a function of the tightening force applied to the guide pin. Maximum angular displacement in a horizontal plane was measured as a function of manual clockwise or counterclockwise rotation. Vertical and rotational positioning was analyzed by using 1-way analysis of variance (ANOVA). The Fisher protected least significant difference (PLSD) multiple comparisons test of the means was applied when the F-test in the ANOVA was significant (α=.05). The mean and standard deviation for change in the vertical positioning of impression copings was 4.3 ±2.1 μm for implant system B, 2.8 ±4.2 μm for implant system R, and 20.6 ±8.8 μm for implant system A. The mean and standard deviation for rotational positioning was 3.21 ±0.98 degrees for system B, 2.58 ±1.03 degrees for system R, and 5.30 ±0.79 degrees for system A. The P-value for vertical positioning between groups A and B and between groups A and R was <.001. No significant differences were found for

  13. Effects of high-energy (MeV) ion implantation of polyester films

    International Nuclear Information System (INIS)

    Ueno, Keiji; Matsumoto, Yasuyo; Nishimiya, Nobuyuki; Noshiro, Mitsuru; Satou, Mamoru

    1991-01-01

    The effects of high-energy ion beam irradiation on polyester (PET) films using a 3 MeV tandem-type ion beam accelerator were studied. O, Ni, Pt, and Au as ion species were irradiated at 10 14 -10 15 ions/cm 2 on 50 μm thick PET films. Physical properties and molecular structure changes were studied by the surface resistivity measurements and RBS. The surface resistivity decreases with an increase in irradiation dose. At 10 15 ions/cm 2 irradiation, the surface resistivity is 10 8 Ω/□. According to RBS and XPS analyses, some carbon and oxygen atoms in the PET are replaced by implanted ions and the -C=O bonds are destroyed easily by the ion beam. (orig.)

  14. Implantation of 111In in NTDSi by heavy ion recoil technique

    International Nuclear Information System (INIS)

    Thakare, S.V.; Tomar, B.S.

    1998-01-01

    Heavy ion recoil implantation technique has been used to implant 111 In in n-type silicon using medium energy heavy ion accelerator Pelletron, at TIFR, Colaba, Mumbai. The nuclear reaction used for this purpose was 109 Ag( 7 Li,p4n) 111 In. The beam energy was optimised to be 50 MeV for maximum concentration of the implanted probe atoms. The gamma-ray spectrum of the implanted sample after 24 hours was found to contain only 171 and 245 keV gamma rays of 111 In. The penetration depth of ion is increased to 1.6 μm by heavy ion recoil implantation technique as compared to 0.16 μm with the conventional ion implantation technique. (author)

  15. Exploring of defects in He+ implanted Si(100) by slow positron beam

    International Nuclear Information System (INIS)

    Zhang Tianhao; Weng Huimin; Fan Yangmei; Du Jiangfeng; Zhou Xianyi; Han Rongdian; Zhang Miao; Lin Chenglu

    2001-01-01

    Si(100) crystal implanted by 5 x 10 16 cm -2 , 140 keV He + was probed by slow positron beam, and defect distribution along depth was obtained from the relation between S parameter and positron incidence energy. The near surface region of implanted sample was only slightly damaged. Small vacancies and vacancy clusters less than 1 nm in diameter were the dominant defects, while the deeper region around the He + projected range was heavily damaged and had dense larger helium micro-bubbles and microvoids. Thermal anneal study at different temperatures showed that low temperature annealing could remove most vacancy-type defects effectively. However, annealing at high temperature enlarged the diameters of micro-bubbles and microvoids

  16. Electron beam absorption in solid and in water phantoms: depth scaling and energy-range relations

    International Nuclear Information System (INIS)

    Grosswendt, B.; Roos, M.

    1989-01-01

    In electron dosimetry energy parameters are used with values evaluated from ranges in water. The electron ranges in water may be deduced from ranges measured in solid phantoms. Several procedures recommended by national and international organisations differ both in the scaling of the ranges and in the energy-range relations for water. Using the Monte Carlo method the application of different procedures for electron energies below 10 MeV is studied for different phantom materials. It is shown that deviations in the range scaling and in the energy-range relations for water may accumulate to give energy errors of several per cent. In consequence energy-range relations are deduced for several solid phantom materials which enable a single-step energy determination. (author)

  17. Structural and compositional characterization of X-cut LiNbO3 crystals implanted with high energy oxygen and carbon ions

    International Nuclear Information System (INIS)

    Bentini, G.G.; Bianconi, M.; Cerutti, A.; Chiarini, M.; Pennestri, G.; Sada, C.; Argiolas, N.; Bazzan, M.; Mazzoldi, P.; Guzzi, R.

    2005-01-01

    High energy implantation of medium-light elements such as oxygen and carbon was performed in X-cut LiNbO 3 single crystals in order to prepare high quality optical waveguides. The compositional and damage profiles, obtained by exploiting the secondary ion mass spectrometry and Rutherford back-scattering techniques respectively, were correlated to the structural properties measured by the high resolution X-ray diffraction. This study evidences the development of tensile strain induced by the ion implantation that can contribute to the decrease of the ordinary refractive index variation through the photo-elastic effect

  18. Particle energy loss spectroscopy and SEM studies of topography development in thin aluminium films implanted with high doses of helium

    International Nuclear Information System (INIS)

    Barfoot, K.M.; Webb, R.P.; Donnelly, S.E.

    1984-01-01

    Development of topography in thin (55.5 μg cm -2 ) self-supporting aluminium films, caused by high fluence (approx. 10 17 ions cm -2 ) irradiation with 5 keV helium ions, has been observed. This has been achieved by measuring the topography-enhanced energy straggling of 0.40 MeV 4 He + ions transmitted through the foils and detected with an electrostatic analyser of resolution 0.2 keV. Features, about 0.7 μm in width, are observed with scanning electron microscopy. TRIM Monte Carlo calculations of the implantation processes are performed in order to follow the helium implantation and damage depth distributions. It is deduced that a form of thin film micro-wrinkling has occurred which is caused by the relief of stress brought about by the implantation of helium. (author)

  19. Electrical and optical properties of nitrile rubber modified by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    S, Najidha [Department of Physics, B.J.M Govt: college, Chavara, Kollam, Kerala (India); Predeep, P. [Laboratory for molecular Photonics and Electronics, Department of Physics, National Institute of Technology, Calicut (India)

    2014-10-15

    Implantation of N{sup +} ion beams are performed on to a non-conjugated elastomer, acrylonirtle butadiene rubber (NBR) with energy 60 keV in the fluence range of 10{sup 14} to 10{sup 16} ions/cm{sup 2}. A decrease in the resistivity of the sample by about eight orders of magnitude is observed in the implanted samples along with color changes. The ion exposed specimens were characterized by means of UV/Vis spectroscopy which shows a shift in the absorption edge value for the as deposited polymer towards higher wavelengths. The band gap is evaluated from the absorption spectra and is found to decrease with increasing fluence. This study can possibly throw light on ion induced changes in the polymer surface.

  20. Immediately loaded mini dental implants as overdenture retainers: 1-Year cohort study of implant stability and peri-implant marginal bone level.

    Science.gov (United States)

    Šćepanović, Miodrag; Todorović, Aleksandar; Marković, Aleksa; Patrnogić, Vesna; Miličić, Biljana; Moufti, Adel M; Mišić, Tijana

    2015-05-01

    This 1-year cohort study investigated stability and peri-implant marginal bone level of immediately loaded mini dental implants used to retain overdentures. Each of 30 edentulous patients received 4 mini dental implants (1.8 mm × 13 mm) in the interforaminal mandibular region. The implants were immediately loaded with pre-made overdentures. Outcome measures included implant stability and bone resorption. Implant stability was measured using the Periotest Classic(®) device immediately after placement and on the 3rd and 6th weeks and the 4th, 6th and 12th months postoperatively. The peri-implant marginal bone level (PIBL) was evaluated at the implant's mesial and distal sides from the polished platform to the marginal crest. Radiographs were taken using a tailored film holder to reproducibly position the X-ray tube at the 6th week, 4th and 12th months postoperatively. The primary stability (Periotest value, PTV) measured -0.27 ± 3.41 on a scale of -8 to + 50 (lower PTV reflects higher stability). The secondary stability decreased significantly until week 6 (mean PTV = 7.61 ± 7.05) then increased significantly reaching (PTV = 6.17 ± 6.15) at 12 months. The mean PIBL measured -0.40 mm after 1 year of functional loading, with no statistically significant differences at the various follow-ups (p = 0.218). Mini dental implants placed into the interforaminal region could achieve a favorable primary stability for immediate loading. The follow-up Periotest values fluctuated, apparently reflecting the dynamics of bone remodeling, with the implants remaining clinically stable (98.3%) after 1 year of function. The 1-year bone resorption around immediately loaded MDIs is within the clinically acceptable range for standard implants. Copyright © 2014 Elsevier GmbH. All rights reserved.

  1. Annealing behaviour of MeV erbium implanted lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Gortmaker, P.; McCallum, J.C. [Royal Melbourne Inst. of Tech., VIC (Australia)

    1993-12-31

    Lithium niobate (LiNbO{sub 3}) is a crystalline ceramic commonly used in the fabrication of optoelectronic devices. Recently, rare earth doping of LiNbO{sub 3} has become a topic of particular interest. The electronic configuration of rare earth elements such as Erbium (Er) and Neodymium (Nd) allows them to lase in nearly any host matrix making fabrication of a whole range of new optoelectronic devices possible. At present, the doping technique, for LiNbO{sub 3} are centred upon diffusion technology, but the diffusion profiles for the rare earths are not generally well-matched to the optical modes of the device. The aim of this research is to develop MeV implantation and annealing conditions of rare earth doped LiNbO{sub 3} that would be compatible with optoelectronic device fabrication. To determine the characteristics of the rare earth elements in the LiNbO{sub 3} host material over the depth range of interest in optoelectronic device applications, high energy Rutherford backscattering spectrometry and ion channeling (RBS-C) must be used. Presented here are the Er depth profile and lattice damage results obtained from 5 MeV RBS-C measurements on samples of LiNbO{sub 3} implanted with various doses of MeV Erbium and subsequently thermally annealed at a temperature of 1000 deg C. It was found that there is a peak implant concentration (2 x 10{sup 16} Er/cm{sup 2}) for which erbium no longer goes substitutional in the lattice, and the implantation damage is not fully removed by annealing. 8 refs., 3 figs.

  2. Annealing behaviour of MeV erbium implanted lithium niobate

    Energy Technology Data Exchange (ETDEWEB)

    Gortmaker, P; McCallum, J C [Royal Melbourne Inst. of Tech., VIC (Australia)

    1994-12-31

    Lithium niobate (LiNbO{sub 3}) is a crystalline ceramic commonly used in the fabrication of optoelectronic devices. Recently, rare earth doping of LiNbO{sub 3} has become a topic of particular interest. The electronic configuration of rare earth elements such as Erbium (Er) and Neodymium (Nd) allows them to lase in nearly any host matrix making fabrication of a whole range of new optoelectronic devices possible. At present, the doping technique, for LiNbO{sub 3} are centred upon diffusion technology, but the diffusion profiles for the rare earths are not generally well-matched to the optical modes of the device. The aim of this research is to develop MeV implantation and annealing conditions of rare earth doped LiNbO{sub 3} that would be compatible with optoelectronic device fabrication. To determine the characteristics of the rare earth elements in the LiNbO{sub 3} host material over the depth range of interest in optoelectronic device applications, high energy Rutherford backscattering spectrometry and ion channeling (RBS-C) must be used. Presented here are the Er depth profile and lattice damage results obtained from 5 MeV RBS-C measurements on samples of LiNbO{sub 3} implanted with various doses of MeV Erbium and subsequently thermally annealed at a temperature of 1000 deg C. It was found that there is a peak implant concentration (2 x 10{sup 16} Er/cm{sup 2}) for which erbium no longer goes substitutional in the lattice, and the implantation damage is not fully removed by annealing. 8 refs., 3 figs.

  3. Implant and prosthodontic survival rates with implant fixed complete dental prostheses in the edentulous mandible after at least 5 years: a systematic review.

    Science.gov (United States)

    Papaspyridakos, Panos; Mokti, Muizzaddin; Chen, Chun-Jung; Benic, Goran I; Gallucci, German O; Chronopoulos, Vasilios

    2014-10-01

    The treatment of mandibular edentulism with implant fixed complete dental prostheses (IFCDPs) is a routinely used treatment option. The study aims to report the implant and prosthodontic survival rates associated with IFCDPs for the edentulous mandible after an observation period of a minimum 5 years. An electronic MEDLINE/PubMED search was conducted to identify randomized controlled clinical trials and prospective studies with IFCDPs for the edentulous mandible. Clinical studies with at least 5-year follow-up were selected. Pooled data were statistically analyzed and cumulative implant- and prosthesis survival rates were calculated by meta-analysis, regression, and chi-square statistics. Implant-related and prosthesis-related factors were identified and their impact on survival rates was assessed. Seventeen prospective studies, including 501 patients and 2,827 implants, were selected for meta-analysis. The majority of the implants (88.5% of all placed implants) had been placed in the interforaminal area. Cumulative implant survival rates for rough surface ranged from 98.42% (95% confidence interval [CI]: 97.98-98.86) (5 years) to 96.86% (95% CI: 96.00-97.73) (10 years); smooth surface implant survival rates ranged from 98.93% (95% CI: 98.38-99.49) (5 years) to 97.88% (95% CI: 96.78-98.98) (10 years). The prosthodontic survival rates for 1-piece IFCDPs ranged from 98.61% (95% CI: 97.80-99.43) (5 years) to 97.25% (95% CI: 95.66-98.86) (10 years). Treatment with mandibular IFCDPs yields high implant and prosthodontic survival rates (more than 96% after 10 years). Rough surface implants exhibited cumulative survival rates similar to the smooth surface ones (p > .05) in the edentulous mandible. The number of supporting implants and the antero-posterior implant distribution had no influence (p > .05) on the implant survival rate. The prosthetic design and veneering material, the retention type, and the loading protocol (delayed, early, and immediate) had no

  4. Aligned ion implantation using scanning probes

    International Nuclear Information System (INIS)

    Persaud, A.

    2006-01-01

    A new technique for precision ion implantation has been developed. A scanning probe has been equipped with a small aperture and incorporated into an ion beamline, so that ions can be implanted through the aperture into a sample. By using a scanning probe the target can be imaged in a non-destructive way prior to implantation and the probe together with the aperture can be placed at the desired location with nanometer precision. In this work first results of a scanning probe integrated into an ion beamline are presented. A placement resolution of about 120 nm is reported. The final placement accuracy is determined by the size of the aperture hole and by the straggle of the implanted ion inside the target material. The limits of this technology are expected to be set by the latter, which is of the order of 10 nm for low energy ions. This research has been carried out in the context of a larger program concerned with the development of quantum computer test structures. For that the placement accuracy needs to be increased and a detector for single ion detection has to be integrated into the setup. Both issues are discussed in this thesis. To achieve single ion detection highly charged ions are used for the implantation, as in addition to their kinetic energy they also deposit their potential energy in the target material, therefore making detection easier. A special ion source for producing these highly charged ions was used and their creation and interactions with solids of are discussed in detail. (orig.)

  5. Fatigue and wear of metalloid-ion-implanted metals

    International Nuclear Information System (INIS)

    Hohmuth, K.; Richter, E.; Rauschenbach, B.; Blochwitz, C.

    1985-01-01

    The effect of metalloid ion implantation on the fatigue behaviour and wear of nickel and two steels has been investigated. These metals were implanted with boron, carbon and nitrogen ions at energies from 30 to 60 keV and with doses from 1 X 10 16 to 1 X 10 18 ions cm -2 at room temperature. The mechanical behaviour of fatigued nickel was studied in push-pull tests at room temperature. Wear measurements were made using a pin-and-disc technique. The surface structure, dislocation arrangement and modification of the implantation profile resulting from mechanical tests on metals which had been implanted with metalloid ions were examined using high voltage electron microscopy, transmission high energy electron diffraction, scanning electron microscopy and Auger electron spectroscopy. It is reported that nitrogen and boron ion implantation improves the fatigue lifetime, changes the number and density of the slip bands and modifies the dislocation arrangements in nickel. The cyclic deformation leads to recrystallization of the boron-ion-induced amorphous structure of nickel and to diffusion of the boron and nitrogen in the direction of the surface. The wear behaviour of steels was improved by implantation of mass-separated ions and by implantation of ions without mass separation. (Auth.)

  6. An improved energy-range relationship for high-energy electron beams based on multiple accurate experimental and Monte Carlo data sets

    International Nuclear Information System (INIS)

    Sorcini, B.B.; Andreo, P.; Hyoedynmaa, S.; Brahme, A.; Bielajew, A.F.

    1995-01-01

    A theoretically based analytical energy-range relationship has been developed and calibrated against well established experimental and Monte Carlo calculated energy-range data. Only published experimental data with a clear statement of accuracy and method of evaluation have been used. Besides published experimental range data for different uniform media, new accurate experimental data on the practical range of high-energy electron beams in water for the energy range 10-50 MeV from accurately calibrated racetrack microtrons have been used. Largely due to the simultaneous pooling of accurate experimental and Monte Carlo data for different materials, the fit has resulted in an increased accuracy of the resultant energy-range relationship, particularly at high energies. Up to date Monte Carlo data from the latest versions of the codes ITS3 and EGS4 for absorbers of atomic numbers between four and 92 (Be, C, H 2 O, PMMA, Al, Cu, Ag, Pb and U) and incident electron energies between 1 and 100 MeV have been used as a complement where experimental data are sparse or missing. The standard deviation of the experimental data relative to the new relation is slightly larger than that of the Monte Carlo data. This is partly due to the fact that theoretically based stopping and scattering cross-sections are used both to account for the material dependence of the analytical energy-range formula and to calculate ranges with the Monte Carlo programs. For water the deviation from the traditional energy-range relation of ICRU Report 35 is only 0.5% at 20 MeV but as high as - 2.2% at 50 MeV. An improved method for divergence and ionization correction in high-energy electron beams has also been developed to enable use of a wider range of experimental results. (Author)

  7. Implant Mandibular Overdentures Retained by Immediately Loaded Implants: A 1-Year Randomized Trial Comparing the Clinical and Radiographic Outcomes Between Mini Dental Implants and Standard-Sized Implants.

    Science.gov (United States)

    Zygogiannis, Kostas; Aartman, Irene Ha; Parsa, Azin; Tahmaseb, Ali; Wismeijer, Daniel

    The aim of this 1-year randomized trial was to evaluate and compare the clinical and radiographic performance of four immediately loaded mini dental implants (MDIs) and two immediately loaded standard-sized tissue-level (STL) implants, placed in the interforaminal region of the mandible and used to retain mandibular overdentures (IODs) in completely edentulous patients. A total of 50 completely edentulous patients wearing conventional maxillary dentures and complaining about insufficient retention of their mandibular dentures were divided into two groups; 25 patients received four MDIs and 25 patients received two STL implants. The marginal bone loss (MBL) at the mesial and distal sides of each implant was assessed by means of standardized intraoral radiographs after a period of 1 year. Implant success and survival rates were also calculated. Immediate loading was possible for all patients in the first group. In the second group, an immediate loading protocol could not be applied for 10 patients. These patients were treated with a delayed loading protocol. A mean MBL of 0.42 ± 0.56 mm for the MDIs and 0.54 ± 0.49 mm for the immediately loaded STL implants was recorded at the end of the evaluation period. There was no statistically significant difference between the MDIs and the immediately loaded STL implants. Two MDIs failed, resulting in a survival rate of 98%. The success rate was 91%. For the immediately loaded conventional implants, the survival rate was 100% and the success rate 96.7% after 1 year of function. However, in 10 patients, the immediate loading protocol could not be followed. Considering the limitations of this short-term clinical study, immediate loading of four unsplinted MDIs or two splinted STL implants to retain mandibular overdentures seems to be a feasible treatment option. The marginal bone level changes around the MDIs were well within the clinically acceptable range.

  8. Unconstrained tripolar hip implants: effect on hip stability.

    Science.gov (United States)

    Guyen, Olivier; Chen, Qing Shan; Bejui-Hugues, Jacques; Berry, Daniel J; An, Kai-Nan

    2007-02-01

    Tripolar implants were developed to treat unstable total hip arthroplasties. However, there is limited confirmation that they achieve this purpose despite their increasing use. Because they have a larger effective head size, these implants are expected to increase range of motion to impingement and improve stability in situations at risk for impingement compared with conventional implants. We assessed the range of motion to impingement using a tripolar implant mounted to an automated hip simulator using 22.2-mm and 28-mm femoral head sizes. The 22 and 28-mm tripolar implants provided increases of 30.5 degrees in flexion, 15.4 degrees in adduction, and 22.4 degrees in external rotation compared with the conventional 22.2-mm femoral head diameter implant. At the critical position of 90 degrees hip flexion, there was an increase of 45.2 degrees in internal rotation. At 0 degrees and 30 degrees external rotation, extension increases were 18.8 degrees and 7.8 degrees, respectively. Bony impingement was the limiting factor. Tripolar implants increased the arc of motion before impingement in positions at risk for dislocation and are expected to provide greater stability.

  9. Recall management of patients with Rofil Medical breast implants.

    Science.gov (United States)

    Schott, Sarah; Bruckner, Thomas; Golatta, Michael; Wallwiener, Markus; Küffner, Livia; Mayer, Christine; Paringer, Carmen; Domschke, Christoph; Blumenstein, Maria; Schütz, Florian; Sohn, Christof; Heil, Joerg

    2014-07-01

    Some Rofil Medical breast implants are relabelled Poly Implant Prothèse (PIP) implants, and it is recommended that Rofil implants be managed in the same way as PIP implants. We report the results of a systematic recall of patients who had received Rofil implants. All patients who received Rofil implants at our centre were identified and invited for specialist consultation. In patients who opted for explantation, preoperative and intraoperative work-up was performed in accordance with national guidelines and analysed. In cases suspicious for rupture, an MRI scan was performed. Two-hundred and twenty-five patients (average age 56; range 28-80) received a total of 321 Rofil implants an average of 5.8 (range 1-11) years previously, 225/321 (70%) implants were used for reconstruction after breast cancer. A total of 43 implants were removed prior to 2011, mainly due to capsular contracture (CC). A total of 188 patients were still affected at the time of recall. Of the 188 patients, 115 (61%) attended for specialist consultation, of which 50 (44%) requested immediate implant removal. To date, 72 of 115 (63%) women attending consultation (38% of all affected) have chosen explantation, 66 of 72 (92%) opting for new implants. Of the 108 explanted implants, 25 (23%) had capsular rupture and 57 (53%) had implant bleeding. Preoperative clinical assessment was unreliable for predicting CC or rupture. The majority of patients attended for consultation and requested explantation. The quality of the explanted Rofil implants was comparable to PIP implants, with a higher rupture prevalence compared with other, non-affected implants. Nevertheless, the acceptance of breast implants for reimplantation remained high. Copyright © 2014 British Association of Plastic, Reconstructive and Aesthetic Surgeons. Published by Elsevier Ltd. All rights reserved.

  10. Effects of incident energy and angle on carbon cluster ions implantation on silicon substrate: a molecular dynamics study

    Science.gov (United States)

    Wei, Ye; Sang, Shengbo; Zhou, Bing; Deng, Xiao; Chai, Jing; Ji, Jianlong; Ge, Yang; Huo, Yuanliang; Zhang, Wendong

    2017-09-01

    Carbon cluster ion implantation is an important technique in fabricating functional devices at micro/nanoscale. In this work, a numerical model is constructed for implantation and implemented with a cutting-edge molecular dynamics method. A series of simulations with varying incident energies and incident angles is performed for incidence on silicon substrate and correlated effects are compared in detail. Meanwhile, the behavior of the cluster during implantation is also examined under elevated temperatures. By mapping the nanoscopic morphology with variable parameters, numerical formalism is proposed to explain the different impacts on phrase transition and surface pattern formation. Particularly, implantation efficiency (IE) is computed and further used to evaluate the performance of the overall process. The calculated results could be properly adopted as the theoretical basis for designing nano-structures and adjusting devices’ properties. Project supported by the National Natural Science Foundation of China (Nos. 51622507, 61471255, 61474079, 61403273, 51502193, 51205273), the Natural Science Foundation of Shanxi (Nos. 201601D021057, 201603D421035), the Youth Foundation Project of Shanxi Province (Nos. 2015021097), the Doctoral Fund of MOE of China (No. 20131402110013), the National High Technology Research and Development Program of China (No. 2015AA042601), and the Specialized Project in Public Welfare from The Ministry of Water Resources of China (Nos. 1261530110110).

  11. Surface characterization of the cement for retention of implant supported dental prostheses: In vitro evaluation of cement roughness and surface free energy

    Energy Technology Data Exchange (ETDEWEB)

    Brajkovic, Denis [Clinic for Dentistry, Department of Maxillofacial Surgery, Faculty of Medical Sciences, University of Kragujevac, Svetozara Markovica 69, 34000 Kragujevac (Serbia); Antonijevic, Djordje; Milovanovic, Petar [Laboratory for Anthropology, Institute of Anatomy, School of Medicine, University of Belgrade, Dr. Subotica 4/2, 11000 Belgrade (Serbia); Kisic, Danilo [Laboratory for Atomic Physics, Institute of Nuclear Sciences “Vinca”, University of Belgrade, Belgrade (Serbia); Zelic, Ksenija; Djuric, Marija [Laboratory for Anthropology, Institute of Anatomy, School of Medicine, University of Belgrade, Dr. Subotica 4/2, 11000 Belgrade (Serbia); Rakocevic, Zlatko, E-mail: zlatkora@vinca.rs [Laboratory for Atomic Physics, Institute of Nuclear Sciences “Vinca”, University of Belgrade, Belgrade (Serbia)

    2014-08-30

    Graphical abstract: - Highlights: • Surface free energy and surface roughness influence bacterial adhesion. • Bacterial colonization causes periimplantitis and implant loss. • Zinc-based, glass-ionomers and resin-cements were investigated. • Glass-ionomers-cements present the lowest values of surface free energy and roughness. • Glass-ionomer-cements surface properties result with reduced bacterial adhesion. - Abstract: Background: Material surface free energy and surface roughness strongly influence the bacterial adhesion in oral cavity. The aim of this study was to analyze these two parameters in various commercial luting agents used for cementation of implant restorations. Materials and methods: Zinc-based, glass-ionomers, resin modified glass-ionomer and resin-cements were investigated. Contact angle and surface free energy were measured by contact angle analyzer using Image J software program. Materials’ average roughness and fractal dimension were calculated based on Atomic Force Microscope topography images. Results: Zinc phosphate cements presented significantly higher total surface free energy and significantly lower dispersive component of surface free energy compared to other groups, while resin-cements showed significantly lower polar component than other groups. The surface roughness and fractal dimension values were statistically the highest in the zinc phosphate cements and the lowest for the glass-ionomers cements. Conclusion: Glass-ionomers-cements presented lower values of surface free energy and surface roughness than zinc phosphate and resin cements, indicating that their surfaces are less prone to biofilm adhesion. Practical implications: Within limitations of an in vitro trial, our results indicate that glass-ionomers-cements could be the cements of choice for fixation of cement retained implant restorations due to superior surface properties compared to zinc phosphate and resin cements, which may result in reduced plaque formation

  12. Surface characterization of the cement for retention of implant supported dental prostheses: In vitro evaluation of cement roughness and surface free energy

    International Nuclear Information System (INIS)

    Brajkovic, Denis; Antonijevic, Djordje; Milovanovic, Petar; Kisic, Danilo; Zelic, Ksenija; Djuric, Marija; Rakocevic, Zlatko

    2014-01-01

    Graphical abstract: - Highlights: • Surface free energy and surface roughness influence bacterial adhesion. • Bacterial colonization causes periimplantitis and implant loss. • Zinc-based, glass-ionomers and resin-cements were investigated. • Glass-ionomers-cements present the lowest values of surface free energy and roughness. • Glass-ionomer-cements surface properties result with reduced bacterial adhesion. - Abstract: Background: Material surface free energy and surface roughness strongly influence the bacterial adhesion in oral cavity. The aim of this study was to analyze these two parameters in various commercial luting agents used for cementation of implant restorations. Materials and methods: Zinc-based, glass-ionomers, resin modified glass-ionomer and resin-cements were investigated. Contact angle and surface free energy were measured by contact angle analyzer using Image J software program. Materials’ average roughness and fractal dimension were calculated based on Atomic Force Microscope topography images. Results: Zinc phosphate cements presented significantly higher total surface free energy and significantly lower dispersive component of surface free energy compared to other groups, while resin-cements showed significantly lower polar component than other groups. The surface roughness and fractal dimension values were statistically the highest in the zinc phosphate cements and the lowest for the glass-ionomers cements. Conclusion: Glass-ionomers-cements presented lower values of surface free energy and surface roughness than zinc phosphate and resin cements, indicating that their surfaces are less prone to biofilm adhesion. Practical implications: Within limitations of an in vitro trial, our results indicate that glass-ionomers-cements could be the cements of choice for fixation of cement retained implant restorations due to superior surface properties compared to zinc phosphate and resin cements, which may result in reduced plaque formation

  13. Effective implantation of light emitting centers by plasma immersion ion implantation and focused ion beam methods into nanosized diamond

    International Nuclear Information System (INIS)

    Himics, L.; Tóth, S.; Veres, M.; Tóth, A.; Koós, M.

    2015-01-01

    Highlights: • Characteristics of nitrogen implantation of nanodiamond using two low ion energy ion implantation methods were compared. • Formation of complex nitrogen-related defect centers was promoted by subsequent helium implantation and heat treatments. • Depth profiles of the implanted ions and the generated vacancies were determined using SRIM calculations. • The presence of nitrogen impurity was demonstrated by Fourier-transform infrared spectroscopic measurements. • A new nitrogen related band was detected in the photoluminescence spectrum of the implanted samples that was attributed to the N3 color center in nanodiamond. - Abstract: Two different implantation techniques, plasma immersion ion implantation and focused ion beam, were used to introduce nitrogen ions into detonation nanodiamond crystals with the aim to create nitrogen-vacancy related optically active centers of light emission in near UV region. Previously samples were subjected to a defect creation process by helium irradiation in both cases. Heat treatments at different temperatures (750 °C, 450 °C) were applied in order to initiate the formation of nitrogen-vacancy related complex centers and to decrease the sp 2 carbon content formed under different treatments. As a result, a relatively narrow and intensive emission band with fine structure at 2.98, 2.83 and 2.71 eV photon energies was observed in the light emission spectrum. It was assigned to the N3 complex defect center. The formation of this defect center can be expected by taking into account the relatively high dose of implanted nitrogen ions and the overlapped depth distribution of vacancies and nitrogen. The calculated depth profiles distribution for both implanted nitrogen and helium by SRIM simulation support this expectation

  14. Dental Implants in an Aged Population: Evaluation of Periodontal Health, Bone Loss, Implant Survival, and Quality of Life.

    Science.gov (United States)

    Becker, William; Hujoel, Philippe; Becker, Burton E; Wohrle, Peter

    2016-06-01

    To evaluate aged partially and fully edentulous patients who received dental implants and were maintained over time. Further, to determine how the partially and edentulous ageing populations (65 and above) with dental implants maintain bone levels, proper oral hygiene, and perceive benefits of dental implants. Since 1995, patients receiving dental implants have been prospectively entered into an Access-based computerized program (Triton Tacking System). Patient demographics (age, sex), bone quality, quantity, implant location, and type of surgery have been continuously entered into the database. The database was queried for patients receiving implants (first stage) between 66 and 93 years of age. Thirty-one patients were within this age group. Twenty-five patients returned to the clinic for periodontal and dental implant evaluation. The Periodontal Index was used to evaluate selected teeth in terms of probing depth, bleeding on probing, plaque accumulation, and mobility. Using NIH Image J, radiographs taken at second stage and last examination were measured for changes in interproximal bone levels. Once identified, each patient anomalously filled out an abbreviated quality of health life form. Due to small sample size, descriptive statistics were used to compare clinical findings. Fifteen males ranging from 78 to 84 (mean age 84 years) years and 16 females from 66 to 93 (mean age 83 years) (age range 66-93) were contacted by phone or mail and asked to return to our office for a re-examination. For this group, the first dental implants were placed in 1996 (n = initial two implants) and continuously recorded through 2013 (n = last seven implants). Thirty-one patients received a total of 84 implants. Two patients were edentulous, and the remaining were partially edentulous. Four implants were lost. Between implant placement and 6- to 7-year interval, 13 patients with 40 implants had a cumulative survival rate of 94.6%. Of the original group (n = 33), three

  15. Synthesis of 5'-CMP and 5'-dCMP in aqueous solution induced by low energy ions implantation

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2001-01-01

    Low energy N + ions produced by N 2 are accelerated and then introduced into aqueous solution to induce chemical reactions. This process avoids the need of a vacuum chamber and makes it possible to investigate the actions of low energy ions in aqueous solution. In order to explore prebiotic synthesis of nucleotide via reaction between low energy ions and aqueous solution under the primitive earth conditions, low energy N + is implanted into aqueous solution containing cytosine, D-ribose, D-2-deoxyribose and NH 4 H 2 PO 4 . It is confirmed that 5'-CMP and 5'-dCMP are produced by HPLC and 1 H-NMR analyses. The relation between yields of 5'-CMP and 5'-dCMP and irradiation time has been obtained

  16. Wireless Power Transfer Strategies for Implantable Bioelectronics.

    Science.gov (United States)

    Agarwal, Kush; Jegadeesan, Rangarajan; Guo, Yong-Xin; Thakor, Nitish V

    2017-01-01

    Neural implants have emerged over the last decade as highly effective solutions for the treatment of dysfunctions and disorders of the nervous system. These implants establish a direct, often bidirectional, interface to the nervous system, both sensing neural signals and providing therapeutic treatments. As a result of the technological progress and successful clinical demonstrations, completely implantable solutions have become a reality and are now commercially available for the treatment of various functional disorders. Central to this development is the wireless power transfer (WPT) that has enabled implantable medical devices (IMDs) to function for extended durations in mobile subjects. In this review, we present the theory, link design, and challenges, along with their probable solutions for the traditional near-field resonant inductively coupled WPT, capacitively coupled short-ranged WPT, and more recently developed ultrasonic, mid-field, and far-field coupled WPT technologies for implantable applications. A comparison of various power transfer methods based on their power budgets and WPT range follows. Power requirements of specific implants like cochlear, retinal, cortical, and peripheral are also considered and currently available IMD solutions are discussed. Patient's safety concerns with respect to electrical, biological, physical, electromagnetic interference, and cyber security from an implanted neurotech device are also explored in this review. Finally, we discuss and anticipate future developments that will enhance the capabilities of current-day wirelessly powered implants and make them more efficient and integrable with other electronic components in IMDs.

  17. SU-E-T-274: Radiation Therapy with Very High-Energy Electron (VHEE) Beams in the Presence of Metal Implants

    Energy Technology Data Exchange (ETDEWEB)

    Jensen, C; Palma, B; Qu, B; Maxim, P; Loo, B; Bazalova, M [Department of Radiation Oncology, Stanford University, Stanford, CA (United States); Hardemark, B; Hynning, E [RaySearch Laboratories, Stockholm (Sweden)

    2014-06-01

    Purpose: To evaluate the effect of metal implants on treatment plans for radiation therapy with very high-energy electron (VHEE) beams. Methods: The DOSXYZnrc/BEAMnrc Monte Carlo (MC) codes were used to simulate 50–150MeV VHEE beam dose deposition and its effects on steel and titanium (Ti) heterogeneities in a water phantom. Heterogeneities of thicknesses ranging from 0.5cm to 2cm were placed at 10cm depth. MC was also used to calculate electron and photon spectra generated by the VHEE beams' interaction with metal heterogeneities. The original VMAT patient dose calculation was planned in Eclipse. Patient dose calculations with MC-generated beamlets were planned using a Matlab GUI and research version of RayStation. VHEE MC treatment planning was performed on water-only geometry and water with segmented prostheses (steel and Ti) geometries with 100MeV and 150MeV beams. Results: 100MeV PDD 5cm behind steel/Ti heterogeneity was 51% less than in the water-only phantom. For some cases, dose enhancement lateral to the borders of the phantom increased the dose by up to 22% in steel and 18% in Ti heterogeneities. The dose immediately behind steel heterogeneity decreased by an average of 6%, although for 150MeV, the steel heterogeneity created a 23% increase in dose directly behind it. The average dose immediately behind Ti heterogeneities increased 10%. The prostate VHEE plans resulted in mean dose decrease to the bowel (20%), bladder (7%), and the urethra (5%) compared to the 15MV VMAT plan. The average dose to the body with prosthetic implants was 5% higher than to the body without implants. Conclusion: Based on MC simulations, metallic implants introduce dose perturbations to VHEE beams from lateral scatter and backscatter. However, when performing clinical planning on a prostate case, the use of multiple beams and inverse planning still produces VHEE plans that are dosimetrically superior to photon VMAT plans. BW Loo and P Maxim received research support from

  18. SU-E-T-274: Radiation Therapy with Very High-Energy Electron (VHEE) Beams in the Presence of Metal Implants

    International Nuclear Information System (INIS)

    Jensen, C; Palma, B; Qu, B; Maxim, P; Loo, B; Bazalova, M; Hardemark, B; Hynning, E

    2014-01-01

    Purpose: To evaluate the effect of metal implants on treatment plans for radiation therapy with very high-energy electron (VHEE) beams. Methods: The DOSXYZnrc/BEAMnrc Monte Carlo (MC) codes were used to simulate 50–150MeV VHEE beam dose deposition and its effects on steel and titanium (Ti) heterogeneities in a water phantom. Heterogeneities of thicknesses ranging from 0.5cm to 2cm were placed at 10cm depth. MC was also used to calculate electron and photon spectra generated by the VHEE beams' interaction with metal heterogeneities. The original VMAT patient dose calculation was planned in Eclipse. Patient dose calculations with MC-generated beamlets were planned using a Matlab GUI and research version of RayStation. VHEE MC treatment planning was performed on water-only geometry and water with segmented prostheses (steel and Ti) geometries with 100MeV and 150MeV beams. Results: 100MeV PDD 5cm behind steel/Ti heterogeneity was 51% less than in the water-only phantom. For some cases, dose enhancement lateral to the borders of the phantom increased the dose by up to 22% in steel and 18% in Ti heterogeneities. The dose immediately behind steel heterogeneity decreased by an average of 6%, although for 150MeV, the steel heterogeneity created a 23% increase in dose directly behind it. The average dose immediately behind Ti heterogeneities increased 10%. The prostate VHEE plans resulted in mean dose decrease to the bowel (20%), bladder (7%), and the urethra (5%) compared to the 15MV VMAT plan. The average dose to the body with prosthetic implants was 5% higher than to the body without implants. Conclusion: Based on MC simulations, metallic implants introduce dose perturbations to VHEE beams from lateral scatter and backscatter. However, when performing clinical planning on a prostate case, the use of multiple beams and inverse planning still produces VHEE plans that are dosimetrically superior to photon VMAT plans. BW Loo and P Maxim received research support from

  19. Assessment of the effects of laser photobiomodulation on peri-implant bone repair through energy dispersive x-ray fluorescence: A study of dogs

    Science.gov (United States)

    Menezes, R. F.; Araújo, N. C.; Carneiro, V. S. M.; Moreno, L. M.; Guerra, L. A. P.; Santos Neto, A. P.; Gerbi, M. E. M.

    2016-03-01

    Bone neoformation is essential in the osteointegration of implants and has been correlated with the repair capacity of tissues, the blood supply and the function of the cells involved. Laser therapy accelerates the mechanical imbrication of peri-implant tissue by increasing osteoblastic activity and inducing ATP, osteopontin and the expression of sialoproteins. Objective: The aim of the present study was to assess peri-implant bone repair using the tibia of dogs that received dental implants and laser irradiation (AsGaAl 830nm - 40mW, CW, f~0.3mm) through Energy Dispersive X-ray Fluorescence (EDXRF). Methodology: Two groups were established: G1 (Control, n=20; two dental implants were made in the tibia of each animal; 10 animals); G2 (Experimental, n=20, two dental implants were made in the tibia each animal + Laser therapy; 10 animals). G2 was irradiated every 48 hours for two weeks, with a total of seven sessions. The first irradiation was conducted during the surgery, at which time a point in the surgical alveolus was irradiated prior to the placement of the implant and four new spatial positions were created to the North, South, East and West (NSEW) of the implant. The subsequent sessions involved irradiation at these four points and at one infra-implant point (in the direction of the implant apex). Each point received 4J/cm2 and a total dose of 20J/cm2 per session (treatment dose=140J/cm2). The specimens were removed 15 and 30 days after the operation for the EDXRF test. The Mann- Whitney statistical test was used to assess the results. Results: The increase in the calcium concentration in the periimplant region of the irradiated specimens (G2) was statistically significant (p repair in the peri-implant region.

  20. RBS-channeling study of radiation damage in Ar{sup +} implanted CuInSe{sub 2} crystals

    Energy Technology Data Exchange (ETDEWEB)

    Yakushev, Michael V., E-mail: michael.yakushev@strath.ac.uk [Department of Physics, SUPA, Strathclyde University, Glasgow G4 0NG (United Kingdom); Ural Federal University, Ekaterinburg 620002 (Russian Federation); Institute of Solid State Chemistry of the Urals Branch of RAS, Ekaterinburg 620990 (Russian Federation); Volkov, Vladimir A. [Ural Federal University, Ekaterinburg 620002 (Russian Federation); Mursakulov, Niyazi N.; Sabzaliyeva, Chimnaz E. [Institute of Physics, National Academy of Sciences of Azerbaijan, H.Cavid ave., 33, AZ-1143 Baku (Azerbaijan); Martin, Robert W. [Department of Physics, SUPA, Strathclyde University, Glasgow G4 0NG (United Kingdom)

    2016-09-15

    Chalcopyrite solar cells are reported to have a high tolerance to irradiation by high energy electrons or ions, but the origin of this is not well understood. This work studies the evolution of damage in Ar{sup +}-bombarded CuInSe{sub 2} single crystal using Rutherford backscattering/channeling analysis. Ar{sup +} ions of 30 keV were implanted with doses in the range from 10{sup 12} to 3 × 10{sup 16} cm{sup −2} at room temperature. Implantation was found to create two layers of damage: (1) on the surface, caused by preferential sputtering of Se and Cu atoms; (2) at the layer of implanted Ar, possibly consisting of stacking faults and dislocation loops. The damage in the second layer was estimated to be less than 2% of the theoretical prediction suggesting efficient healing of primary implantation defects.

  1. Wear life of sputtered MoSx films extended by high energy ion implantation

    International Nuclear Information System (INIS)

    Okazaki, Yasufumi; Fujiura, Hideo; Nishimura, Makoto

    2000-01-01

    The tribological characteristics of sputtered MoSx films have been reportedly improved by inert gas ion implantation. We tried to extend their wear life by introducing indium, carbon and gallium ion implantation. Pin-on-disk testers were used to measure friction coefficient and wear life in a vacuum, dry and humid air. Comparing with the unimplanted films, we found that the indium ion implanted films showed marked improvement in wear life in a vacuum. Carbon ion implanted films showed improvement in wear life in high humid air. Implantation was effective when it was conducted with maximum concentration at the interface between film and substrate rather than at the neighborhood of the interface inside a film. (author)

  2. The air oxidation behavior of lanthanum ion implanted zirconium at 500 deg. C

    CERN Document Server

    Peng, D Q; Chen, X W; Zhou, Q G

    2003-01-01

    The beneficial effect of lanthanum ion implantation on the oxidation behavior of zirconium at 500 deg. C has been studied. Zirconium specimens were implanted by lanthanum ions using a MEVVA source at energy of 40 keV with a fluence range from 1x10 sup 1 sup 6 to 1x10 sup 1 sup 7 ions/cm sup 2 at maximum temperature of 130 deg. C, The weight gain curves were measured after being oxidized in air at 500 deg. C for 100 min, which showed that a significant improvement was achieved in the oxidation behavior of zirconium ion implanted with lanthanum compared with that of the as-received zirconium. The valence of the oxides in the scale was analyzed by X-ray photoemission spectroscopy; and then the depth distributions of the elements in the surface of the samples were obtained by Auger electron spectroscopy. Glancing angle X-ray diffraction at 0.3 deg. incident angles was employed to examine the modification of its phase transformation because of the lanthanum ion implantation in the oxide films. It was obviously fou...

  3. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  4. Range of impingement-free abduction and adduction deficit after reverse shoulder arthroplasty. Hierarchy of surgical and implant-design-related factors.

    Science.gov (United States)

    Gutiérrez, Sergio; Comiskey, Charles A; Luo, Zong-Ping; Pupello, Derek R; Frankle, Mark A

    2008-12-01

    Evaluations of functional outcomes of reverse shoulder arthroplasty have revealed variable improvements in the range of motion and high rates of scapular notching. The purpose of this study was to systematically examine the impact of surgical factors (location of the glenosphere on the glenoid and tilt angle of the glenosphere on the glenoid) and implant-related factors (implant size, center-of-rotation offset, and humeral neck-shaft angle) on impingement-free abduction motion. A computer model was developed to virtually simulate abduction/adduction motion and its dependence on five surgical and implant-related factors. Three conditions were tested for each factor, resulting in a total of 243 simulated combinations. The overall motion was determined from 0 degrees of abduction until maximum abduction, which would be limited by impingement of the humerosocket on the scapula. In those combinations in which 0 degrees of abduction could not be achieved, the adduction deficit was recorded. The largest average increase in the range of impingement-free abduction motion resulted from a more lateral center-of-rotation offset: the average increase was 31.9 degrees with a change in the center-of-rotation offset from 0 to 10 mm, and this change resulted in an increase in abduction motion in eighty of the eighty-one combinations. The position of the glenosphere on the glenoid was associated with the second largest average increase in abduction motion (28.1 degrees when the glenosphere position was changed from superior to inferior, with the change resulting in an increase in seventy-one of the eighty-one combinations). These factors were followed by glenosphere tilt, humeral neck-shaft angle, and prosthetic size in terms of their effects on abduction motion. The largest effect in terms of avoiding an adduction deficit was provided by a humeral neck-shaft angle of 130 degrees (the deficit was avoided in forty-nine of the eighty-one combinations in which this angle was used

  5. Range-energy relations and stopping power of water, water vapour and tissue equivalent liquid for α particles over the energy range 0.5 to 8 MeV

    International Nuclear Information System (INIS)

    Palmer, R.B.J.; Akhavan-Rezayat, Ahmad

    1978-01-01

    Experimental range-energy relations are presented for alpha particles in water, water vapour and tissue equivalent liquid at energies up to 8 MeV. From these relations differential stopping powers are derived at 0.25 MeV energy intervals. Consideration is given to sources of error in the range-energy measurements and to the uncertainties that these will introduce into the stopping power values. The ratio of the differential stopping power of muscle equivalent liquid to that of water over the energy range 0.5 to 7.5 MeV is discussed in relation to the specific gravity and chemical composition of the muscle equivalent liquid. Theoretical molecular stopping power calculations based upon the Bethe formula are also presented for water. The effect of phase upon the stopping power of water is discussed. The molecular stopping power of water vapour is shown to be significantly higher than that of water for energies below 1.25 MeV and above 2.5 MeV, the ratio of the two stopping powers rising to 1.39 at 0.5 MeV and to 1.13 at 7.0 MeV. Stopping power measurements for other liquids and vapours are compared with the results for water and water vapour and some are observed to have stopping power ratios in the vapour and liquid phases which vary with energy in a similar way to water. It is suggested that there may be several factors contributing to the increased stopping power of liquids. The need for further experimental results on a wider range of liquids is stressed

  6. Implantation processing of Si: A unified approach to understanding ion-induced defects and their impact

    International Nuclear Information System (INIS)

    Holland, O.W.; Roth, E.G.

    1997-05-01

    A model is presented to account for the effects of ion-induced defects during implantation processing of Si. It will be shown that processing is quite generally affected by the presence of defect excesses rather than the total number of defects. a defect is considered excess if it represents a surplus locally of one defect type over its compliment. Processing spanning a wide range of implantation conditions will be presented to demonstrate that the majority of the total defects played little or no role in the process. This is a direct result of the ease with which the spatially correlated Frenkel pairs recombine either dynamically or during a post-implantation annealing. Based upon this model, a method will be demonstrated for manipulating or engineering the excess defects to modify their effects. In particular high-energy, self-ions are shown to inject vacancies into a boron implanted region resulting in suppression of transient enhanced diffusion of the dopant

  7. Comparison of visual outcomes after bilateral implantation of extended range of vision and trifocal intraocular lenses.

    Science.gov (United States)

    Ruiz-Mesa, Ramón; Abengózar-Vela, Antonio; Aramburu, Ana; Ruiz-Santos, María

    2017-06-26

    To compare visual outcomes after cataract surgery with bilateral implantation of 2 intraocular lenses (IOLs): extended range of vision and trifocal. Each group of this prospective study comprised 40 eyes (20 patients). Phacoemulsification followed by bilateral implantation of a FineVision IOL (group 1) or a Symfony IOL (group 2) was performed. The following outcomes were assessed up to 1 year postoperatively: binocular uncorrected distance visual acuity (UDVA), binocular uncorrected intermediate visual acuity (UIVA) at 60 cm, binocular uncorrected near visual acuity (UNVA) at 40 cm, spherical equivalent (SE) refraction, defocus curves, mesopic and photopic contrast sensitivity, halometry, posterior capsule opacification (PCO), and responses to a patient questionnaire. The mean binocular values in group 1 and group 2, respectively, were SE -0.15 ± 0.25 D and -0.19 ± 0.18 D; UDVA 0.01 ± 0.03 logMAR and 0.01 ± 0.02 logMAR; UIVA 0.11 ± 0.08 logMAR and 0.09 ± 0.08 logMAR; UNVA 0.06 ± 0.07 logMAR and 0.17 ± 0.06 logMAR. Difference in UNVA between IOLs (pvisual outcomes. The FineVision IOL showed better near visual acuity. Predictability of the refractive results and optical performance were excellent; all patients achieved spectacle independence. The 2 IOLs gave similar and good contrast sensitivity in photopic and mesopic conditions and low perception of halos by patients.

  8. Implants for orthodontic anchorage

    Science.gov (United States)

    Zheng, Xiaowen; Sun, Yannan; Zhang, Yimei; Cai, Ting; Sun, Feng; Lin, Jiuxiang

    2018-01-01

    Abstract Implantanchorage continues to receive much attention as an important orthodontic anchorage. Since the development of orthodontic implants, the scope of applications has continued to increase. Although multiple reviews detailing implants have been published, no comprehensive evaluations have been performed. Thus, the purpose of this study was to comprehensively evaluate the effects of implants based on data published in review articles. An electronic search of the Cochrane Library, Medline, Embase, Ebsco and Sicencedirect for reviews with “orthodontic” and “systematic review or meta analysis” in the title, abstract, keywords, or full text was performed. A subsequent manual search was then performed to identify reviews concerning orthodontic implants. A manual search of the orthodontic journals American Journal of Orthodontics and Dentofacial Orthopedics (AJODO), European Journal of Orthodontics (EJO), and Angle Othodontist was also performed. Such systematic reviews that evaluated the efficacy and safety of orthodontic implants were used to indicate success rates and molar movements. A total of 23 reviews were included in the analysis. The quality of each review was assessed using a measurement tool for Assessment of Multiple Systematic Reviews (AMSTAR), and the review chosen to summarize outcomes had a quality score of >6. Most reviews were less than moderate quality. Success rates of implants ranged in a broad scope, and movement of the maxillary first molar was superior with implants compared with traditional anchorage. PMID:29595673

  9. Wear properties of metal ion implanted 4140 steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Paoloni, F.J.

    1994-01-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10 16 ionscm -2 were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  10. Synthesis and characterization of ion-implanted Pt nanocrystals in SiO2

    International Nuclear Information System (INIS)

    Giulian, R.; Kluth, P.; Johannessen, B.; Araujo, L.L.; Llewellyn, D.J.; Cookson, D.J.; Ridgway, M.C.

    2007-01-01

    Pt nanocrystals (NCs) produced by ion implantation in SiO 2 films were investigated by Rutherford backscattering spectroscopy (RBS), transmission electron microscopy (TEM) and small angle X-ray scattering (SAXS). The implantations were performed at liquid nitrogen temperature using energies between 3.4 and 5.6 MeV and an ion fluence range of 2-30 x 10 16 cm -2 and were followed by annealing in forming gas (95% N 2 , 5% H 2 ) for one hour at temperatures between 500 and 1100 deg. C. TEM analysis revealed that the NCs are spherical in shape. The mean size of the NCs annealed at 1100 deg. C varied between 2.8 and 3.6 nm for the highest and lowest fluences, respectively, as determined with both TEM and SAXS. In contrast to previous studies on ion implanted metal NCs, larger Pt NCs are located far beyond the Pt peak concentration, potentially the result of a strongly defect mediated NC nucleation

  11. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  12. Comparison of proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Barik, S; Tan, H H; Jagadish, C [Department of Electronic Materials Engineering, Research School of Physical Sciences and Engineering, Australian National University, Canberra, Australian Capital Territory 0200 (Australia)

    2007-05-02

    We report and compare proton and phosphorous ion implantation-induced intermixing of InAs/InP quantum dots (QDs). After ion implantation at 20-300 deg. C, the QDs are rapid thermally annealed at 850 deg. C for 30 s. Proton implantation induces less energy shift than P ion implantation for a given concentration of atomic displacements due to the more efficient dynamic annealing of the defects created by protons. The implantation-induced energy shift reaches a maximum value of about 260 meV for a dose of 5 x 10{sup 12} ions cm{sup -2} in the P ion implanted QDs, which also show narrower PL linewidths compared to the proton implanted QDs. We also report the effects of an InGaAs top cap layer on the ion implantation-induced QD intermixing and show that defect production and annihilation processes evolve differently in InGaAs and InP layers and vary with the implantation temperature. When the implantation is performed at higher temperatures, the energy shift of the P ion implanted QDs capped with an InP layer increases due to the reduction in larger defect cluster formation at higher temperatures, while the energy shift of the proton implanted QDs decreases due to increased dynamic annealing irrespective of their cap layers.

  13. Simulations of Proton Implantation in Silicon Carbide (SiC)

    Science.gov (United States)

    2016-03-31

    Simulations of Proton Implantation in Silicon Carbide (SiC) Jonathan P. McCandless, Hailong Chen, Philip X.-L. Feng Electrical Engineering, Case...of implanting protons (hydrogen ions, H+) into SiC thin layers on silicon (Si) substrate, and explore the ion implantation conditions that are...relevant to experimental radiation of SiC layers. Keywords: silicon carbide (SiC); radiation effects; ion implantation ; proton; stopping and range of

  14. Evaluation of stabilization techniques for ion implant processing

    Science.gov (United States)

    Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Narcy, Mark E.; Livesay, William R.

    1999-06-01

    With the integration of high current ion implant processing into volume CMOS manufacturing, the need for photoresist stabilization to achieve a stable ion implant process is critical. This study compares electron beam stabilization, a non-thermal process, with more traditional thermal stabilization techniques such as hot plate baking and vacuum oven processing. The electron beam processing is carried out in a flood exposure system with no active heating of the wafer. These stabilization techniques are applied to typical ion implant processes that might be found in a CMOS production process flow. The stabilization processes are applied to a 1.1 micrometers thick PFI-38A i-line photoresist film prior to ion implant processing. Post stabilization CD variation is detailed with respect to wall slope and feature integrity. SEM photographs detail the effects of the stabilization technique on photoresist features. The thermal stability of the photoresist is shown for different levels of stabilization and post stabilization thermal cycling. Thermal flow stability of the photoresist is detailed via SEM photographs. A significant improvement in thermal stability is achieved with the electron beam process, such that photoresist features are stable to temperatures in excess of 200 degrees C. Ion implant processing parameters are evaluated and compared for the different stabilization methods. Ion implant system end-station chamber pressure is detailed as a function of ion implant process and stabilization condition. The ion implant process conditions are detailed for varying factors such as ion current, energy, and total dose. A reduction in the ion implant systems end-station chamber pressure is achieved with the electron beam stabilization process over the other techniques considered. This reduction in end-station chamber pressure is shown to provide a reduction in total process time for a given ion implant dose. Improvements in the ion implant process are detailed across

  15. Behavior of PET implanted by Ti, Ag, Si and C ion using MEVVA implantation

    International Nuclear Information System (INIS)

    Wu Yuguang; Zhang Tonghe; Zhang Yanwen; Zhang Huixing; Zhang Xiaoji; Zhou Gu

    2001-01-01

    Polyethylene terephthalane (PET) has been modified with Ti, Ag, Si and C ions from a metal vapor arc source (MEVVA). Ti, Ag, Si and C ions were implanted with acceleration voltage 40 kV to fluences ranging from 1x10 16 to 2x10 17 cm -2 . The surface of implanted PET darkened with increasing ion dose, when the metal ion dose was greater than 1x10 17 cm -2 the color changed to metallic bright. The surface resistance decreases by 5-6 orders of magnitude with increasing dose. The resistivity is stable after long-term storage. The depth of Ti- and Ag-implanted layer is approximately 150 and 80 nm measured by Rutherford backscattering (RBS), respectively. TEM photos revealed the presence of Ti and Ag nano-meter particles on the surface resulting from the high-dose implantation. Ti and Ag ion implantations improved conductivity and wear resistance significantly. The phase and structural changes were obtained by X-ray diffraction (XRD). It can be seen that nano-meter particles of Ti precipitation, TiO 2 and Ti-carbides have been formed in implanted layer. Nano-hardness of implanted PET has been measured by a nano-indenter. The results show that the surface hardness, modulus and wear resistance could be increased

  16. Calculation of the substitutional fraction of ion-implanted He in an α-Fe target

    Science.gov (United States)

    Erhart, Paul; Marian, Jaime

    2011-07-01

    Ion-implantation is a useful technique to study irradiation damage in nuclear materials. To study He effects in nuclear fusion conditions, He is co-implanted with damage ions to reproduce the correct He/dpa ratios in the desired or available depth range. However, the short-term fate of these He ions, i.e. over the time scales of their own collisional phase, has not been yet unequivocally established. Here we present a computational study of the short-term evolution of He implantation in an Fe substrate at 700 K to approximate the conditions encountered in dual ion-implantation studies in ferritic materials. Using a combination of SRIM, molecular dynamics and kinetic Monte Carlo (kMC), we calculate the fraction of He atoms that end up in substitutional sites shortly after implantation, i.e. before they contribute to long-term microstructural evolution. We find that fractions of at most 3% should be expected for most implantation studies. Additionally, to inform the kMC calculations, we carry out an exhaustive calculation of interstitial He migration energy barriers in the vicinity of matrix vacancies and find that they vary from approximately 20-60 meV depending on the separation and orientation of the He-vacancy pair.

  17. Calculation of the substitutional fraction of ion-implanted He in an α-Fe target

    International Nuclear Information System (INIS)

    Erhart, Paul; Marian, Jaime

    2011-01-01

    Ion-implantation is a useful technique to study irradiation damage in nuclear materials. To study He effects in nuclear fusion conditions, He is co-implanted with damage ions to reproduce the correct He/dpa ratios in the desired or available depth range. However, the short-term fate of these He ions, i.e. over the time scales of their own collisional phase, has not been yet unequivocally established. Here we present a computational study of the short-term evolution of He implantation in an Fe substrate at 700 K to approximate the conditions encountered in dual ion-implantation studies in ferritic materials. Using a combination of SRIM, molecular dynamics and kinetic Monte Carlo (kMC), we calculate the fraction of He atoms that end up in substitutional sites shortly after implantation, i.e. before they contribute to long-term microstructural evolution. We find that fractions of at most 3% should be expected for most implantation studies. Additionally, to inform the kMC calculations, we carry out an exhaustive calculation of interstitial He migration energy barriers in the vicinity of matrix vacancies and find that they vary from approximately 20-60 meV depending on the separation and orientation of the He-vacancy pair.

  18. Ion-implantation dense cascade data

    International Nuclear Information System (INIS)

    Winterbon, K.B.

    1983-04-01

    A tabulation is given of data useful in estimating various aspects of ion-implantation cascades in the nuclear stopping regime, particularly with respect to nonlinearity of the cascade at high energy densities. The tabulation is restricted to self-ion implantation. Besides power-cross-section cascade dimensions, various material properties are included. Scaling of derived quantities with input data is noted, so one is not limited to the values assumed by the author

  19. Ion Implantation and Synthesis of Materials

    CERN Document Server

    Nastasi, Michael

    2006-01-01

    Ion implantation is one of the key processing steps in silicon integrated circuit technology. Some integrated circuits require up to 17 implantation steps and circuits are seldom processed with less than 10 implantation steps. Controlled doping at controlled depths is an essential feature of implantation. Ion beam processing can also be used to improve corrosion resistance, to harden surfaces, to reduce wear and, in general, to improve materials properties. This book presents the physics and materials science of ion implantation and ion beam modification of materials. It covers ion-solid interactions used to predict ion ranges, ion straggling and lattice disorder. Also treated are shallow-junction formation and slicing silicon with hydrogen ion beams. Topics important for materials modification, such as ion-beam mixing, stresses, and sputtering, are also described.

  20. Rapid capless annealing of28Si,64Zn, and9Be implants in GaAs

    Science.gov (United States)

    Liu, S. G.; Narayan, S. Y.

    1984-11-01

    We report the use of tungsten-halogen lamps for rapid (-10 s) thermal annealing of ion-implanted (100) GaAs under AsH3/Ar and N2 atmospheres. Annealing under flowing AsH3/Ar was carried out without wafer encapsulation. Rapid capless annealing activated implants in GaAs with good mobility and surface morphology. Typical mobilities were 3700 4500 cm2/V-s for n-layers with about 2×1017cm-3 carrier concentration and 50 150 cm2/v-s for 0.1 5xl019 cm-3 doped p-layers. Rapid thermal annealing was performed in a vertical quartz tube where different gases (N2, AsH3/H2, AsH3/Ar) can be introduced. Samples were encapsulated with SiO when N2 was used. Tungsten-halogen lamps of 600 or 1000 W were utilized for annealing GaAs wafers ranging from 1 to 10 cm2 in area and 0.025 to 0.040 cm in thickness. The transient temperature at the wafer position was monitored using a fine thermocouple. We carried out experiments for energies of 30 to 200 keV, doses of 2×1012 to 1×1015 cm-2, and peak temperatures ranging from 600 to 1000‡C. Most results quoted are in the 700 to 870‡C temperature range. Data on implant conditions, optimum anneal conditions, electrical characteristics, carrier concentration profiles, and atomic profiles of the implanted layers are described.

  1. Tribological studies of ion-implanted steel constituents

    International Nuclear Information System (INIS)

    Wei, Ronghau.

    1990-01-01

    Tribological properties of ion-implanted ferrite and austenite were studied systematically using a unique oscillating pin-on-disc wear tester. Results show that nitrogen implantation at elevated temperatures to high doses dramatically improves the adhesive wear resistance of ferrite and the critical load at which the adhesive wear mechanism changes from mild to severe for austenite. The wear resistance of nitrogen-implanted ferrite is determined by the nitride formed. Extremely hard solid solutions of nitrogen develop on the implanted austenite surfaces and induce three orders of magnitude reductions in wear rates. The implantation conditions that should be used to produce deep, wear-resistant layers for both steels are discussed in detail. Oscillating pin-on-disc wear tests demonstrate that nitrogen does not diffuse during the wearing process although tests conducted using conventional fixed pin-on-disc test equipment could erroneously suggest this occurs. Taken together, the results show that high-dose-rate implantation at low energies yields very-high-quality implanted surfaces at low cost

  2. Gas sensing of ruthenium implanted tungsten oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tesfamichael, T., E-mail: t.tesfamichael@qut.edu.au [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia); Ahsan, M. [William A. Cook Australia, 95 Brandl Street Eight Mile Plains, Brisbane, QLD 4113 (Australia); Notarianni, M. [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia); Groß, A.; Hagen, G.; Moos, R. [University of Bayreuth, Faculty of Engineering Science, Department of Functional Materials, Universitätsstr. 30, 95440 Bayreuth (Germany); Ionescu, M. [ANSTO, Institute for Environmental Research, Locked Bag 2001, Kirrawee DC, NSW 2232 (Australia); Bell, J. [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia)

    2014-05-02

    Different amounts of Ru were implanted into thermally evaporated WO{sub 3} thin films by ion implantation. The films were subsequently annealed at 600 °C for 2 h in air to remove defects generated during the ion implantation. The Ru concentrations of four samples have been quantified by Rutherford Backscattering Spectrometry as 0.8, 5.5, 9 and 11.5 at.%. The un-implanted WO{sub 3} films were highly porous but the porosity decreased significantly after ion implantation as observed by Transmission Electron Microscopy and Scanning Electron Microscopy. The thickness of the films also decreased with increasing Ru-ion dose, which is mainly due to densification of the porous films during ion implantation. From Raman Spectroscopy two peaks at 408 and 451 cm{sup −1} (in addition to the typical vibrational peaks of the monoclinic WO{sub 3} phase) associated with Ru were observed. Their intensity increased with increasing Ru concentration. X-ray Photoelectron Spectroscopy showed a metallic state of Ru with binding energy of Ru 3d{sub 5/2} at 280.1 eV. This peak position remained almost unchanged with increasing Ru concentration. The resistances of the Ru-implanted films were found to increase in the presence of NO{sub 2} and NO with higher sensor response to NO{sub 2}. The effect of Ru concentration on the sensing performance of the films was not explicitly observed due to reduced film thickness and porosity with increasing Ru concentration. However, the results indicate that the implantation of Ru into WO{sub 3} films with sufficient film porosity and film thickness can be beneficial for NO{sub 2} sensing at temperatures in the range of 250 °C to 350 °C. - Highlights: • Densification of WO{sub 3} thin films has occurred after Ru ion implantation. • Thickness and porosity of the films decrease with increasing Ru ion dose. • The amount of oxygen vacancies and defects increases with increasing Ru ion dose. • Ru has shown a crucial role in enhancing sensor response

  3. Adsorption on insulator materials enhanced by D implantation

    International Nuclear Information System (INIS)

    Ibarra, A.; Climent-Font, A.; Munoz-Martin, A.

    2005-01-01

    Many insulator materials used in ITER are exposed to a gas phase composed of D, T and a plasma with hydrocarbons, Fe and other particles combined with the presence of an intense neutron and gamma radiation field. Some of these materials (Al 2 O 3 and SiO 2 ) are implanted at room temperature with low energy D and H ions in order to simulate some of the DT gas effects. The implantation is characterized using optical absorption and elastic recoil detection analysis (ERDA) techniques. It is observed that ion implantation as well as electron or gamma irradiation increases the surface scattering and the concentration of C and H adsorbed at the surface, suggesting that a radiation-induced surface degradation process is taking place and an increase of the surface adsorption capability. The effect is higher for higher dose implantation and for lower energy

  4. Cranioplasty with individual titanium implants

    Science.gov (United States)

    Mishinov, S.; Stupak, V.; Sadovoy, M.; Mamonova, E.; Koporushko, N.; Larkin, V.; Novokshonov, A.; Dolzhenko, D.; Panchenko, A.; Desyatykh, I.; Krasovsky, I.

    2017-09-01

    Cranioplasty is the second procedure in the history of neurosurgery after trepanation, and it is still relevant despite the development of civilization and progress in medicine. Each cranioplasty operation is unique because there are no two patients with identical defects of the skull bones. The development of Direct Metal Laser Sintering (DMLS) technique opened up the possibility of direct implant printing of titanium, a biocompatible metal used in medicine. This eliminates the need for producing any intermediate products to create the desired implant. We have produced 8 patient-specific titanium implants using this technique for patients who underwent different decompressive cranioectomies associated with bone tumors. Follow-up duration ranged from 6 to 12 months. We observed no implant-related reactions or complications. In all cases of reconstructive neurosurgery we achieved good clinical and aesthetic results. The analysis of the literature and our own experience in three-dimensional modeling, prototyping, and printing suggests that direct laser sintering of titanium is the optimal method to produce biocompatible surgical implants.

  5. In Vivo Self-Powered Wireless Cardiac Monitoring via Implantable Triboelectric Nanogenerator.

    Science.gov (United States)

    Zheng, Qiang; Zhang, Hao; Shi, Bojing; Xue, Xiang; Liu, Zhuo; Jin, Yiming; Ma, Ye; Zou, Yang; Wang, Xinxin; An, Zhao; Tang, Wei; Zhang, Wei; Yang, Fan; Liu, Yang; Lang, Xilong; Xu, Zhiyun; Li, Zhou; Wang, Zhong Lin

    2016-07-26

    Harvesting biomechanical energy in vivo is an important route in obtaining sustainable electric energy for powering implantable medical devices. Here, we demonstrate an innovative implantable triboelectric nanogenerator (iTENG) for in vivo biomechanical energy harvesting. Driven by the heartbeat of adult swine, the output voltage and the corresponding current were improved by factors of 3.5 and 25, respectively, compared with the reported in vivo output performance of biomechanical energy conversion devices. In addition, the in vivo evaluation of the iTENG was demonstrated for over 72 h of implantation, during which the iTENG generated electricity continuously in the active animal. Due to its excellent in vivo performance, a self-powered wireless transmission system was fabricated for real-time wireless cardiac monitoring. Given its outstanding in vivo output and stability, iTENG can be applied not only to power implantable medical devices but also possibly to fabricate a self-powered, wireless healthcare monitoring system.

  6. Pure high dose metal ion implantation using the plasma immersion technique

    International Nuclear Information System (INIS)

    Zhang, T.; Tang, B.Y.; Zeng, Z.M.; Kwok, T.K.; Chu, P.K.; Monteiro, O.R.; Brown, I.G.

    1999-01-01

    High energy implantation of metal ions can be carried out using conventional ion implantation with a mass-selected ion beam in scanned-spot mode by employing a broad-beam approach such as with a vacuum arc ion source, or by utilizing plasma immersion ion implantation with a metal plasma. For many high dose applications, the use of plasma immersion techniques offers a high-rate process, but the formation of a surface film along with the subsurface implanted layer is sometimes a severe or even fatal detriment. We describe here an operating mode of the metal plasma immersion approach by which pure implantation can be obtained. We have demonstrated the technique by carrying out Ti and Ta implantations at energies of about 80 and 120 keV for Ti and Ta, respectively, and doses on the order of 1x10 17 ions/cm 2 . Our experiments show that virtually pure implantation without simultaneous surface deposition can be accomplished. Using proper synchronization of the metal arc and sample voltage pulse, the applied dose that deposits as a film versus the part that is energetically implanted (the deposition-to-implantation ratio) can be precisely controlled.copyright 1999 American Institute of Physics

  7. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  8. Assessment of tidal range energy resources based on flux conservation in Jiantiao Bay, China

    Science.gov (United States)

    Du, Min; Wu, He; Yu, Huaming; Lv, Ting; Li, Jiangyu; Yu, Yujun

    2017-12-01

    La Rance Tidal Range Power Station in France and Jiangxia Tidal Range Power Station in China have been both long-term successful commercialized operations as kind of role models for public at large for more than 40 years. The Sihwa Lake Tidal Range Power Station in South Korea has also developed to be the largest marine renewable power station with its installed capacity 254 MW since 2010. These practical applications prove that the tidal range energy as one kind of marine renewable energy exploitation and utilization technology is becoming more and more mature and it is used more and more widely. However, the assessment of the tidal range energy resources is not well developed nowadays. This paper summarizes the main problems in tidal range power resource assessment, gives a brief introduction to tidal potential energy theory, and then we present an analyzed and estimated method based on the tide numerical modeling. The technical characteristics and applicability of these two approaches are compared with each other. Furthermore, based on the theory of tidal range energy generation combined with flux conservation, this paper proposes a new assessment method that include a series of evaluation parameters and it can be easily operated to calculate the tidal range energy of the sea. Finally, this method is applied on assessment of the tidal range power energy of the Jiantiao Harbor in Zhejiang Province, China for demonstration and examination.

  9. A study of enhanced diffusion during high dose high flux pulsed metal ion implantation into steel and aluminium

    International Nuclear Information System (INIS)

    Zhang Tonghe; Ji Chengzhou; Shen Jinghua; Chen Jun

    1992-01-01

    The depth profiles of metal ions implanted into steel and aluminium were measured by Rutherford backscattering (RBS). The ions of Mo, W and Y, produced by a metal vapour vacuum are ion source (MEVVA) were implanted at an energy range from 25 to 50 keV for doses of (2-5)x10 17 cm -2 into H13 steel and aluminium. Beam currents were from 0.5 to 1.0 A. The beam flux is in the range of 25 to 75 μAcm -2 . In order to simulate the profiles, a formula which includes the sputtering yield, diffusion coefficients and reaction rate was obtained. The results demonstrate that the penetration depth and retained dose increase with increasing beam flux for Mo implanted into aluminium. The peak concentration of Mo implanted H13 steel increases with increasing ion flux. In contrast to this for Y implantation into steel, the peak concentration of Y decreases with increasing ion flux. For an ion flux of 25 μAcm -2 for Mo, Y and W implantation into steel, the penetration depth and retained dose are 3-5 times greater than the theoretical values. The diffusion coefficients are about 10 -16 to 10 -15 s -1 . If the ion flux is greater than 47 μAcm -2 , the penetration depth and retained dose are 5 to 10 times greater than the theoretical values for Mo implanted aluminium. The diffusion coefficients increase with increasing ion flux for Mo implanted aluminium. The diffusion coefficients hardly change with increasing ion flux for Y and Mo implanted H13 steel. The retained dose increases 0.43 to 1.16 times for Y implanted steel for an ion flux of 25 μAcm -2 . Finally, the influence of phases precipitates, reaction rate and diffusion on retained dose, diffusion coefficient and penetration depth are discussed. (orig.)

  10. NEUTRON-PROTON EFFECTIVE RANGE PARAMETERS AND ZERO-ENERGY SHAPE DEPENDENCE.

    Energy Technology Data Exchange (ETDEWEB)

    HACKENBURG, R.W.

    2005-06-01

    A completely model-independent effective range theory fit to available, unpolarized, np scattering data below 3 MeV determines the zero-energy free proton cross section {sigma}{sub 0} = 20.4287 {+-} 0.0078 b, the singlet apparent effective range r{sub s} = 2.754 {+-} 0.018{sub stat} {+-} 0.056{sub syst} fm, and improves the error slightly on the parahydrogen coherent scattering length, a{sub c} = -3.7406 {+-} 0.0010 fm. The triplet and singlet scattering lengths and the triplet mixed effective range are calculated to be a{sub t} = 5.4114 {+-} 0.0015 fm, a{sub s} = -23.7153 {+-} 0.0043 fm, and {rho}{sub t}(0,-{epsilon}{sub t}) = 1.7468 {+-} 0.0019 fm. The model-independent analysis also determines the zero-energy effective ranges by treating them as separate fit parameters without the constraint from the deuteron binding energy {epsilon}{sub t}. These are determined to be {rho}{sub t}(0,0) = 1.705 {+-} 0.023 fm and {rho}{sub s}(0,0) = 2.665 {+-} 0.056 fm. This determination of {rho}{sub t}(0,0) and {rho}{sub s}(0,0) is most sensitive to the sparse data between about 20 and 600 keV, where the correlation between the determined values of {rho}{sub t}(0,0) and {rho}{sub s}(0,0) is at a minimum. This correlation is responsible for the large systematic error in r{sub s}. More precise data in this range are needed. The present data do not event determine (with confidence) that {rho}{sub t}(0,0) {ne} {rho}{sub t}(0, -{epsilon}{sub t}), referred to here as ''zero-energy shape dependence''. The widely used measurement of {sigma}{sub 0} = 20.491 {+-} 0.014 b from W. Dilg, Phys. Rev. C 11, 103 (1975), is argued to be in error.

  11. Wear properties of metal ion implanted 4140 steel

    Energy Technology Data Exchange (ETDEWEB)

    Evans, P.J. (Applications of Nuclear Physics, Ansto, Private Mail Bag 1, Menai, NSW 2234 (Australia)); Paoloni, F.J. (Department of Electrical and Computer Engineering, University of Wollongong, GPO Box 1144, Wollongong, NSW 2500 (Australia))

    1994-07-01

    AISI type 4140 (high tensile) steel has been implanted with tungsten and titanium using a metal vapour vacuum arc ion source. Doses in the range (1-5)x10[sup 16]ionscm[sup -2] were implanted to a depth of approximately 30nm. The relative wear resistance between non-implanted and implanted specimens has been estimated using pin-on-disc and abrasive wear tests. Implantation of titanium decreased the area of wear tracks by a factor of 5 over unimplanted steel. In some cases the steel was also hardened by a liquid carburization treatment before implantation. Abrasion tests revealed a further improvement in wear resistance on this material following ion irradiation. ((orig.))

  12. Computer simulation of range and damage distributions of He ions in SiC

    International Nuclear Information System (INIS)

    Miyagawa, Yoshiko; Ato, Yasuro; Miyagawa, Soji

    1984-01-01

    The experimental projected ranges of various heavy ions in an amorphous Si target in the energy region where the nuclear stopping dominates are compared with calculations using the computer simulation program SASAMAL with the Lenz-Jensen, Moliere, Thomas-Fermi and Kalbitzer-Oetzmann (KO) screening parameters. In most cases. the best agreement was obtained with the KO screening parameters. The projected range distributions of He ions implanted in an SiC target were calculated using SASAMAL with KO screening parameters. The agreement between the SASAMAL(KO) results and our experimental data was satisfactory when the electronic stopping parameter k=1.3 k sub(NS) was used. The energy and the depth distributions of the primary knock-on atoms and the depth distributions of the recoil energy density with various values of the displacement energy Esub(d) were also calculated using SASAMAL(KO) for He ions in SiC. (author)

  13. Preservation and release dose of helium implanted in nanocrystal titanium film

    International Nuclear Information System (INIS)

    Long Xinggui; Luo Shunzhong; Peng Shuming; Zheng Sixiao; Liu Zhongyang; Wang Peilu; Liao Xiaodong; Liu Ning

    2003-01-01

    Helium concentration profile, preservation dose and release rate from a nanocrystal titanium film implanted with helium at an energy of 100 keV and dose of 2.2 x 10 18 cm -2 are measured by proton Rutherford backscattering technique in a range from room temperature to 400 degree C. The implanted helium may be stably preserved up to the 68 percent after keeping a long time of 210 d in the nanocrystal titanium film at the room temperature environment, and the He-Ti atomic ratio reaches to 52.6%. When the temperature of specimen increases to 100 degree C, the helium concentration can be preserved to 89.6% of the keeping helium dose at room temperature and He-Ti atomic ratio reaches 44%. Even if the specimen temperature up to 400 degree C, the helium concentration still can be preserved to 32.6% of the keeping helium dose at room temperature and the He-Ti atomic ratio is 17.1%. Possible mechanism of helium effectively preserved in the nanocrystal titanium film is discussed based on the energy stability viewpoint

  14. Printing of Titanium implant prototype

    International Nuclear Information System (INIS)

    Wiria, Florencia Edith; Shyan, John Yong Ming; Lim, Poon Nian; Wen, Francis Goh Chung; Yeo, Jin Fei; Cao, Tong

    2010-01-01

    Dental implant plays an important role as a conduit of force and stress to flow from the tooth to the related bone. In the load sharing between an implant and its related bone, the amount of stress carried by each of them directly related to their stiffness or modulus. Hence, it is a crucial issue for the implant to have matching mechanical properties, in particular modulus, between the implant and its related bone. Titanium is a metallic material that has good biocompatibility and corrosion resistance. Whilst the modulus of the bulk material is still higher than that of bone, it is the lowest among all other commonly used metallic implant materials, such as stainless steel or cobalt alloy. Hence it is potential to further reduce the modulus of pure Titanium by engineering its processing method to obtain porous structure. In this project, porous Titanium implant prototype is fabricated using 3-dimensional printing. This technique allows the flexibility of design customization, which is beneficial for implant fabrication as tailoring of implant size and shape helps to ensure the implant would fit nicely to the patient. The fabricated Titanium prototype had a modulus of 4.8-13.2 GPa, which is in the range of natural bone modulus. The compressive strength achieved was between 167 to 455 MPa. Subsequent cell culture study indicated that the porous Titanium prototype had good biocompatibility and is suitable for bone cell attachment and proliferation.

  15. He implantation induced nanovoids in crystalline Si

    International Nuclear Information System (INIS)

    Kilpelaeinen, S.; Kuitunen, K.; Slotte, J.; Tuomisto, F.; Bruno, E.; Mirabella, S.; Priolo, F.

    2009-01-01

    Positron annihilation spectroscopy (PAS) in Doppler broadening mode was used to study the vacancy profile of crystalline Si after He and B implantation and subsequent annealing. In the He-implanted samples two different void layers were observed, one consisting of large voids at the projected range of He and another containing 'nanovoids' slightly larger than divacancies at roughly halfway between R p of He and the surface. The nanovoid layer was shown to be absent from samples co-implanted with B, implying that interstitials created during B implantation get trapped in the nanovoids and fill them, thus hindering interstitial-mediated B diffusion.

  16. Surface potential measurement of the insulator with secondary electron caused by negative ion implantation

    International Nuclear Information System (INIS)

    Tsuji, Hiroshi; Toyota, Yoshitaka; Nagumo, Syoji; Gotoh, Yasuhito; Ishikawa, Junzo; Sakai, Shigeki; Tanjyo, Masayasu; Matsuda, Kohji.

    1994-01-01

    Ion implantation has the merit of the good controllability of implantation profile and low temperature process, and has been utilized for the impurity introduction in LSI production. However, positive ion implantation is carried out for insulator or insulated conductor substrates, their charged potential rises, which is a serious problem. As the requirement for them advanced, charge compensation method is not the effective means for resolving it. The negative ion implantation in which charging is little was proposed. When the experiment on the negative ion implantation into insulated conductors was carried out, it was verified that negative ion implantation is effective as the implantation process without charging. The method of determining the charged potential of insulators at the time of negative ion implantation by paying attention to the energy distribution of the secondary electrons emitted from substrates at the time was devised. The energy analyzer for measuring the energy distribution of secondary electrons was made, and the measurement of the charged potential of insulators was carried out. The principle of the measurement, the measuring system and the experimental results are reported. (K.I.)

  17. Central moments of ion implantation distributions derived by the backward Boltzmann transport equation compared with Monte Carlo simulations

    International Nuclear Information System (INIS)

    Bowyer, M.D.J.; Ashworth, D.G.; Oven, R.

    1992-01-01

    In this paper we study solutions to the backward Boltzmann transport equation (BBTE) specialized to equations governing moments of the distribution of ions implanted into amorphous targets. A central moment integral equation set has been derived starting from the classical plane source BBTE for non-central moments. A full generator equation is provided to allow construction of equation sets of an arbitrary size, thus allowing computation of moments of arbitrary order. A BBTE solver program has been written that uses the residual correction technique proposed by Winterbon. A simple means is presented to allow direct incorporation of Biersack's two-parameter ''magic formula'' into a BBTE solver program. Results for non-central and central moment integral equation sets are compared with Monte Carlo simulations, using three different formulae for the mean free flight path between collisions. Comparisons are performed for the ions B and As, implanted into the target a-Si, over the energy range 1 keV-1 MeV. The central moment integral equation set is found to have superior convergence properties to the non-central moment equation set. For As ions implanted into a-Si, at energies below ∼ 30 keV, significant differences are observed, for third- and fourth-order moments, when using alternative versions for the mean free flight path. Third- and fourth-order moments derived using one- and two-parameter scattering mechanisms also show significant differences over the same energy range. (Author)

  18. Study on bubble properties and disorder degree in ion-implanted ferrite-garnet films

    International Nuclear Information System (INIS)

    Kulikauskas, V.S.; Markyalis, A.V.; Pranyavichyus, L.I.

    1981-01-01

    The disorder degree in crystalline garnet films of (BiTm) 3 (FeGa) 5 O 2 composition arising due to ion implantation was studied by the proton backscattering method. The epitaxial films were grown on nonmagnetic substrates of gallium-gadolinium garnets cutted in the (111) plane. Neon ions with 100 keV energy were implanted in the dose range of 6x10 13 -2x10 14 cm -2 . The initial energy of the backscattered protons was 450 keV. The defect maximum was located at the depth of approximately 0.15 μk. The amorphization degeee at the defect maximum was calculated at different radiation doses. At 10 14 and 2x10 14 cm -2 doses the amorphization degree was the same and was equal to 0.8. The bubble parameters were studied. It is obtained that the threshold value of the neon ion dose corresponds to the minimum interval of the collapse fields ( [ru

  19. Gamma-ray astronomy in the medium energy (10-50 MeV) range

    International Nuclear Information System (INIS)

    Kniffen, D.A.; Bertsch, D.L.; Palmeira, R.A.R.; Rao, K.R.

    1977-01-01

    Gamma-ray astronomy in the medium energy (10-50 MeV) range can provide unique information with which to study many astrophysical problems. Observations in the 10-50 MeV range provide the cleanest window with which to view the isotropic diffuse component of the radiation and to study the possible cosmological implications of the spectrum. For the study of compact sources, this is the important region between the X-ray sky and the vastly different γ-ray sky seen by SAS-2 and COS-B. To understand the implications of medium energy γ-ray astronomy to the study of the galactic diffuse γ-radiation, the model developed to explain the high energy γ-ray observations of SAS-2 is extended to the medium energy range. This work illustrates the importance of medium energy γ-ray astronomy for studying the electromagnetic component of the galactic cosmic rays. To observe the medium energy component of the intense galactic center γ-ray emission, two balloon flights of a medium energy γ-ray spark chamber telescope were flown in Brazil in 1975. These results indicate the emission is higher than previously thought and above the predictions of the theoretical model

  20. Spiral-Shaped Piezoelectric MEMS Cantilever Array for Fully Implantable Hearing Systems

    Directory of Open Access Journals (Sweden)

    Péter Udvardi

    2017-10-01

    Full Text Available Fully implantable, self-powered hearing aids with no external unit could significantly increase the life quality of patients suffering severe hearing loss. This highly demanding concept, however, requires a strongly miniaturized device which is fully implantable in the middle/inner ear and includes the following components: frequency selective microphone or accelerometer, energy harvesting device, speech processor, and cochlear multielectrode. Here we demonstrate a low volume, piezoelectric micro-electromechanical system (MEMS cantilever array which is sensitive, even in the lower part of the voice frequency range (300–700 Hz. The test array consisting of 16 cantilevers has been fabricated by standard bulk micromachining using a Si-on-Insulator (SOI wafer and aluminum nitride (AlN as a complementary metal-oxide-semiconductor (CMOS and biocompatible piezoelectric material. The low frequency and low device footprint are ensured by Archimedean spiral geometry and Si seismic mass. Experimentally detected resonance frequencies were validated by an analytical model. The generated open circuit voltage (3–10 mV is sufficient for the direct analog conversion of the signals for cochlear multielectrode implants.

  1. Photoproduction in the Energy Range 70-200 GeV

    CERN Multimedia

    2002-01-01

    This experiment continues the photoproduction studies of WA4 and WA57 up to the higher energies made available by the upgrading of the West Hall. An electron beam of energy 200 GeV is used to produce tagged photons in the range 65-180 GeV; The photon beam is incident on a 60 cm liquid hydrogen target in the Omega Spectrometer. A Ring Image Cherenkov detector provides pion/kaon separation up to 150 GeV/c. The Transition Radiation Detector extends the charged pion identification to the momentum range from about 80 GeV/c upwards. The large lead/liquid scintillator calorimeter built by the WA70 collaboration and the new lead/scintillating fibre det (Plug) are used for the detection of the $\\gamma$ rays produced by the interactions of the primary photons in the hydrogen target. \\\\ \\\\ The aim is to make a survey of photoproduction reactions up to photon energies of 200 GeV. The large aperture of the Omega Spectrometer will particularly enable study of fragmentation of the photon to states of high mass, up to @C 9 G...

  2. Implant loading protocols for edentulous patients with fixed prostheses: a systematic review and meta-analysis.

    Science.gov (United States)

    Papaspyridakos, Panos; Chen, Chun-Jung; Chuang, Sung-Kiang; Weber, Hans-Peter

    2014-01-01

    To report on the effect of immediate implant loading with fixed prostheses compared to early and conventional loading on implant and prosthesis survival, failure, and complications. An electronic and manual search was conducted to identify randomized controlled clinical trials (RCTs) as well as prospective and retrospective studies involving rough surface implants and implant fixed complete dental prostheses for edentulous patients. The 62 studies that fulfilled the inclusion criteria featured 4 RCTs, 2 prospective case-control studies, 34 prospective cohort studies, and 22 retrospective cohort studies. These studies yielded data from 2,695 patients (2,757 edentulous arches) with 13,653 implants. Studies were grouped according to the loading protocol applied; 45 studies reported on immediate loading, 8 on early loading, and 11 on conventional loading. For the immediate loading protocol with flap surgery, the implant and prosthesis survival rates ranged from 90.1% to 100% and 93.75% to 100%, respectively (range of follow-up, 1 to 10 years). When immediate loading was combined with guided flapless implant placement, the implant survival rates ranged from 90% to 99.4%. For the early loading protocol, the implant and prosthesis survival rates ranged from 94.74% to 100% and 93.75% to 100%, respectively (range of follow-up, 1 to 10 years). For the conventional loading protocol, the implant and prosthesis survival rates ranged from 94.95% to 100% and 87.5% to 100%, respectively (range of follow-up, 2 to 15 years). No difference was identified between maxilla and mandible. When selecting cases carefully and using dental implants with a rough surface, immediate loading with fixed prostheses in edentulous patients results in similar implant and prosthesis survival and failure rates as early and conventional loading. For immediate loading, most of the studies recommended a minimal insertion torque of 30 Ncm. The estimated 1-year implant survival was above 99% with all three

  3. One-year results of maxillary overdentures supported by 2 titanium-zirconium implants - implant survival rates and radiographic outcomes.

    Science.gov (United States)

    Zembic, Anja; Tahmaseb, Ali; Jung, Ronald E; Wismeijer, Daniel

    2017-07-01

    To assess implant survival rates and peri-implant bone loss of 2 titanium-zirconium implants supporting maxillary overdentures at 1 year of loading. Twenty maxillary edentulous patients (5 women and 15 men) being dissatisfied with their complete dentures were included. In total, 40 diameter-reduced titanium-zirconium implants were placed in the anterior maxilla. Local guided bone regeneration (GBR) was allowed if the treatment did not compromise implant stability. Following 3 to 5 months of healing, implant-supported overdentures were inserted on two ball anchors. Implants and overdentures were assessed at 1, 2, 4, and 8 weeks after implant insertion and 2, 4, and 12 months after insertion of overdentures (baseline). Standardized radiographs were taken at implant loading and 1 year. Implant survival rates and bone loss were the primary outcomes. Nineteen patients (1 dropout) with 38 implants were evaluated at a mean follow-up of 1.1 years (range 1.0-1.7 years). One implant failed resulting in an implant survival rate of 97.3%. There was a significant peri-implant bone loss of the implants at 1 year of function (mean, 0.7 mm, SD = 1.1 mm; median: 0.48 mm, IQR = 0.56 mm). There was a high 1-year implant survival rate for edentulous patients receiving 2 maxillary implants and ball anchors as overdenture support. However, several implants exhibited an increased amount of bone loss of more than 2 mm. Overdentures supported by 2 maxillary implants should thus be used with caution as minimally invasive treatment for specific patients encountering problems with their upper dentures until more long-term data is available. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  4. Exploring the range of energy savings likely from energy efficiency retrofit measures in Ireland's residential sector

    International Nuclear Information System (INIS)

    Dineen, D.; Ó Gallachóir, B.P.

    2017-01-01

    This paper estimates the potential energy savings in the Irish residential sector by 2020 due to the introduction of an ambitious retrofit programme. We estimate the technical energy savings potential of retrofit measures targeting energy efficiency of the space and water heating end uses of the 2011 stock of residential dwellings between 2012 and 2020. We build eight separate scenarios, varying the number of dwellings retrofitted and the depth of retrofit carried out in order to investigate the range of energy savings possible. In 2020 the estimated technical savings potential lies in the range from 1713 GWh to 10,817 GWh, but is more likely to fall within the lower end of this range, i.e. between 1700 and 4360 GWh. When rebound effects are taken into account this reduces further to 1100 GWh and 2800 GWh per annum. The purpose of this paper was to test the robustness of the NEEAP target savings for residential retrofit, i.e. 3000 GWh by 2020. We conclude that this target is technically feasible but very challenging and unlikely to be achieved based on progress to date. It will require a significant shift towards deeper retrofit measures compared to what has been achieved by previous schemes. - Highlights: • Paper estimates range of energy savings likely from Irish residential retrofit. • Achieving NEEAP target savings of 3000 GWh by 2020 is feasible but very challenging. • Likely savings of 1100–2800 GWh per annum in 2020, including rebound. • NEEAP target unlikely to be achieved based on current trends.

  5. Evaluation of electron beam stabilization for ion implant processing

    Science.gov (United States)

    Buffat, Stephen J.; Kickel, Bee; Philipps, B.; Adams, J.; Ross, Matthew F.; Minter, Jason P.; Marlowe, Trey; Wong, Selmer S.

    1999-06-01

    With the integration of high energy ion implant processes into volume CMOS manufacturing, the need for thick resist stabilization to achieve a stable ion implant process is critical. With new photoresist characteristics, new implant end station characteristics arise. The resist outgassing needs to be addressed as well as the implant profile to ensure that the dosage is correct and the implant angle does not interfere with other underlying features. This study compares conventional deep-UV/thermal with electron beam stabilization. The electron beam system used in this study utilizes a flood electron source and is a non-thermal process. These stabilization techniques are applied to a MeV ion implant process in a CMOS production process flow.

  6. High-resolution ion-implanted silicon detectors

    International Nuclear Information System (INIS)

    von Borany, J.; Schmidt, B.

    1985-01-01

    An account is given of the properties of silicon detectors developed at the Central Institute of Nuclear Research of the Academy of Sciences of the German Democratic Republic (Rossendorf) and made by a special planar technology using ion implantation, anodic oxidation, thermal oxidation in an oxygen atmosphere containing HCl, and annealing by pulses of 10--20 msec duration. The resolution for α particles of 5.5 MeV energy was 11.2 keV (active area A 2 ). The detectors were characterized by a low intrinsic noise (< or =5 keV), so that they could be used for spectrometry of low-energy electrons (E/sub e/< or =250 keV). In a certain range of energies (E/sub x/ = 15--60 keV) it was possible to use these detectors for spectrometry of x rays at room temperature. Examples and results of applications of detectors in radiation chemistry (investigations of backscattering of particles and nuclear reaction spectroscopy) are given. The feasibility of annealing of radiation defects in such detectors after irradiation with a large dose of charged particles is considered

  7. Alpha Beam Energy Determination Using a Range Measuring Device for Radioisotope Production

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jun Yong; Kim, Byeon Gil; Hong, Seung Pyo; Kim, Ran Young; Chun, Kwon Soo [Korea Institute of Radiological and Medical Sciences, Seoul (Korea, Republic of)

    2016-05-15

    The threshold energy of the {sup 209}Bi(α,3n){sup 210} At reaction is at about 30MeV. Our laboratory suggested an energy measurement method to confirm the proton-beam's energy by using a range measurement device. The experiment was performed energy measurement of alpha beam. The alpha beam of energy 29 MeV has been extracted from the cyclotron for the production of {sup 211}At. This device was composed of four parts: an absorber, a drive shaft, and a servo motor and a Faraday cup. The drive shaft was mounted on the absorber and connects with the axis of the servo motor and rotates linearly and circularly by this servo motor. A Faraday cup is for measuring the beam flux. As this drive shaft rotates, the thickness of the absorber varies depending on the rotation angle of the absorber. The energy of the alpha particle accelerated and extracted from MC-50 cyclotron was calculated with the measurement of the particle range in Al foil and using ASTAR, SRIM, MCNPX software. There were a little discrepancy between the expected energy and the calculated energy within the 0.5MeV error range. We have a plan to make an experiment with various alpha particle energies and another methodology, for example, the cross section measurement of the nuclear reaction.

  8. Long-range Coulomb interactions in low energy (e,2e) data

    International Nuclear Information System (INIS)

    Waterhouse, D.

    2000-01-01

    Full text: Proper treatment of long-range Coulomb interactions has confounded atomic collision theory since Schrodinger first presented a quantum-mechanical model for atomic interactions. The long-range Coulomb interactions are difficult to include in models in a way that treats the interaction sufficiently well but at the same time ensures the calculation remains tractable. An innovative application of an existing multi-parameter (e,2e) data acquisition system will be described. To clarify the effects of long-range Coulomb interactions, we will report the correlations and interactions that occur at low energy, observed by studying the energy sharing between outgoing electrons in the electron-impact ionisation of krypton

  9. Strain driven fast osseointegration of implants

    Directory of Open Access Journals (Sweden)

    Wiesmann Hans-Peter

    2005-09-01

    Full Text Available Abstract Background Although the bone's capability of dental implant osseointegration has clinically been utilised as early as in the Gallo-Roman population, the specific mechanisms for the emergence and maintenance of peri-implant bone under functional load have not been identified. Here we show that under immediate loading of specially designed dental implants with masticatory loads, osseointegration is rapidly achieved. Methods We examined the bone reaction around non- and immediately loaded dental implants inserted in the mandible of mature minipigs during the presently assumed time for osseointegration. We used threaded conical titanium implants containing a titanium2+ oxide surface, allowing direct bone contact after insertion. The external geometry was designed according to finite element analysis: the calculation showed that physiological amplitudes of strain (500–3,000 ustrain generated through mastication were homogenously distributed in peri-implant bone. The strain-energy density (SED rate under assessment of a 1 Hz loading cycle was 150 Jm-3 s-1, peak dislocations were lower then nm. Results Bone was in direct contact to the implant surface (bone/implant contact rate 90% from day one of implant insertion, as quantified by undecalcified histological sections. This effect was substantiated by ultrastructural analysis of intimate osteoblast attachment and mature collagen mineralisation at the titanium surface. We detected no loss in the intimate bone/implant bond during the experimental period of either control or experimental animals, indicating that immediate load had no adverse effect on bone structure in peri-implant bone. Conclusion In terms of clinical relevance, the load related bone reaction at the implant interface may in combination with substrate effects be responsible for an immediate osseointegration state.

  10. Modification of the refractive index and the dielectric constant of silicon dioxide by means of ion implantation

    International Nuclear Information System (INIS)

    Swart, J.W.; Diniz, J.A.; Doi, I.; Moraes, M.A.B. de

    2000-01-01

    The modification of silicon dioxide films by means of ion implantation of fluorine and carbon was studied. 19 F + and 12 C + ions were separately and sequentially implanted in 250 nm thick thermal SiO 2 films with energies ranging from 10 to 50 keV and fluences in the interval 5x10 15 to 5x10 16 cm -2 . Metal/oxide/semiconductor (MOS) capacitors were fabricated on half side of the wafers. The implanted SiO 2 /Si samples were characterized by means of ellipsometry and Fourier transform infrared (FTIR) spectroscopy. The MOS capacitors were used to determine the relative dielectric constant. Our results indicate a considerable reduction of the dielectric constant and refractive index. The refractive index was reduced from 1.46 to 1.29 when only fluorine was implanted or when fluorine with a higher dose was implanted in combination with carbon. For the same conditions, a relative dielectric constant of 3.4 was obtained and a shift in the Si-O bond stretching mode from 1085 to 1075 cm -1 was observed by FTIR spectroscopy

  11. Medium energy ion scattering (MEIS)

    International Nuclear Information System (INIS)

    Dittmann, K.; Markwitz, A.

    2009-01-01

    This report gives an overview about the technique and experimental study of medium energy ion scattering (MEIS) as a quantitative technique to determine and analyse the composition and geometrical structure of crystalline surfaces and near surface-layers by measuring the energy and yield of the backscattered ions. The use of a lower energy range of 50 to 500 keV accelerated ions impinging onto the target surface and the application of a high-resolution electrostatic energy analyser (ESA) makes medium energy ion scattering spectroscopy into a high depth resolution and surface-sensitive version of RBS with less resulting damage effects. This report details the first steps of research in that field of measurement technology using medium energetic backscattered ions detected by means of a semiconductor radiation detector instead of an ESA. The study of medium energy ion scattering (MEIS) has been performed using the 40 keV industrial ion implanter established at GNS Sciences remodelled with supplementary high voltage insulation for the ion source in order to apply voltages up to 45 kV, extra apertures installed in the beamline and sample chamber in order to set the beam diameter accurately, and a semiconductor radiation detector. For measurement purposes a beam of positive charged helium ions accelerated to an energy of about 80 keV has been used impinging onto target surfaces of lead implanted into silicon (PbSi), scandium implanted into aluminium (ScAl), aluminium foil (Al) and glassy carbon (C). First results show that it is possible to use the upgraded industrial implanter for medium energy ion scattering. The beam of 4 He 2+ with an energy up to 88 keV has been focussed to 1 mm in diameter. The 5 nA ion beam hit the samples under 2 x 10 -8 mbar. The results using the surface barrier detector show scattering events from the samples. Cooling of the detector to liquid nitrogen temperatures reduced the electronic noise in the backscattering spectrum close to zero. A

  12. N and Si Implantation Effect on Structural and Electrical Properties of Bridgman grown GaSe Single Crystal

    International Nuclear Information System (INIS)

    Karabulut, O.

    2004-01-01

    N and Si implantation to GaSe single crystals were carried out parallel to c-axis with ion beam of about 10 1 6 ions/cm 2 dose having energy values 30, 60 and 100 keV. Ion implantation modifications on Bridgman grown GaSe single crystals have been investigated by means of XRD, electrical conductivity, absorption and photoconductivity measurements. XRD measurements revealed that annealing results in a complete recovery of the crystalline nature that was moderately reduced upon implantation. It was observed that both N- and Siimplantation followed by annealing process decreased the resistivity values from 10 7 to 10 3 .-cm. The analysis of temperature dependent conductivity showed that at high temperature region above 200 K, the transport mechanism is dominated by thermal excitation in the doped and undoped GaSe samples. At lower temperatures, the conduction of carriers is dominated by variable range hopping mechanism in the implanted samples. Absorption and spectral photoconductivity measurements showed that the band edge is shifted in the implanted sample. All these modifications were attributed to the structural modifications and continuous shallow trap levels introduced upon implantation and annealing

  13. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C.M.; Ridgway, M.C. [Australian National Univ., Canberra, ACT (Australia); Leech, P.L. [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1996-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  14. The compaction of fused silica resulting from ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, C M; Ridgway, M C [Australian National Univ., Canberra, ACT (Australia); Leech, P L [Telstra Research Laboratories, Clayton, Victoria (Australia)

    1997-12-31

    Ion implantation of fused silica results in compaction and consequently an increase in refractive index. This method of modifying the near-surface region has been shown as a potential means for fabricating single mode channel waveguides. This study has measured the compaction of the implanted regions for Si implantations as a function of dose (2x10{sup 12} - 6x10{sup l6} ions/cm{sup 2}), energy (1-9 MeV) and post-implantation annealing temperature (200-900 degree C). For a given energy, a dose-dependence of the step height (depth of compacted region) is observed for doses less than {approx}10{sup 15} ions/cm{sup 2}. At higher doses the step height saturates. For a given dose, a linear trend is evident for the step height as a function of energy suggesting that the major mechanism for this compaction is electronic stopping. As the annealing temperature increases, the step height gradually decreases from {approx}0.1-0.2 {mu} to -10-20% of the original value. From the annealing data, it is possible to extract an activation energy of 0.08 eV associated with the thermal removal of the compacted region. 4 refs., 4 figs.

  15. Surface damage in TEM thick α-Fe samples by implantation with 150 keV Fe ions

    International Nuclear Information System (INIS)

    Aliaga, M.J.; Caturla, M.J.; Schäublin, R.

    2015-01-01

    We have performed molecular dynamics simulations of implantation of 150 keV Fe ions in pure bcc Fe. The thickness of the simulation box is of the same order of those used in in situ TEM analysis of irradiated materials. We assess the effect of the implantation angle and the presence of front and back surfaces. The number and type of defects, ion range, cluster distribution and primary damage morphology are studied. Results indicate that, for the very thin samples used in in situ TEM irradiation experiments the presence of surfaces affect dramatically the damage produced. At this particular energy, the ion has sufficient energy to damage both the top and the back surfaces and still leave the sample through the bottom. This provides new insights on the study of radiation damage using TEM in situ

  16. Biofilm and dental implant: The microbial link

    Directory of Open Access Journals (Sweden)

    Sangeeta Dhir

    2013-01-01

    Full Text Available Mouth provides a congenial environment for the growth of the microorganisms as compared to any other part of the human body by exhibiting an ideal nonshedding surface. Dental plaque happens to be a diverse community of the microorganisms found on the tooth surface. Periodontal disease and the peri-implant disease are specific infections that are originating from these resident microbial species when the balance between the host and the microbial pathogenicity gets disrupted. This review discusses the biofilms in relation to the peri-implant region, factors affecting its presence, and the associated treatment to manage this complex microbial colony. Search Methodology: Electronic search of the medline was done with the search words: Implants and biofilms/dental biofilm formation/microbiology at implant abutment interface/surface free energy/roughness and implant, periimplantitis/local drug delivery and dental implant. Hand search across the journals - clinical oral implant research, implant dentistry, journal of dental research, international journal of oral implantology, journal of prosthetic dentistry, perioodntology 2000, journal of periodontology were performed. The articles included in the review comprised of in vivo studies, in vivo (animal and human studies, abstracts, review articles.

  17. Amorphization of C-implanted Fe(Cr) alloys

    International Nuclear Information System (INIS)

    Knapp, J.A.; Follstaedt, D.M.; Sorensen, N.R.; Pope, L.E.

    1991-01-01

    The amorphous phase formed by implanting C into Fe alloyed with Cr, which is a prototype for the amorphous phase formed by implanting C into stainless steels, is compared to that formed by implanting C and Ti into Fe and steels. The composition range of the phase has been examined; higher Cr and C concentrations are required than needed with Ti and C. The friction and wear benefits obtained by implanting stainless steels with C only do not persist for the long durations and high wear loads found with Ti and C. However, the amorphous Fe-Cr-C alloys exhibit good aqueous corrosion resistance. (orig.)

  18. Modulation Techniques for Biomedical Implanted Devices and Their Challenges

    Directory of Open Access Journals (Sweden)

    Salina A. Samad

    2011-12-01

    Full Text Available Implanted medical devices are very important electronic devices because of their usefulness in monitoring and diagnosis, safety and comfort for patients. Since 1950s, remarkable efforts have been undertaken for the development of bio-medical implanted and wireless telemetry bio-devices. Issues such as design of suitable modulation methods, use of power and monitoring devices, transfer energy from external to internal parts with high efficiency and high data rates and low power consumption all play an important role in the development of implantable devices. This paper provides a comprehensive survey on various modulation and demodulation techniques such as amplitude shift keying (ASK, frequency shift keying (FSK and phase shift keying (PSK of the existing wireless implanted devices. The details of specifications, including carrier frequency, CMOS size, data rate, power consumption and supply, chip area and application of the various modulation schemes of the implanted devices are investigated and summarized in the tables along with the corresponding key references. Current challenges and problems of the typical modulation applications of these technologies are illustrated with a brief suggestions and discussion for the progress of implanted device research in the future. It is observed that the prime requisites for the good quality of the implanted devices and their reliability are the energy transformation, data rate, CMOS size, power consumption and operation frequency. This review will hopefully lead to increasing efforts towards the development of low powered, high efficient, high data rate and reliable implanted devices.

  19. Mean range and energy of 28Si ions some Makrofol track detectors

    International Nuclear Information System (INIS)

    Shyam, S.; Mishra, R.; Tripathy, S.P.; Mawar, A.K.; Dwivedi, K.K.; Khathing, D.T.; Srivastava, A.; Avasthi, D.K.

    2000-01-01

    The rate of energy loss of the impinging ion as it passes through succeeding layers of the target material gives information regarding the nature of material and helps to calculate the range of the ions in a thick target in which the ions are stopped. Here the range, energy loss of 118 MeV 28 Si were measured in Makrofol-N, Makrofol-G and Makrofol-KG, using nuclear track technique. The experimental range data are compared with the theoretical values obtained from different computer codes. (author)

  20. TEM study of the nucleation of bubbles induced by He implantation in 316L industrial austenitic stainless steel

    International Nuclear Information System (INIS)

    Jublot-Leclerc, S.; Lescoat, M.-L.; Fortuna, F.; Legras, L.; Li, X.; Gentils, A.

    2015-01-01

    10 keV He ions were implanted in-situ in a TEM into thin foils of 316L industrial austenitic stainless steel at temperatures ranging from 200 to 550 °C. As a result, overpressurized nanometric bubbles are created with density and size depending strongly on both the temperature and fluence of implantation. An investigation on their nucleation and growth is reported through a rigorous statistical analysis whose procedure, including the consideration of free surface effects, is detailed. In the parameter range considered, the results show that an increase of fluence promotes both the nucleation and growth of the bubbles whilst an increase of temperature enhances the growth of the bubbles at the expense of their nucleation. The confrontation of resulting activation energies with existing models for bubble nucleation enables the identification of the underlying mechanisms. In spite of slight differences resulting from different conditions of implantation among which the He concentration, He production rate and He/dpa ratio, it appears that the dominating mechanisms are the same as those obtained in metals in previous studies, which, in addition to corroborating literature results, shows the suitability of in-situ TEM experiments to simulate the production of helium in nuclear materials. - Highlights: • A rigorous TEM statistical analysis, including free surface effects, is reported. • Increasing He fluence promotes both the nucleation and growth of bubbles. • Increasing implantation temperature enhances the growth of bubbles. • Activation energies describing the evolution of the bubble population are obtained. • A He diffusion controlled nucleation through a replacement mechanism is suggested.

  1. A description of stress driven bubble growth of helium implanted tungsten

    International Nuclear Information System (INIS)

    Sharafat, Shahram; Takahashi, Akiyuki; Nagasawa, Koji; Ghoniem, Nasr

    2009-01-01

    Low energy (<100 keV) helium implantation of tungsten has been shown to result in the formation of unusual surface morphologies over a large temperature range (700-2100 deg. C). Simulation of these macroscopic phenomena requires a multiscale approach to modeling helium transport in both space and time. We present here a multiscale helium transport model by coupling spatially-resolved kinetic rate theory (KRT) with kinetic Monte Carlo (KMC) simulation to model helium bubble nucleation and growth. The KRT-based HEROS Code establishes defect concentrations as well as stable helium bubble nuclei as a function of implantation parameters and position from the implanted surface and the KMC-based Mc-HEROS Code models the growth of helium bubbles due to migration and coalescence. Temperature- and stress-gradients can act as driving forces, resulting in biased bubble migration. The Mc-HEROS Code was modified to simulate the impact of stress gradients on bubble migration and coalescence. In this work, we report on bubble growth and gas release of helium implanted tungsten W/O stress gradients. First, surface pore densities and size distributions are compared with available experimental results for stress-free helium implantation conditions. Next, the impact of stress gradients on helium bubble evolution is simulated. The influence of stress fields on bubble and surface pore evolution are compared with stress-free simulations. It is shown that near surface stress gradients accelerate helium bubbles towards the free surface, but do not increasing average bubble diameters significantly.

  2. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    Energy Technology Data Exchange (ETDEWEB)

    Normand, P. E-mail: p.normand@imel.demokritos.gr; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L

    2001-05-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO{sub 2} layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing.

  3. Formation of 2-D arrays of semiconductor nanocrystals or semiconductor-rich nanolayers by very low-energy Si or Ge ion implantation in silicon oxide films

    International Nuclear Information System (INIS)

    Normand, P.; Beltsios, K.; Kapetanakis, E.; Tsoukalas, D.; Travlos, T.; Stoemenos, J.; Berg, J. van den; Zhang, S.; Vieu, C.; Launois, H.; Gautier, J.; Jourdan, F.; Palun, L.

    2001-01-01

    The structure evolution of annealed low-energy Si- or Ge-implanted thin and thick SiO 2 layers is studied. The majority of Si (or Ge) species is restricted within a 3-4 nm thick layer. Si is able to separate and crystallize more easily than Ge. The glass transition temperature of the as-implanted structure has a significant effect on the progress of phase transformations accompanying annealing

  4. Crestal bone loss around submerged and nonsubmerged dental implants: A systematic review.

    Science.gov (United States)

    Al Amri, Mohammad D

    2016-05-01

    To my knowledge, there is no systematic review of crestal bone loss (CBL) around submerged and nonsubmerged dental implants. The purpose of this review was to systematically assess CBL around submerged and nonsubmerged dental implants. The addressed focused question was, "Does crestal and subcrestal placement of dental implants influence crestal bone levels?" Databases were searched from 1986 through October 2015 using different combinations of the following keywords: crestal, sub-crestal, bone loss, dental implant, submerged, and nonsubmerged. Reference lists of potentially relevant original and review articles were hand-searched to identify any further studies. Letters to the editor, case reports, commentaries, studies on platform-switched implants, and studies published in languages other than English were excluded. In total, 13 studies (6 human and 7 animal), which were performed at universities, were included. In the human studies, the number of participants ranged from 8 to 84 individuals. The follow-up period ranged from 1 to 5 years. CBL at the test sites ranged from 0.17 mm to 0.9 mm and at control sites from 0.02 mm to 1.4 mm. Five human studies reported no significant difference in CBL around implants placed at the test and control sites. All animal studies were performed in dogs with a mean age ranging from 1 to approximately 2 years. The follow-up period ranged from 2 to 6 months. Four animal studies reported no significant difference in CBL around submerged and nonsubmerged implants. No significant difference in CBL was found around submerged and nonsubmerged dental implants. Copyright © 2016 Editorial Council for the Journal of Prosthetic Dentistry. Published by Elsevier Inc. All rights reserved.

  5. Analysis for mass distribution of proton-induced reactions in intermediate energy range

    CERN Document Server

    Xiao Yu Heng

    2002-01-01

    The mass and charge distribution of residual products produced in the spallation reactions needs to be studied, because it can provide useful information for the disposal of nuclear waste and residual radioactivity generated by the spallation neutron target system. In present work, the Many State Dynamical Model (MSDM) is based on the Cascade-Exciton Model (CEM). The authors use it to investigate the mass distribution of Nb, Au and Pb proton-induced reactions in energy range from 100 MeV to 3 GeV. The agreement between the MSDM simulations and the measured data is good in this energy range, and deviations mainly show up in the mass range of 90 - 150 for the high energy proton incident upon Au and Pb

  6. Short Implants: New Horizon in Implant Dentistry.

    Science.gov (United States)

    Jain, Neha; Gulati, Manisha; Garg, Meenu; Pathak, Chetan

    2016-09-01

    The choice of implant length is an essential factor in deciding the survival rates of these implants and the overall success of the prosthesis. Placing an implant in the posterior part of the maxilla and mandible has always been very critical due to poor bone quality and quantity. Long implants can be placed in association with complex surgical procedures such as sinus lift and bone augmentation. These techniques are associated with higher cost, increased treatment time and greater morbidity. Hence, there is need for a less invasive treatment option in areas of poor bone quantity and quality. Data related to survival rates of short implants, their design and prosthetic considerations has been compiled and structured in this manuscript with emphasis on the indications, advantages of short implants and critical biomechanical factors to be taken into consideration when choosing to place them. Studies have shown that comparable success rates can be achieved with short implants as those with long implants by decreasing the lateral forces to the prosthesis, eliminating cantilevers, increasing implant surface area and improving implant to abutment connection. Short implants can be considered as an effective treatment alternative in resorbed ridges. Short implants can be considered as a viable treatment option in atrophic ridge cases in order to avoid complex surgical procedures required to place long implants. With improvement in the implant surface geometry and surface texture, there is an increase in the bone implant contact area which provides a good primary stability during osseo-integration.

  7. Influence of Palatal Coverage and Implant Distribution on Implant Strain in Maxillary Implant Overdentures.

    Science.gov (United States)

    Takahashi, Toshihito; Gonda, Tomoya; Mizuno, Yoko; Fujinami, Yozo; Maeda, Yoshinobu

    2016-01-01

    Maxillary implant overdentures are often used in clinical practice. However, there is no agreement or established guidelines regarding prosthetic design or optimal implant placement configuration. The purpose of this study was to examine the influence of palatal coverage and implant number and distribution in relation to impact strain under maxillary implant overdentures. A maxillary edentulous model with implants and experimental overdentures with and without palatal coverage was fabricated. Four strain gauges were attached to each implant, and they were positioned in the anterior, premolar, and molar areas. A vertical occlusal load of 98 N was applied through a mandibular complete denture, and the implant strains were compared using one-way analysis of variance (P = .05). The palatolabial strain was much higher on anterior implants than on other implants in both denture types. Although there was no significant difference between the strain under dentures with and without palatal coverage, palateless dentures tended to result in higher implant strain than dentures with palatal coverage. Dentures supported by only two implants registered higher strain than those supported by four or six implants. Implants under palateless dentures registered higher strain than those under dentures with palatal coverage. Anterior implants exhibited higher palatolabial strain than other implants regardless of palatal coverage and implant configuration; it is therefore recommended that maxillary implant overdentures should be supported by six implants with support extending to the distal end of the arch.

  8. Changes in stereoacuity following implantable Collamer lens implantation in patients with myopia

    Directory of Open Access Journals (Sweden)

    Sudarshan Khokhar

    2015-01-01

    Full Text Available The study evaluated the impact of implantable Collamer lens (ICL implantation on stereoacuity in myopes in a retrospective case series. Ninety-five eyes of 48 patients were recruited. Distance and near stereoacuity were measured using distance Randot stereotest and TNO test, respectively, before surgery and at 4 weeks postoperatively. Mean age of the patients was 23.67 ± 3.7 years. Mean uncorrected distance visual acuity (UDVA was 1.28 ± 0.37 logarithm of the minimum angle of resolution (logMAR (median: 1.3; range: 0.3-1.8, and median best-corrected distance visual acuity (BDVA was 0.18 logMAR (range: 0-0.6. There was a significant improvement in both UDVA and BDVA postsurgery (P < 0.001; Wilcoxon signed rank test. The overall improvement in stereopsis was observed in 15/48 (31.25% and 13/48 (27.10% subjects for near and distance, respectively, with no significant difference between the two (P = 0.82; Fisher′s exact test. Among stereoblind individuals, the odd′s ratio for near stereoacuity to improve in comparison to distance stereoacuity was 8.85 (95% confidence interval: 1.68-46.70; P = 0.01. ICL implantation for refractive correction aided stereoacuity improvement in myopes more so for near.

  9. Experimental investigation of commercial small diameter dental implants in porcine mandibular segments.

    Science.gov (United States)

    Hasan, Istabrak; Heinemann, Friedhelm; Schwegmann, Monika; Keilig, Ludger; Stark, Helmut; Bourauel, Christoph

    2017-02-01

    Small diameter (mini) dental implants have become more popular in recent years as alternatives to classical implant treatment in clinical cases with critical bony situations. However, an in-depth scientific analysis of the mechanical and biomechanical effects of small diameter implants has not yet been published. The aim of the present study was to investigate experimentally different commercial mini implants by measuring their displacements under immediate loading. Twelve commercially available mini implants were measured. Implants were inserted into porcine mandibular segments and loaded by means of a predefined displacement of 0.5 mm of the loading system. The implants were loaded at an angle of 30° to the implant long axis using the self-developed biomechanical hexapod measurement system. Implant displacements were registered. The experimental results were compared to the numerical ones from a previous study. Measured implant displacements were within the range of 39-194 μm. A large variation in the displacements was obtained among the different implant systems due to the different designs and thread profiles. Comparing experimental and numerical results, the displacements that were obtained numerically were within the range of 79-347 μm. The different commercial mini implants showed acceptable primary stability and could be loaded immediately after their insertion.

  10. Implant stability and marginal bone level of microgrooved zirconia dental implants: A 3-month experimental study on dogs

    Directory of Open Access Journals (Sweden)

    Delgado-Ruíz Rafael Arcesio

    2014-01-01

    Full Text Available Background/Aim. The modification of implant surfaces could affect mechanical implant stability as well as dynamics and quality of peri-implant bone healing. The aim of this 3-month experimental study in dogs was to investigate implant stability, marginal bone levels and bone tissue response to zirconia dental implants with two laser-micro-grooved intraosseous surfaces in comparison with nongrooved sandblasted zirconia and sandblasted, high-temperature etched titanium implants. Methods. Implant surface characterization was performed using optical interferometric profilometry and energy dispersive X-ray spectroscopy. A total of 96 implants (4 mm in diameter and 10 mm in length were inserted randomly in both sides of the lower jaw of 12 Fox Hound dogs divided into groups of 24 each: the control (titanium, the group A (sandblasted zirconia, the group B (sandblasted zirconia plus microgrooved neck and the group C (sandblasted zirconia plus all microgrooved. All the implants were immediately loaded. Insertion torque, periotest values, radiographic crestal bone level and removal torque were recorded during the 3-month follow-up. Qualitative scanning electon micro-scope (SEM analysis of the bone-implant interfaces of each group was performed. Results. Insertion torque values were higher in the group C and control implants (p the control > the group B > the group A (p the control > the group B > the group A (p < 0.05. SEM showed that implant surfaces of the groups B and C had an extra bone growth inside the microgrooves that corresponded to the shape and direction of the microgrooves. Conclusion. The addition of micro-grooves to the entire intraosseous surface of zirconia dental implants enhances primary and secondary implant stability, promotes bone tissue ingrowth and preserves crestal bone levels.

  11. Influence of ion implantation on the adhesion and grow of human keratinocytes

    International Nuclear Information System (INIS)

    Walachova, K.; Svorcik, V.; Dvorakova, B.; Vogtova, D.

    1999-01-01

    Interaction of keratinocytes with polymer modified by ion implantation was studied with the possibility of cultivate these cells for regeneration of dermal cover, for example, heavy burned persons. The modification on polyethylene (PE) with 100 μm thickness was processed by implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV. Some characteristics of superficial modified layers and influence of ion implantation on the adhesion and proliferation of keratinocytes were studied

  12. Kilovoltage energy imaging with a radiotherapy linac with a continuously variable energy range.

    Science.gov (United States)

    Roberts, D A; Hansen, V N; Thompson, M G; Poludniowski, G; Niven, A; Seco, J; Evans, P M

    2012-03-01

    In this paper, the effect on image quality of significantly reducing the primary electron energy of a radiotherapy accelerator is investigated using a novel waveguide test piece. The waveguide contains a novel variable coupling device (rotovane), allowing for a wide continuously variable energy range of between 1.4 and 9 MeV suitable for both imaging and therapy. Imaging at linac accelerating potentials close to 1 MV was investigated experimentally and via Monte Carlo simulations. An imaging beam line was designed, and planar and cone beam computed tomography images were obtained to enable qualitative and quantitative comparisons with kilovoltage and megavoltage imaging systems. The imaging beam had an electron energy of 1.4 MeV, which was incident on a water cooled electron window consisting of stainless steel, a 5 mm carbon electron absorber and 2.5 mm aluminium filtration. Images were acquired with an amorphous silicon detector sensitive to diagnostic x-ray energies. The x-ray beam had an average energy of 220 keV and half value layer of 5.9 mm of copper. Cone beam CT images with the same contrast to noise ratio as a gantry mounted kilovoltage imaging system were obtained with doses as low as 2 cGy. This dose is equivalent to a single 6 MV portal image. While 12 times higher than a 100 kVp CBCT system (Elekta XVI), this dose is 140 times lower than a 6 MV cone beam imaging system and 6 times lower than previously published LowZ imaging beams operating at higher (4-5 MeV) energies. The novel coupling device provides for a wide range of electron energies that are suitable for kilovoltage quality imaging and therapy. The imaging system provides high contrast images from the therapy portal at low dose, approaching that of gantry mounted kilovoltage x-ray systems. Additionally, the system provides low dose imaging directly from the therapy portal, potentially allowing for target tracking during radiotherapy treatment. There is the scope with such a tuneable system

  13. Revisited study of fluorine implantation impact on negative bias temperature instability for input/output device of automotive micro controller unit

    Science.gov (United States)

    Yoshida, Tetsuya; Maekawa, Keiichi; Tsuda, Shibun; Shimizu, Tatsuo; Ogasawara, Makoto; Aono, Hideki; Yamaguchi, Yasuo

    2018-04-01

    We investigate the effect of fluorine implanted in the polycrystalline silicon (poly-Si) gate and source/drain (S/D) region on negative bias temperature instability (NBTI) improvement. It is found that there is a trade-off implantation energy dependence of NBTI between fluorine in the poly-Si gate and that in the S/D region. Fluorine implanted in the poly-Si gate contributes to NBTI improvement under low energy implantation. On the other hand, NBTI is improved by fluorine implanted in the S/D region under high energy. We propose that the two-step implantation process with high and low energy is the optimum condition for NBTI improvement.

  14. The KFKI 150 kV ion-implanter

    International Nuclear Information System (INIS)

    Pasztor, E.

    1976-09-01

    The description of the ion-implanter of 150 keV maximum energy designed and built in the Central Research Institute for Physics, Budapest is given. The implanter fulfils all technological and safety requirements of the industry. In addition to B,P and As other elements up to mass-number 76 can also be implanted by help of the Danfysik 911 type ion source. The 3x10 -6 Torr operational pressure is provided by three turbomolecular pumps. The maximum dose is 1 μCb/cm 2 min and to ensure uniformity of the implantation on the 100x105 mm 2 target area the ion beam is swept electrostatically. According to the testing experiments the inhomogenity can be taken to be +-1.3%. (Sz.N.Z.)

  15. Influence of ion source configuration and its operation parameters on the target sputtering and implantation process.

    Science.gov (United States)

    Shalnov, K V; Kukhta, V R; Uemura, K; Ito, Y

    2012-06-01

    In the work, investigation of the features and operation regimes of sputter enhanced ion-plasma source are presented. The source is based on the target sputtering with the dense plasma formed in the crossed electric and magnetic fields. It allows operation with noble or reactive gases at low pressure discharge regimes, and, the resulting ion beam is the mixture of ions from the working gas and sputtering target. Any conductive material, such as metals, alloys, or compounds, can be used as the sputtering target. Effectiveness of target sputtering process with the plasma was investigated dependently on the gun geometry, plasma parameters, and the target bias voltage. With the applied accelerating voltage from 0 to 20 kV, the source can be operated in regimes of thin film deposition, ion-beam mixing, and ion implantation. Multi-component ion beam implantation was applied to α-Fe, which leads to the surface hardness increasing from 2 GPa in the initial condition up to 3.5 GPa in case of combined N(2)-C implantation. Projected range of the implanted elements is up to 20 nm with the implantation energy 20 keV that was obtained with XPS depth profiling.

  16. Body Implanted Medical Device Communications

    Science.gov (United States)

    Yazdandoost, Kamya Yekeh; Kohno, Ryuji

    The medical care day by day and more and more is associated with and reliant upon concepts and advances of electronics and electromagnetics. Numerous medical devices are implanted in the body for medical use. Tissue implanted devices are of great interest for wireless medical applications due to the promising of different clinical usage to promote a patient independence. It can be used in hospitals, health care facilities and home to transmit patient measurement data, such as pulse and respiration rates to a nearby receiver, permitting greater patient mobility and increased comfort. As this service permits remote monitoring of several patients simultaneously it could also potentially decrease health care costs. Advancement in radio frequency communications and miniaturization of bioelectronics are supporting medical implant applications. A central component of wireless implanted device is an antenna and there are several issues to consider when designing an in-body antenna, including power consumption, size, frequency, biocompatibility and the unique RF transmission challenges posed by the human body. The radiation characteristics of such devices are important in terms of both safety and performance. The implanted antenna and human body as a medium for wireless communication are discussed over Medical Implant Communications Service (MICS) band in the frequency range of 402-405MHz.

  17. Ion implantation in superconducting niobium and Nb3 Sn thin films: adjustment of Josephson microbridges and SQUID devices

    International Nuclear Information System (INIS)

    Robic, J.Y.; Piaguet, J.; Duret, D.; Veler, J.C.; Veran, J.L.; Zenatti, D.

    1978-01-01

    The principles of operation of Josephson junctions and SQUIDS are resumed. An ion implantation technique for the adjustment of the critical current is presented. High quality superconducting thin films were obtained by electron gun evaporation of niobium on heated substrates. Polycrystalline Nb 3 Sn was made by annealing (1000 K, 10 -6 Torr) a multilayer structure of successively evaporated niobium and thin films. Selected ions (helium, neon, argon) were implanted at doses ranging from 10 13 to 10 17 cm -2 . After implantation the critical temperature, the critical current and the normal resistivity were measured on special photoetched geometries. The variations of these electrical properties depend on the nuclear energy loss. The critical temperature of Nb 3 Sn is decreased by ion implantation and can be increased again by a new annealing. The parameters of the ion implantation were defined in order to obtain a critical temperature slightly higher than the operating temperature. The geometries of the microbridges and the implanted areas where then chosen to obtain appropriate criticals currents (approximately 10 μA) at the operating temperature. The obtained microbridges were used as junction elements in superconducting quantum interference devices (SQUID)

  18. Alternative separation of exchange and correlation energies in range-separated density-functional perturbation theory

    DEFF Research Database (Denmark)

    Cornaton, Y.; Stoyanova, A.; Jensen, Hans Jørgen Aagaard

    2013-01-01

    of the noninteracting Kohn-Sham one. When second-order corrections to the density are neglected, the energy expression reduces to a range-separated double-hybrid (RSDH) type of functional, RSDHf, where "f" stands for "full-range integrals" as the regular full-range interaction appears explicitly in the energy...

  19. Surface modification of multi-point cutting tools using ion implantation

    International Nuclear Information System (INIS)

    Sarwar, M.; Ahmed, W.; Ahmed, M.

    1995-01-01

    Ion-implantation has been used to treat multi-point cutting tools using a 'systems approach' in order to improve the performance of these tools in dynamic cutting conditions. The effects of energy, species and system pressure on life and performance of circular saws have been investigated. For both nitrogen and argon ion-implantation an improvement in cutting performance has been observed as compared to untreated edges. As the energy of the nitrogen ions is increased there is a gradual improvement in the performance of the cutting edge. Ion-implanted tools were compared to those coated with TiN and these results are also presented. (author) 5 figs

  20. Corrosion resistance of uranium with carbon ion implantation

    International Nuclear Information System (INIS)

    Liang Hongwei; Yan Dongxu; Bai Bin; Lang Dingmu; Xiao Hong; Wang Xiaohong

    2008-01-01

    The carbon modified layers prepared on uranium surface by carbon ion implantation, gradient implantation, recoil implantation and ion beam assisted deposition process techniques were studied. Depth profile elements of the samples based on Auger electron spectroscopy, phase composition identified by X-ray diffraction as well as corrosion resistance of the surface modified layers by electrochemistry tester and humid-thermal oxidation test were carried out. The carbon modified layers can be obtained by above techniques. The samples deposited with 45 keV ion bombardment, implanted by 50 keV ions and implanted with gradient energies are of better corrosion resistance properties. The samples deposited carbon before C + implantation and C + assisted deposition exhibit worse corrosion resistance properties. The modified layers are dominantly dot-corraded, which grows from the dots into substructure, however, the assisted deposition samples have comparatively high carbon composition and are corraded weakly. (authors)

  1. He reemission implanted in metals

    International Nuclear Information System (INIS)

    Tanabe, T.

    2014-01-01

    Highlights: • Observation of He reemission of various metals under He + implantation at wide temperature range. • Materials examined are aluminum (Al), Nickel (Ni) and molybdenum (Mo). • He reemission is quite temperature dependent and different with materials. • Three metals show similar dependence on temperature normalized with respective melting point. • He reemission is successfully correlated with He behavior in metals. - Abstract: Helium (He) reemission of Al, Ni and Mo under energetic He implantation (10–30 keV) in wide temperature range is studied to understand behavior of implanted He in correlation with structure changes. The reemission behavior is categorized into 4 different temperature ranges with the normalized temperature (T m ) to the melting point of each metal. At elevated temperatures (well above ∼0.6 T m ), interstitial He atoms and/or He-vacancy (ies) clusters can migrate remaining no structure change and showing smooth reemission without any burst. Between ∼0.25 and 0.6 T m , He reemission always accompanies significant structure modification. For ∼04–0.6 T m , implanted He coalesce to make bubbles and the bubbles can move to the surface. Bubble migration accompanies materials flow to the surface resulting in fuzz surface or columnar structure, depending on implantation flux. Slower bubble motion at ∼0.25–0.4 prohibits the material migration. Instead the bubbles coalesce to grow large and multi-layered blistering appears as periodic reemission behavior. Below ∼0.25 T m , He migration is too slow for bubbles to grow large, but bubble density increases up to a certain fluence, where neighboring bubbles start to coalesce. Accordingly, He release is mostly caused by mechanical failure or blister rapture. With increasing fluence, all defects (bubbles and dislocation loops) tangle or inter connected with neighboring defects and accordingly He migration to the surface along the tangled or connected defects is enhanced

  2. X-ray photoelectron spectroscopic depth profilometry of nitrogen implanted in materials for modification of their surface properties

    International Nuclear Information System (INIS)

    Sarkissian, A.H.; Paynter, R.; Stansfield, B.L.

    1996-01-01

    The modification of the surface properties of materials has a wide range of industrial applications. For example, the authors change the electrical characteristics of semiconductors, improve surface hardness, decrease friction, increase resistance to corrosion, improve adhesion, etc. Nitriding is one of the most common processes used in industry for surface treatment. Nitrogen ion implantation is one technique often used to achieve this goal. Ion implantation offers the power to control the deposition profile, and can be achieved by either conventional ion beam implantation or plasma assisted ion implantation. They have used the technique of plasma assisted ion implantation to implant nitrogen in several materials, including titanium, silicon and stainless steel. The plasma source is a surface ECR source developed at INRS-Energie et Materiaux. The depth profile of the implanted ions has been measured by X-ray photoelectron spectroscopy. They have also conducted simulations using the TRIM-95 code to predict the depth profile of the implanted ions. Comparisons of the measured results with those from simulations are used to deduce information regarding the plasma composition and the collisional effects in the plasma. A fast responding, current and voltage measuring circuit with fiber optic links is being developed, which allows more accurate quantitative measurements. Further experiments to study the characteristics of the plasma, and their effects on the characteristics of the implanted surfaces are in progress, and the results are presented at this meeting

  3. Material synthesis for silicon integrated-circuit applications using ion implantation

    Science.gov (United States)

    Lu, Xiang

    of microscopic images. The underlying hydrogen profiles for between 250sp°C and 500sp°C annealing are characterized by SIMS and HFS experiments. An ideal gas law model calculation suggests that the internal pressure of molecular hydrogen filled microcavities is in the range of Giga-Pascal, high enough to break the silicon crystal bond. A dose threshold which prevents cleavage is observed at 1.6× 10sp{17} cmsp{-2} for 40 kV hydrogen implantation. A initial defect, in a silicon substrate, induced by a hydrogen microcavity is modeled as a circular crack which is embedded at a certain depth from the top silicon surface. A two-dimensional finite element model is made to calculate energy release rate along the crack surfaces. This numerical model predicts that the energy release rate is sufficient to overcome the silicon fracture toughness. The model further identifies the factors that can enhance the energy release rate. Ion-Cut SOI wafer fabrication technique is implemented using Pm. The hydrogen implantation rate, which is independent of the wafer size, is considerably higher than that of conventional implantation. The simple Pm reactor setup and its compatibility with cluster-tool IC manufacturing system offer other Ion-Cut process optimization opportunities. The feasibility of Pm Ion-Cut process has been demonstrated with successful fabrication of SOI structures. The hydrogen plasma can be optimized so that only one ion species is dominant in concentration, with minimal effect on the Ion-Cut process by the residual ion components. We have also demonstrated the feasibility of performing Ion-Cut using Pm in helium plasma.

  4. CMOS Active Pixel Sensors as energy-range detectors for proton Computed Tomography

    International Nuclear Information System (INIS)

    Esposito, M.; Waltham, C.; Allinson, N.M.; Anaxagoras, T.; Evans, P.M.; Poludniowski, G.; Green, S.; Parker, D.J.; Price, T.; Manolopoulos, S.; Nieto-Camero, J.

    2015-01-01

    Since the first proof of concept in the early 70s, a number of technologies has been proposed to perform proton CT (pCT), as a means of mapping tissue stopping power for accurate treatment planning in proton therapy. Previous prototypes of energy-range detectors for pCT have been mainly based on the use of scintillator-based calorimeters, to measure proton residual energy after passing through the patient. However, such an approach is limited by the need for only a single proton passing through the energy-range detector in a read-out cycle. A novel approach to this problem could be the use of pixelated detectors, where the independent read-out of each pixel allows to measure simultaneously the residual energy of a number of protons in the same read-out cycle, facilitating a faster and more efficient pCT scan. This paper investigates the suitability of CMOS Active Pixel Sensors (APSs) to track individual protons as they go through a number of CMOS layers, forming an energy-range telescope. Measurements performed at the iThemba Laboratories will be presented and analysed in terms of correlation, to confirm capability of proton tracking for CMOS APSs

  5. CMOS Active Pixel Sensors as energy-range detectors for proton Computed Tomography.

    Science.gov (United States)

    Esposito, M; Anaxagoras, T; Evans, P M; Green, S; Manolopoulos, S; Nieto-Camero, J; Parker, D J; Poludniowski, G; Price, T; Waltham, C; Allinson, N M

    2015-06-03

    Since the first proof of concept in the early 70s, a number of technologies has been proposed to perform proton CT (pCT), as a means of mapping tissue stopping power for accurate treatment planning in proton therapy. Previous prototypes of energy-range detectors for pCT have been mainly based on the use of scintillator-based calorimeters, to measure proton residual energy after passing through the patient. However, such an approach is limited by the need for only a single proton passing through the energy-range detector in a read-out cycle. A novel approach to this problem could be the use of pixelated detectors, where the independent read-out of each pixel allows to measure simultaneously the residual energy of a number of protons in the same read-out cycle, facilitating a faster and more efficient pCT scan. This paper investigates the suitability of CMOS Active Pixel Sensors (APSs) to track individual protons as they go through a number of CMOS layers, forming an energy-range telescope. Measurements performed at the iThemba Laboratories will be presented and analysed in terms of correlation, to confirm capability of proton tracking for CMOS APSs.

  6. Tinting of intraocular lens implants

    International Nuclear Information System (INIS)

    Zigman, S.

    1982-01-01

    Intraocular lens (IOL) implants of polymethyl methacrylate (PMMA) lack an important yellow pigment useful as a filter in the visual process and in the protection of the retina from short-wavelength radiant energy. The ability to produce a yellow pigment in the PMMA used in IOL implants by exposure to near-ultraviolet (UV) light was tested. It was found that the highly cross-linked material in Copeland lens blanks was tinted slightly because of this exposure. The absorptive properties of lens blanks treated with near-UV light in this way approached that of the absorptive properties of human lenses. This finding shows that it is possible to alter IOL implants simply so as to induce a pale-yellow pigment in them to improve the visual process and to protect the retinas of IOL users

  7. Tinting of intraocular lens implants

    Energy Technology Data Exchange (ETDEWEB)

    Zigman, S.

    1982-06-01

    Intraocular lens (IOL) implants of polymethyl methacrylate (PMMA) lack an important yellow pigment useful as a filter in the visual process and in the protection of the retina from short-wavelength radiant energy. The ability to produce a yellow pigment in the PMMA used in IOL implants by exposure to near-ultraviolet (UV) light was tested. It was found that the highly cross-linked material in Copeland lens blanks was tinted slightly because of this exposure. The absorptive properties of lens blanks treated with near-UV light in this way approached that of the absorptive properties of human lenses. This finding shows that it is possible to alter IOL implants simply so as to induce a pale-yellow pigment in them to improve the visual process and to protect the retinas of IOL users.

  8. Prediction of the metabolizable energy requirements of free-range laying hens.

    Science.gov (United States)

    Brainer, M M A; Rabello, C B V; Santos, M J B; Lopes, C C; Ludke, J V; Silva, J H V; Lima, R A

    2016-01-01

    This experiment was conducted with the aim of estimating the ME requirements of free-range laying hens for maintenance, weight gain, and egg production. These experiments were performed to develop an energy requirement prediction equation by using the comparative slaughter technique and the total excreta collection method. Regression equations were used to relate the energy intake, the energy retained in the body and eggs, and the heat production of the hens. These relationships were used to determine the daily ME requirement for maintenance, the efficiency energy utilization above the requirements for maintenance, and the NE requirement for maintenance. The requirement for weight gain was estimated from the energy content of the carcass, and the diet's efficiency energy utilization was determined from the weight gain, which was measured during weekly slaughter. The requirement for egg production was estimated by considering the energy content of the eggs and the efficiency of energy deposition in the eggs. The requirement and efficiency energy utilization for maintenance were 121.8 kcal ME/(kg∙d)and 0.68, respectively. Similarly, the NE requirement for maintenance was 82.4 kcal ME/(kg∙d), and the efficiency energy utilization above maintenance was 0.61. Because the carcass body weight and energy did not increase during the trial, the weight gain could not be estimated. The requirements for egg production requirement and efficiency energy utilization for egg production were 2.48 kcal/g and 0.61, respectively. The following energy prediction equation for free-range laying hens (without weight gain) was developed: ME /(hen ∙ d) = 121.8 × W + 2.48 × EM, in which W = body weight (kg) and EM = egg mass (g/[hen ∙ d]).

  9. Molecular dynamics with phase-shift-based electronic stopping for calibration of ion implantation profiles in crystalline silicon

    International Nuclear Information System (INIS)

    Chan, H.Y.; Nordlund, K.; Gossmann, H.-J.L.; Harris, M.; Montgomery, N.J.; Mulcahy, C.P.A.; Biswas, S.; Srinivasan, M.P.; Benistant, F.; Ng, C.M.; Chan, Lap

    2006-01-01

    Prediction of the final dopant positions after ion implantation has always been strongly influenced by the choice of stopping models. A molecular dynamics (MD) method is used in this work; the nuclear stopping is treated by accurate pair potentials calculated by density functional theory (DFT). The slowing down due to collisions with electrons will be described by both a non-local semi-empirical model and a local model based on Fermi level phase shift factors. Comparisons with experimental data using both models show that a local pair-specific electronic stopping model is essential in accurately predicting range profiles for any element even at low implant energies where nuclear effects are dominant

  10. Dose measurement of ion implanted silicon by RBS technique

    International Nuclear Information System (INIS)

    Kamawanna, Teerasak; Intarasiri, Saweat; Prapunsri, Chowunchun; Thongleurm, Chome; Maleepatra, Saenee; Singkarat, Somsorn

    2003-10-01

    Surface modification can be achieved by ion implantation. This study used a 1 mm thick silicon wafer as a target which was implanted with Ar+ at 80 keV. The degree of the modification depends on both the ion energy and the implanted dose. The distribution of argon in the silicon substrate and the absolute implanted dose can be measured by using Rutherford Backscattering Spectrometry (RBS). These investigations utilized a 1.7 MV Tandetron accelerator system at Chiang Mai University. The dose determination by a direct calculation is in agreement with the simulation by the SIMNRA code

  11. The mechanisms of surface exfoliation in H and He implanted Si crystals

    International Nuclear Information System (INIS)

    Reboh, S.; Mattos, A.A.D. de; Schaurich, F.; Fichtner, P.F.P.; Beaufort, M.F.; Barbot, J.F.

    2011-01-01

    We report on the exfoliation mechanisms in light gas implanted Si. Microstructure characterization, extensive statistical analysis and solid mechanics theory show that exfoliation is caused by microcracks growing close to equilibrium pressure for high fluences. For lower fluences, cracks evolve at under-equilibrium pressure and exfoliation relies on a coalescence mechanism assisted by cleavage. This provides long-range, collective and efficient stress relief for clusters of cracks, causing enhancement of the exfoliation. The physical processes are independent of the irradiation energy.

  12. Beam Profile Disturbances from Implantable Pacemakers or Implantable Cardioverter-Defibrillator Interactions

    Energy Technology Data Exchange (ETDEWEB)

    Gossman, Michael S., E-mail: mgossman@tsrcc.com [Tri-State Regional Cancer Center, Medical Physics Section, Ashland, KY (United States); Comprehensive Heart and Vascular Associates, Heart and Vascular Center, Ashland, KY (United States); Medtronic, Inc., External Research Program, Mounds View, MN (United States); Nagra, Bipinpreet; Graves-Calhoun, Alison; Wilkinson, Jeffrey [Tri-State Regional Cancer Center, Medical Physics Section, Ashland, KY (United States); Comprehensive Heart and Vascular Associates, Heart and Vascular Center, Ashland, KY (United States); Medtronic, Inc., External Research Program, Mounds View, MN (United States)

    2011-01-01

    The medical community is advocating for progressive improvement in the design of implantable cardioverter-defibrillators and implantable pacemakers to accommodate elevations in dose limitation criteria. With advancement already made for magnetic resonance imaging compatibility in some, a greater need is present to inform the radiation oncologist and medical physicist regarding treatment planning beam profile changes when such devices are in the field of a therapeutic radiation beam. Treatment plan modeling was conducted to simulate effects induced by Medtronic, Inc.-manufactured devices on therapeutic radiation beams. As a continuation of grant-supported research, we show that radial and transverse open beam profiles of a medical accelerator were altered when compared with profiles resulting when implantable pacemakers and cardioverter-defibrillators are placed directly in the beam. Results are markedly different between the 2 devices in the axial plane and the sagittal planes. Vast differences are also presented for the therapeutic beams at 6-MV and 18-MV x-ray energies. Maximum changes in percentage depth dose are observed for the implantable cardioverter-defibrillator as 9.3% at 6 MV and 10.1% at 18 MV, with worst distance to agreement of isodose lines at 2.3 cm and 1.3 cm, respectively. For the implantable pacemaker, the maximum changes in percentage depth dose were observed as 10.7% at 6 MV and 6.9% at 18 MV, with worst distance to agreement of isodose lines at 2.5 cm and 1.9 cm, respectively. No differences were discernible for the defibrillation leads and the pacing lead.

  13. High-intensity laser for Ta and Ag implantation into different substrates for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A.; Malinsky, P. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-07-01

    High-intensity lasers generating non-equilibrium plasma, can be employed to accelerate ions in the keV–MeV region, useful for many applications. In the present work, we performed study of ion implantation into different substrates by using a high-intensity laser at the PALS laboratory in Prague. Multi-energy ions generated by plasma from Ta and Ag targets were implanted into polyethylene and metallic substrates (Al, Ti) at energies of tens of keV per charge state. The ion emission was monitored online using time-of-flight detectors and electromagnetic deflection systems. Rutherford Backscattering Spectrometry (RBS) was used to characterise the elemental composition in the implanted substrates by ion plasma emission and to provide the implanted ion depth profiling. These last measurements enable offline plasma characterisation and provide information on the useful potentiality of multi-ion species and multi-energy ion implantation into different substrates. XPS analysis gives information on the chemical bonds and their modifications in the first superficial implanted layers. The depth distributions of implanted Ta and Ag ions were compared with the theoretical ones achieved by using the SRIM-2012 simulation code.

  14. Polymer tribology by combining ion implantation and radionuclide tracing

    International Nuclear Information System (INIS)

    Timmers, Heiko; Gladkis, Laura G.; Warner, Jacob A.; Byrne, Aidan P.; Grosso, Mariela F. del; Arbeitman, Claudia R.; Garcia-Bermudez, Gerardo; Geruschke, Thomas; Vianden, Reiner

    2010-01-01

    Radionuclide tracers were ion implanted with three different techniques into the ultra-high molecular weight polyethylene polymer. Tracer nuclei of 7 Be were produced with inverse kinematics via the reaction p( 7 Li, 7 Be)n and caught by polymer samples at a forward scattering angle with a maximum implantation energy of 16 MeV. For the first time, 97 Ru, 100 Pd, and, independently, 111 In have been used as radionuclide tracers in ultra-high molecular weight polyethylene. 97 Ru and 100 Pd were recoil-implanted following the fusion evaporation reactions 92 Zr( 12 C,α3n) 97 Ru and 92 Zr( 12 C,4n) 100 Pd with a maximum implantation energy of 8 MeV. 111 In ions were produced in an ion source, mass-separated and implanted at 160 keV. The tribology of implanted polymer samples was studied by tracing the radionuclide during mechanical wear. Uni-directional and bi-directional sliding apparatus with stainless steel actuators were used. Results suggest a debris exchange process as the characteristic feature of the wear-in phase. This process can establish the steady state required for a subsequently constant wear rate in agreement with Archard's equation. The nano-scale implantation of mass-separated 111 In appears best suited to the study of non-linear tribological processes during wear-in. Such non-linear processes may be expected to be important in micro- and nanomachines.

  15. Implantable Cardiac Pacemakers – 50 Years from the First Implantation

    Directory of Open Access Journals (Sweden)

    Ratko Magjarević

    2010-01-01

    Overview: Development of implantable cardiac pacemaker was enabled by another important invention, the silicon transistor. h ough the invention of suitable lithium cells as appropriate power supply was essential for prolongation of battery life cycle and for increased reliability of pacemakers, main milestones in the development were associated with technological breakthroughs in electronics: from transistors, which introduced such features as small size and low power consumption, to hybrid and integrated circuits, which enabled programmability, microprocessors, which added more options in programming (multiprogrammability, diagnostics and telemetry, and the ICT (information communication technology that enabled physicians remote access to patients and interrogation of their implantable devices. Conclusions: Implantable pacemakers are reliable devices indicated for a wide range of dif erent therapies of cardiac rhythm disorders and heart failure. h ere is still a lot to learn about the physiology of a normal heart and even more about the failing heart. Modern pacemakers provide physicians valuable information from pacemakers’ memory via the built-in telemetry system. h ese information help physicians to better understand pathologic processes within the heart, thus contributing to the development of new ideas for treatment of diseases and for precise tailoring of the therapy to the patient’s needs. Although implantable pacemakers have reached the level of mature technology, they will continue to develop with therapies and diagnostics to facilitate a higher quality of life.

  16. Critical issues in the formation of quantum computer test structures by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Schenkel, T.; Lo, C. C.; Weis, C. D.; Schuh, A.; Persaud, A.; Bokor, J.

    2009-04-06

    The formation of quantum computer test structures in silicon by ion implantation enables the characterization of spin readout mechanisms with ensembles of dopant atoms and the development of single atom devices. We briefly review recent results in the characterization of spin dependent transport and single ion doping and then discuss the diffusion and segregation behaviour of phosphorus, antimony and bismuth ions from low fluence, low energy implantations as characterized through depth profiling by secondary ion mass spectrometry (SIMS). Both phosphorus and bismuth are found to segregate to the SiO2/Si interface during activation anneals, while antimony diffusion is found to be minimal. An effect of the ion charge state on the range of antimony ions, 121Sb25+, in SiO2/Si is also discussed.

  17. Defects induced by helium implantation in SiC

    International Nuclear Information System (INIS)

    Oliviero, E.; Barbot, J.F.; Declemy, A.; Beaufort, M.F.; Oliviero, E.

    2008-01-01

    SiC is one of the considered materials for nuclear fuel conditioning and for the fabrication of some core structures in future nuclear generation reactors. For the development of this advance technology, a fundamental research on this material is of prime importance. In particular, the implantation/irradiation effects have to be understood and controlled. It is with this aim that the structural alterations induced by implantation/irradiation in SiC are studied by different experimental techniques as transmission electron microscopy, helium desorption, X-ray diffraction and Rutherford backscattering spectrometry. In this work, the different types of defects induced by helium implantation in SiC, point or primary defects (obtained at low energy (∼100 eV) until spread defects (obtained at higher energy (until ∼2 MeV)) are exposed. The amorphization/recrystallization and swelling phenomena are presented too. (O.M.)

  18. Evolution of embedded lithium nanoclusters in lithium implanted alumina

    International Nuclear Information System (INIS)

    Gaikwad, P.V.; Sharma, S.K.; Mukherjee, S.; Sudarshan, K.; Kshirsagar, A.; Pujari, P.K.

    2016-01-01

    High dose of ion implantation followed by annealing is considered a feasible way to generate thermally stable nanoclusters inside a transparent host matrix. Low energy (50 keV) Li ions have been implanted into single crystals of alumina with different fluence (1 × 10"1"5–1 × 10"1"7 ions/cm"2). The samples have been annealed at temperatures ranging from 500 to 1100 °C in air in step of 100 °C. Depth dependent Doppler broadening measurements have been carried out using high purity germanium detector coupled to a variable energy slow positron beam. Fractional area in the central and wing regions of Doppler broadened annihilation radiation spectrum, namely, S- and W- parameters, were evaluated from each spectrum. Any variation in positron annihilation probability with valence and core electrons which occurs on trapping of positrons at a defect site is reflected in these parameters. The effect of ion fluence and annealing temperature on evolution of defects and formation of embedded Li nanoclusters have been studied by indexing the variation in line shape S- (W-) parameter as a function of positron implantation depth. These studies supplemented by theoretical calculations confirm that with annealing up to 700 °C, vacancy clusters are created due to the aggregation of vacancies wherein Li nanoclusters are formed. On annealing at higher temperature, there is evidence for the breakdown of these Li clusters leaving behind vacancy clusters in the samples. - Highlights: • Embedded Li nanoclusters are efficiently created by annealing Li implanted Al_2O_3 crystal. • Depth dependent DBAR is a suitable method to characterize embedded nanoclusters. • The formation of Li nanoclusters is assisted by vacancy migration to form clusters. • At very high annealing temperature (>1000 °C), Li nanoclusters undergo breakdown. • e"+ annihilation at V_A_l site shows a unique observation i.e. a reduction in S-parameter.

  19. Monte Carlo simulation of boron-ion implantation into single-crystal silicon

    International Nuclear Information System (INIS)

    Klein, K.M.

    1991-01-01

    A physically based Monte Carlo boron implantation model developed comprehends previously neglected but important implant parameters such as native oxide layers, wafer temperature, beam divergence, tilt angle, rotation (twist) angle, and dose, in addition to energy. This model uses as its foundation the MARLOWE Monte Carlo simulation code developed at Oak Ridge National Laboratory for the analysis of radiation effects in materials. This code was carefully adapted for the simulation of ion implantation, and a number of significant improvements have been made, including the addition of atomic pair specific interatomic potentials, the implementation of a newly developed local electron concentration dependent electronic stopping model, and the implementation of a newly developed cumulative damage model. This improved version of the code, known as UT-MARLOWE, allows boron implantation profiles to be accurately predicted as a function of energy, tilt angle, rotation angle, and dose. This code has also been used in the development and implementation of an accurate and efficient two-dimensional boron implantation model

  20. In vitro deflation of prefilled saline breast implants.

    Science.gov (United States)

    Stevens, W Grant; Hirsch, Elliot M; Stoker, David A; Cohen, Robert

    2006-08-01

    The purpose of this study was to determine whether or not prefilled breast implants retain their volume in packaging. This study examined 32 Poly Implant Prosthesis prefilled textured saline breast implants. All of these implants were within the manufacturer's expiration date at the time of weighing. No holes were visible on any of the implants. All were weighed on an electronic scale. The measured weight was compared with the expected weight (based on the implant size as specified by the manufacturer) and the percentage deflation was calculated. The manufacturer declined to provide specific information about the manufacture dates of the implants; thus, relative age (rather than absolute age) was examined with respect to percentage deflation. Of the 32 implants examined, all showed some degree of deflation (range, 8.84 to 57.14 percent; 95 percent confidence interval of the mean, 22.01 +/- 4.17 percent). There was a moderate correlation (r = 0.41) between relative age of the implant and percentage deflation. From these results, it is clear that this type of prefilled saline breast implant does not maintain its volume in vitro. If these implants are used, the underfilling could contribute to a higher deflation rate and cosmetic deformity. This risk should be taken into account by plastic surgeons who use this type of implant in breast augmentation procedures.

  1. Adaptive Transcutaneous Power Transfer to Implantable Devices: A State of the Art Review.

    Science.gov (United States)

    Bocan, Kara N; Sejdić, Ervin

    2016-03-18

    Wireless energy transfer is a broad research area that has recently become applicable to implantable medical devices. Wireless powering of and communication with implanted devices is possible through wireless transcutaneous energy transfer. However, designing wireless transcutaneous systems is complicated due to the variability of the environment. The focus of this review is on strategies to sense and adapt to environmental variations in wireless transcutaneous systems. Adaptive systems provide the ability to maintain performance in the face of both unpredictability (variation from expected parameters) and variability (changes over time). Current strategies in adaptive (or tunable) systems include sensing relevant metrics to evaluate the function of the system in its environment and adjusting control parameters according to sensed values through the use of tunable components. Some challenges of applying adaptive designs to implantable devices are challenges common to all implantable devices, including size and power reduction on the implant, efficiency of power transfer and safety related to energy absorption in tissue. Challenges specifically associated with adaptation include choosing relevant and accessible parameters to sense and adjust, minimizing the tuning time and complexity of control, utilizing feedback from the implanted device and coordinating adaptation at the transmitter and receiver.

  2. Adaptive Transcutaneous Power Transfer to Implantable Devices: A State of the Art Review

    Directory of Open Access Journals (Sweden)

    Kara N. Bocan

    2016-03-01

    Full Text Available Wireless energy transfer is a broad research area that has recently become applicable to implantable medical devices. Wireless powering of and communication with implanted devices is possible through wireless transcutaneous energy transfer. However, designing wireless transcutaneous systems is complicated due to the variability of the environment. The focus of this review is on strategies to sense and adapt to environmental variations in wireless transcutaneous systems. Adaptive systems provide the ability to maintain performance in the face of both unpredictability (variation from expected parameters and variability (changes over time. Current strategies in adaptive (or tunable systems include sensing relevant metrics to evaluate the function of the system in its environment and adjusting control parameters according to sensed values through the use of tunable components. Some challenges of applying adaptive designs to implantable devices are challenges common to all implantable devices, including size and power reduction on the implant, efficiency of power transfer and safety related to energy absorption in tissue. Challenges specifically associated with adaptation include choosing relevant and accessible parameters to sense and adjust, minimizing the tuning time and complexity of control, utilizing feedback from the implanted device and coordinating adaptation at the transmitter and receiver.

  3. New developments in metal ion implantation by vacuum arc ion sources and metal plasma immersion

    International Nuclear Information System (INIS)

    Brown, I.G.; Anders, A.; Anders, S.

    1996-01-01

    Ion implantation by intense beams of metal ions can be accomplished using the dense metal plasma formed in a vacuum arc discharge embodied either in a vacuum arc ion source or in a metal plasma immersion configuration. In the former case high energy metal ion beams are formed and implantation is done in a more-or-less conventional way, and in the latter case the substrate is immersed in the plasma and repetitively pulse-biased so as to accelerate the ions at the high voltage plasma sheath formed at the substrate. A number of advances have been made in the last few years, both in plasma technology and in the surface modification procedures, that enhance the effectiveness and versatility of the methods, including for example: controlled increase of the in charge states produced; operation in a dual metal-gaseous ion species mode; very large area beam formation; macroparticle filtering; and the development of processing regimes for optimizing adhesion, morphology and structure. These complementary ion processing techniques provide the plasma tools for doing ion surface modification over a very wide parameter regime, from pure ion implantation at energies approaching the MeV level, through ion mixing at energies in the ∼1 to ∼100 keV range, to IBAD-like processing at energies from a few tens of eV to a few keV. Here the authors review the methods, describe a number of recent developments, and outline some of the surface modification applications to which the methods have been put. 54 refs., 9 figs

  4. Age or experience? The influence of age at implantation and social and linguistic environment on language development in children with cochlear implants.

    Science.gov (United States)

    Szagun, Gisela; Stumper, Barbara

    2012-12-01

    The authors investigated the influence of social environmental variables and age at implantation on language development in children with cochlear implants. Participants were 25 children with cochlear implants and their parents. Age at implantation ranged from 6 months to 42 months ( M (age) = 20.4 months, SD = 22.0 months). Linguistic progress was assessed at 12, 18, 24, and 30 months after implantation. At each data point, language measures were based on parental questionnaire and 45-min spontaneous speech samples. Children's language and parents' child-directed language were analyzed. On all language measures, children displayed considerable vocabulary and grammatical growth over time. Although there was no overall effect of age at implantation, younger and older children had different growth patterns. Children implanted by age 24 months made the most marked progress earlier on, whereas children implanted thereafter did so later on. Higher levels of maternal education were associated with faster linguistic progress; age at implantation was not. Properties of maternal language input, mean length of utterance, and expansions were associated with children's linguistic progress independently of age at implantation. In children implanted within the sensitive period for language learning, children's home language environment contributes more crucially to their linguistic progress than does age at implantation.

  5. Daily energy expenditure in free-ranging Gopher Tortoises (Gopherus polyphemus)

    Science.gov (United States)

    Jodice, P.G.R.; Epperson, D.M.; Visser, G. Henk

    2006-01-01

    Studies of ecological energetics in chelonians are rare. Here, we report the first measurements of daily energy expenditure (DEE) and water influx rates (WIRs) in free-ranging adult Gopher Tortoises (Gopherus polyphemus). We used the doubly labeled water (DLW) method to measure DEE in six adult tortoises during the non-breeding season in south-central Mississippi, USA. Tortoise DEE ranged from 76.7-187.5 kj/day and WIR ranged from 30.6-93.1 ml H2O/day. Daily energy expenditure did not differ between the sexes, but DEE was positively related to body mass. Water influx rates varied with the interaction of sex and body mass. We used a log/log regression model to assess the allometric relationship between DEE and body mass for Gopher Tortoises, Desert Tortoises (Gopherus agassizii), and Box Turtles (Terrapene carolina), the only chelonians for which DEE has been measured. The slope of this allometric model (0.626) was less than that previously calculated for herbivorous reptiles (0.813), suggesting that chelonians may expend energy at a slower rate per unit of body mass compared to other herbivorous reptiles. We used retrospective power analyses and data from the DLW isotope analyses to develop guidelines for sample sizes and duration of measurement intervals, respectively, for larger-scale energetic studies in this species. ?? 2006 by the American Society of Ichthyologists and Herpetologists.

  6. Cell adhesion control by ion implantation into extra-cellular matrix

    International Nuclear Information System (INIS)

    Suzuki, Yoshiaki; Kusakabe, Masahiro; Kaibara, Makoto; Iwaki, Masaya; Sasabe, Hiroyuki; Nishisaka, Tsuyoshi

    1994-01-01

    Cell adhesion control of polymer surfaces by ion implantation into polymers and extra-cellular matrix has been studied by means of in vitro adhesion measurements of the carcinoma of the cervix (HeLa cell). The specimens used were polystyrene (PS), oxygen plasma treated polystyrene (PS-O), extra-cellular matrix (Collagen: Type I) coated polystyrene (PS-C), and gelatin coated polystyrene (PS-G). Ne + , Na + , and Ar + implantations were performed with a fluence of 1x10 15 ions/cm 2 at energies of 50, 100 and 150 keV. The chemical and physical structures of ion implanted specimens have been investigated by Fourier transform infrared spectroscopy (FT-IR-ATR), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Ion implanted PS demonstrated a dramatic improvement of adhesion of HeLa cell. HeLa cell adhered only to ion implanted circular domains of a diameter about 0.1 mm on PS. By contrast, ion implanted PS-C, PS-G and PS-O domains inhibited the cell adhesion. These phenomena were observed on Ne + , Na + , and Ar + implanted specimens at energies of 50, 100, and 150 keV. Ion implantation broke the original chemical bonds to form new radicals such as =C=O, condensed rings, C-C, C-O and OH radical. Ion implanted PS had a large amount of new radicals compared with that of PS-C, PS-G and PS-O. Ion implantation broke NH and NH 3 bonds originating from amino acid in PS-C and PS-G. OH and =C=O caused by oxygen treatment in PS-O were also destroyed by ion implantation. It is concluded that cell adhesion to ion implanted PS was caused by carbon structure and new radicals induced by ion implantation. The inhibition of HeLa cell adhesion on PS-C, PS-G and PS-O was caused by the destruction of cell adhesion properties of amino acid, OH and =C=O by radiation effects. ((orig.))

  7. Range-energy relation, range straggling and response function of CsI(Tl), BGO and GSO(Ce) scintillators for light ions

    CERN Document Server

    Avdeichikov, V; Jakobsson, B; Rodin, A M; Ter-Akopian, G M

    2000-01-01

    Range-energy relations and range straggling of sup 1 sup , sup 2 sup , sup 3 H and sup 4 sup , sup 6 He isotopes with the energy approx 50A MeV are measured for the CsI(Tl), BGO and GSO(Ce) scintillators with an accuracy better than 0.2% and 5%, respectively. The Si-Sci/PD telescope was exposed to secondary beams from the mass separator ACCULINNA. The experimental technique is based on the registration of the 'jump' in the amplitude of the photodiode signal for ions passing through the scintillation crystal. Light response of the scintillators for ions 1<=Z<=4 is measured in energy range (5-50)A MeV, the results are in good agreement with calculations based on Birks model. The energy loss straggling for particles with DELTA E/E=0.01-0.50 and mass up to A=10 in 286 mu m DELTA E silicon detector is studied and compared with theoretical prescriptions. The results allow a precise absolute calibration of the scintillation crystal and to optimize the particle identification by the DELTA E-E(Sci/PD) method.

  8. Cd doping of AlN via ion implantation studied with perturbed angular correlation

    CERN Document Server

    Kessler, Patrick; Miranda, Sérgio MC; Simon, R; Correia, João Guilherme; Johnston, Karl; Vianden, Reiner

    2012-01-01

    AlN with a wide bandgap of 6.2 eV is a promising candidate for ultraviolet light-emitting diodes and laser diodes. However, the production of the required p-type AlN is still challenging. As a possible dopant Cd was suggested among other Group II atoms (Be, Mg, and Zn). In this study the annealing condition of implanted Cd in AlN was investigated with the method of the perturbed angular correlation (PAC). Therefore radioactive $^{117}$Cd or $^{111m}$Cd ions were implanted into thin AlN films on sapphire substrate with an energy of 30 keV and fluences in the range of 10$^{11}$ ions/cm$^{2}$. After thorough annealing with a proximity cap of the same material most of the Cd-probes occupy substitutional lattice sites and almost all implantation damage can be annealed. This results in a distinct frequency in the PAC spectra which increases with temperature. In contrast to the formation of an indium nitrogen-vacancy complex observed with the probe $^{111}$In on substitutional Al-sites no defects are bound to substi...

  9. Finishing broiler toms using an estradiol 17 beta implant together with a high energy-low protein final feed.

    Science.gov (United States)

    Moran, E T; Etches, R J

    1983-06-01

    Wrolstad Small White toms were implanted with 10 mg of estradiol 17 beta monopalmitate (EMP) at 8 weeks of age. Common corn-soybean meal feeds were given through to 12 weeks, then one-half the birds from control and EMP groups received either an adequate (16% protein, 3166 kcal ME/kg) or high energy-low protein (HE-LP, 12%, 3373 kcal) feed to 14 weeks. No differences in weight gain and feed conversion occurred between EMP and control treatments at 12 weeks but at 14 weeks when the HE-LP diet had been fed the implanted birds performed better than controls. The HE-LP feed led to body weights and feed efficiencies below that of toms given adequate diet. In all cases, EMP elicited male secondary sex characteristics rather than feminization. Processing losses were increased with EMP and when the HE-LP feed had been given. Both treatments also improved finish assessment and were additive to the extent that a substantial increase in grade occurred. Effects on carcass composition, yield of commercial cuts, and cooking loss were small. Implantation, reduced meat yield percentage of breast and thigh. The increase in grade advantage from combining EMP with a feed that forced fat deposition more than compensated for the adverse effects.

  10. Alternative separation of exchange and correlation energies in multi-configuration range-separated density-functional theory.

    Science.gov (United States)

    Stoyanova, Alexandrina; Teale, Andrew M; Toulouse, Julien; Helgaker, Trygve; Fromager, Emmanuel

    2013-10-07

    The alternative separation of exchange and correlation energies proposed by Toulouse et al. [Theor. Chem. Acc. 114, 305 (2005)] is explored in the context of multi-configuration range-separated density-functional theory. The new decomposition of the short-range exchange-correlation energy relies on the auxiliary long-range interacting wavefunction rather than the Kohn-Sham (KS) determinant. The advantage, relative to the traditional KS decomposition, is that the wavefunction part of the energy is now computed with the regular (fully interacting) Hamiltonian. One potential drawback is that, because of double counting, the wavefunction used to compute the energy cannot be obtained by minimizing the energy expression with respect to the wavefunction parameters. The problem is overcome by using short-range optimized effective potentials (OEPs). The resulting combination of OEP techniques with wavefunction theory has been investigated in this work, at the Hartree-Fock (HF) and multi-configuration self-consistent-field (MCSCF) levels. In the HF case, an analytical expression for the energy gradient has been derived and implemented. Calculations have been performed within the short-range local density approximation on H2, N2, Li2, and H2O. Significant improvements in binding energies are obtained with the new decomposition of the short-range energy. The importance of optimizing the short-range OEP at the MCSCF level when static correlation becomes significant has also been demonstrated for H2, using a finite-difference gradient. The implementation of the analytical gradient for MCSCF wavefunctions is currently in progress.

  11. The transmission diffraction patterns of silicon implanted with high-energy α-particles

    International Nuclear Information System (INIS)

    Wieteska, K.; Wierzchowski, W.

    1995-01-01

    2 mm thick silicon wafers, implanted with 4.8 MeV α-particles are studied by means of transmission section topography and additionally by Lang and double-crystal methods. It was found that all three methods produced a negligible contrast in the symmetric transmission reflection apart from some fragments of the implanted area's boundaries. The interference fringes were observed in the case of asymmetric reflections. The asymmetric section topographs revealed distinct interference fringes, which cannot be explained in terms of simple bicrystal models. In particular, the curvature of these fringes may be interpreted as being due to the change in the implanted ion dose along the beam intersecting the crystal. Some features of the fringe pattern were reproduced by numerical integration of Takagi-Taupin equations. (author)

  12. Usher syndrome and cochlear implantation.

    Science.gov (United States)

    Loundon, Natalie; Marlin, Sandrine; Busquet, Denise; Denoyelle, Françoise; Roger, Gilles; Renaud, Francis; Garabedian, Erea Noel

    2003-03-01

    To evaluate the symptoms leading to diagnosis and the quality of rehabilitation after cochlear implantation in Usher syndrome. Retrospective cohort study. ENT department of a tertiary referral hospital. Among 210 patients given an implantation in the Ear, Nose, and Throat department, 185 were congenitally deaf and 13 had Usher syndrome (7.0%). Five had a family history of Usher, and eight were sporadic cases. Eleven cases were Usher type I, one was Usher type III, and one was not classified. The age at implantation ranged from 18 months to 44 years (mean, 6 years 1 month). The mean follow-up was 52 months (range, 9 months to 9 years). All patients had audiophonological and clinical examination, computed tomography scan of the temporal bones, ophthalmologic examination with fundoscopy, and an electroretinogram. Cerebral magnetic resonance imaging and vestibular examination were performed in 9 of 13 and 10 of 13 cases, respectively. Logopedic outcome measured preimplant and postimplant closed- and open-set word recognition and oral expression at follow-up. The most frequent initial sign of Usher syndrome was delayed walking, with a mean age of 20 months. Among the 172 other congenitally deaf children with implants, when deafness was not associated with other neurologic disorders, the mean age at walking was 14 months (p < 0.001). The fundoscopy was always abnormal after the age of 5 years, and the electroretinogram was abnormal in all cases. Vestibular function was abnormal in all but one case (nonclassified). The computed tomography scan and the magnetic resonance imaging were always normal. Logopedic results with cochlear implants showed good perception skills in all but one case. The best perceptive results were obtained in children implanted before the age of 9 years. Oral language had significantly progressed in 9 of 13 at follow-up. There was no relation between the visual acuity and the logopedic results. The earliest clinical sign associated with deafness

  13. Bone compaction enhances fixation of weightbearing titanium implants

    DEFF Research Database (Denmark)

    Kold, Søren; Rahbek, Ole; Vestermark, Marianne

    2005-01-01

    are weightbearing, the effects of compaction on weightbearing implants were examined. The hypothesis was that compaction would increase implant fixation compared with conventional drilling. Porous-coated titanium implants were inserted bilaterally into the weightbearing portion of the femoral condyles of dogs....... In each dog, one knee had the implant cavity prepared with drilling, and the other knee was prepared with compaction. Eight dogs were euthanized after 2 weeks, and eight dogs were euthanized after 4 weeks. Femoral condyles from an additional eight dogs represented Time 0. Compacted specimens had higher...... bone-implant contact and periimplant bone density at 0 and 2 weeks, but not at 4 weeks. A biphasic response of compaction was found with a pushout test, as compaction increased ultimate shear strength and energy absorption at 0 and 4 weeks, but not at 2 weeks. This biphasic response indicates...

  14. Surface analysis of titanium dental implants with different topographies

    Directory of Open Access Journals (Sweden)

    Silva M.H. Prado da

    2000-01-01

    Full Text Available Cylindrical dental implants made of commercially pure titanium were analysed in four different surface finishes: as-machined, Al2O3 blasted with Al2O3 particles, plasma-sprayed with titanium beads and electrolytically coated with hydroxyapatite. Scanning electron microscopy (SEM with Energy Dispersive X-ray Analysis (EDX revealed the topography of the surfaces and provided qualitative results of the chemical composition of the different implants. X-ray Photoelectron Spectroscopy (XPS was used to perform chemical analysis on the surface of the implants while Laser Scanning Confocal Microscopy (LSM produced topographic maps of the analysed surfaces. Optical Profilometry was used to quantitatively characterise the level of roughness of the surfaces. The implant that was plasma-sprayed and the hydroxyapatite coated implant showed the roughest surface, followed by the implant blasted with alumina and the as-machined implant. Some remnant contamination from the processes of blasting, coating and cleaning was detected by XPS.

  15. Shallow nitrogen ion implantation: Evolution of chemical state and defect structure in titanium

    Energy Technology Data Exchange (ETDEWEB)

    Manojkumar, P.A., E-mail: manoj@igcar.gov.in [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Chirayath, V.A.; Balamurugan, A.K.; Krishna, Nanda Gopala; Ilango, S.; Kamruddin, M.; Amarendra, G.; Tyagi, A.K. [Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Raj, Baldev [National Institute of Advanced Studies, Bangalore 560 012 (India)

    2016-09-15

    Highlights: • Low energy nitrogen ion implantation in titanium was studied. • Chemical and defect states were analyzed using SIMS, XPS and PAS. • SIMS and depth resolved XPS data showed good agreement. • Depth resolved defect and chemical states information were revealed. • Formation of 3 layers of defect states proposed to fit PAS results. - Abstract: Evolution of chemical states and defect structure in titanium during low energy nitrogen ion implantation by Plasma Immersion Ion Implantation (PIII) process is studied. The underlying process of chemical state evolution is investigated using secondary ion mass spectrometry and X-ray photoelectron spectroscopy. The implantation induced defect structure evolution as a function of dose is elucidated using variable energy positron annihilation Doppler broadening spectroscopy (PAS) and the results were corroborated with chemical state. Formation of 3 layers of defect state was modeled to fit PAS results.

  16. Short-range second order screened exchange correction to RPA correlation energies

    Science.gov (United States)

    Beuerle, Matthias; Ochsenfeld, Christian

    2017-11-01

    Direct random phase approximation (RPA) correlation energies have become increasingly popular as a post-Kohn-Sham correction, due to significant improvements over DFT calculations for properties such as long-range dispersion effects, which are problematic in conventional density functional theory. On the other hand, RPA still has various weaknesses, such as unsatisfactory results for non-isogyric processes. This can in parts be attributed to the self-correlation present in RPA correlation energies, leading to significant self-interaction errors. Therefore a variety of schemes have been devised to include exchange in the calculation of RPA correlation energies in order to correct this shortcoming. One of the most popular RPA plus exchange schemes is the second order screened exchange (SOSEX) correction. RPA + SOSEX delivers more accurate absolute correlation energies and also improves upon RPA for non-isogyric processes. On the other hand, RPA + SOSEX barrier heights are worse than those obtained from plain RPA calculations. To combine the benefits of RPA correlation energies and the SOSEX correction, we introduce a short-range RPA + SOSEX correction. Proof of concept calculations and benchmarks showing the advantages of our method are presented.

  17. Accuracy of computer-guided implantation in a human cadaver model.

    Science.gov (United States)

    Yatzkair, Gustavo; Cheng, Alice; Brodie, Stan; Raviv, Eli; Boyan, Barbara D; Schwartz, Zvi

    2015-10-01

    To examine the accuracy of computer-guided implantation using a human cadaver model with reduced experimental variability. Twenty-eight (28) dental implants representing 12 clinical cases were placed in four cadaver heads using a static guided implantation template. All planning and surgeries were performed by one clinician. All radiographs and measurements were performed by two examiners. The distance of the implants from buccal and lingual bone and mesial implant or tooth was analyzed at the apical and coronal levels, and measurements were compared to the planned values. No significant differences were seen between planned and implanted measurements. Average deviation of an implant from its planning radiograph was 0.8 mm, which is within the range of variability expected from CT analysis. Guided implantation can be used safely with a margin of error of 1 mm. © 2014 The Authors. Clinical Oral Implants Research Published by John Wiley & Sons Ltd.

  18. Energy-Efficient Algorithm for Sensor Networks with Non-Uniform Maximum Transmission Range

    Directory of Open Access Journals (Sweden)

    Yimin Yu

    2011-06-01

    Full Text Available In wireless sensor networks (WSNs, the energy hole problem is a key factor affecting the network lifetime. In a circular multi-hop sensor network (modeled as concentric coronas, the optimal transmission ranges of all coronas can effectively improve network lifetime. In this paper, we investigate WSNs with non-uniform maximum transmission ranges, where sensor nodes deployed in different regions may differ in their maximum transmission range. Then, we propose an Energy-efficient algorithm for Non-uniform Maximum Transmission range (ENMT, which can search approximate optimal transmission ranges of all coronas in order to prolong network lifetime. Furthermore, the simulation results indicate that ENMT performs better than other algorithms.

  19. Structural changes in the polyethylene after ion implantation

    International Nuclear Information System (INIS)

    Proskova, K.; Svorcik, V.

    1999-01-01

    This work deals with the study of the polyethylene (PE) after its modification by ion implantation. In this way the mechanical, optical, magnetic and electric characteristics can be changed. Experiments were processed on PE films with 15 μm thickness. For modification of the surface of PE for implantation the Ar + ions with the energy 63 keV and Xe + ions with the energy 156 keV and with doses from 1·10 13 to 3·10 15 cm +2 were used. The aim of this work was the study of structural changes of modified layer of the PE

  20. Ion range estimation by using dual energy computed tomography

    Energy Technology Data Exchange (ETDEWEB)

    Huenemohr, Nora; Greilich, Steffen [German Cancer Research Center (DKFZ), Heidelberg (Germany). Medical Physics in Radiation Oncology; Krauss, Bernhard [Siemens AG, Forchheim (Germany). Imaging and Therapy; Dinkel, Julien [German Cancer Research Center (DKFZ), Heidelberg (Germany). Radiology; Massachusetts General Hospital, Boston, MA (United States). Radiology; Gillmann, Clarissa [German Cancer Research Center (DKFZ), Heidelberg (Germany). Medical Physics in Radiation Oncology; University Hospital Heidelberg (Germany). Radiation Oncology; Ackermann, Benjamin [Heidelberg Ion-Beam Therapy Center (HIT), Heidelberg (Germany); Jaekel, Oliver [German Cancer Research Center (DKFZ), Heidelberg (Germany). Medical Physics in Radiation Oncology; Heidelberg Ion-Beam Therapy Center (HIT), Heidelberg (Germany); University Hospital Heidelberg (Germany). Radiation Oncology

    2013-07-01

    Inaccurate conversion of CT data to water-equivalent path length (WEPL) is one of the most important uncertainty sources in ion treatment planning. Dual energy CT (DECT) imaging might help to reduce CT number ambiguities with the additional information. In our study we scanned a series of materials (tissue substitutes, aluminum, PMMA, and other polymers) in the dual source scanner (Siemens Somatom Definition Flash). Based on the 80 kVp/140Sn kVp dual energy images, the electron densities Q{sub e} and effective atomic numbers Z{sub eff} were calculated. We introduced a new lookup table that translates the Q{sub e} to the WEPL. The WEPL residuals from the calibration were significantly reduced for the investigated tissue surrogates compared to the empirical Hounsfield-look-up table (single energy CT imaging) from (-1.0 {+-} 1.8)% to (0.1 {+-} 0.7)% and for non-tissue equivalent PMMA from -7.8% to -1.0%. To assess the benefit of the new DECT calibration, we conducted a treatment planning study for three different idealized cases based on tissue surrogates and PMMA. The DECT calibration yielded a significantly higher target coverage in tissue surrogates and phantom material (i.e. PMMA cylinder, mean target coverage improved from 62% to 98%). To verify the DECT calibration for real tissue, ion ranges through a frozen pig head were measured and compared to predictions calculated by the standard single energy CT calibration and the novel DECT calibration. By using this method, an improvement of ion range estimation from -2.1% water-equivalent thickness deviation (single energy CT) to 0.3% (DECT) was achieved. If one excludes raypaths located on the edge of the sample accompanied with high uncertainties, no significant difference could be observed. (orig.)

  1. Intracorporeal Heat Distribution from Fully Implantable Energy Sources for Mechanical Circulatory Support: A Computational Proof-of-Concept Study

    Directory of Open Access Journals (Sweden)

    Jacopo Biasetti

    2017-10-01

    Full Text Available Mechanical circulatory support devices, such as total artificial hearts and left ventricular assist devices, rely on external energy sources for their continuous operation. Clinically approved power supplies rely on percutaneous cables connecting an external energy source to the implanted device with the associated risk of infections. One alternative, investigated in the 70s and 80s, employs a fully implanted nuclear power source. The heat generated by the nuclear decay can be converted into electricity to power circulatory support devices. Due to the low conversion efficiencies, substantial levels of waste heat are generated and must be dissipated to avoid tissue damage, heat stroke, and death. The present work computationally evaluates the ability of the blood flow in the descending aorta to remove the locally generated waste heat for subsequent full-body distribution and dissipation, with the specific aim of investigating methods for containment of local peak temperatures within physiologically acceptable limits. To this aim, coupled fluid–solid heat transfer computational models of the blood flow in the human aorta and different heat exchanger architectures are developed. Particle tracking is used to evaluate temperature histories of cells passing through the heat exchanger region. The use of the blood flow in the descending aorta as a heat sink proves to be a viable approach for the removal of waste heat loads. With the basic heat exchanger design, blood thermal boundary layer temperatures exceed 50°C, possibly damaging blood cells and proteins. Improved designs of the heat exchanger, with the addition of fins and heat guides, allow for drastically lower blood temperatures, possibly leading to a more biocompatible implant. The ability to maintain blood temperatures at biologically compatible levels will ultimately allow for the body-wise distribution, and subsequent dissipation, of heat loads with minimum effects on the human physiology.

  2. Intracorporeal Heat Distribution from Fully Implantable Energy Sources for Mechanical Circulatory Support: A Computational Proof-of-Concept Study.

    Science.gov (United States)

    Biasetti, Jacopo; Pustavoitau, Aliaksei; Spazzini, Pier Giorgio

    2017-01-01

    Mechanical circulatory support devices, such as total artificial hearts and left ventricular assist devices, rely on external energy sources for their continuous operation. Clinically approved power supplies rely on percutaneous cables connecting an external energy source to the implanted device with the associated risk of infections. One alternative, investigated in the 70s and 80s, employs a fully implanted nuclear power source. The heat generated by the nuclear decay can be converted into electricity to power circulatory support devices. Due to the low conversion efficiencies, substantial levels of waste heat are generated and must be dissipated to avoid tissue damage, heat stroke, and death. The present work computationally evaluates the ability of the blood flow in the descending aorta to remove the locally generated waste heat for subsequent full-body distribution and dissipation, with the specific aim of investigating methods for containment of local peak temperatures within physiologically acceptable limits. To this aim, coupled fluid-solid heat transfer computational models of the blood flow in the human aorta and different heat exchanger architectures are developed. Particle tracking is used to evaluate temperature histories of cells passing through the heat exchanger region. The use of the blood flow in the descending aorta as a heat sink proves to be a viable approach for the removal of waste heat loads. With the basic heat exchanger design, blood thermal boundary layer temperatures exceed 50°C, possibly damaging blood cells and proteins. Improved designs of the heat exchanger, with the addition of fins and heat guides, allow for drastically lower blood temperatures, possibly leading to a more biocompatible implant. The ability to maintain blood temperatures at biologically compatible levels will ultimately allow for the body-wise distribution, and subsequent dissipation, of heat loads with minimum effects on the human physiology.

  3. Ion implantation

    International Nuclear Information System (INIS)

    Dearnaley, Geoffrey

    1975-01-01

    First, ion implantation in semiconductors is discussed: ion penetration, annealing of damage, gettering, ion implanted semiconductor devices, equipement requirements for ion implantation. The importance of channeling for ion implantation is studied. Then, some applications of ion implantation in metals are presented: study of the corrosion of metals and alloys; influence or ion implantation on the surface-friction and wear properties of metals; hyperfine interactions in implanted metals

  4. Trapping of deuterium in krypton-implanted nickel

    International Nuclear Information System (INIS)

    Frank, R.C.; McManus, S.P.; Rehn, L.E.; Baldo, P.

    1986-01-01

    Krypton ions with energy 600 keV were implanted in nickel to fluences of 2 x 10 16 cm -2 under three different conditions. Deuterium was subsequently introduced into the implanted regions by electrolysis at room temperature. After the diffusible deuterium was permitted to escape, the 2 H( 3 He, 1 H) 4 He nuclear reaction was used to analyze for the trapped deuterium during an isochronal annealing program. The region implanted at 100 0 C with no higher temperature anneal had the largest number of traps; the region implanted at 100 0 C and annealed for 100 min at 500 0 C had considerably less; the region implanted at 500 0 C had the least. Electron diffraction patterns confirmed the existence of solid crystalline krypton in all three regions. Transmission electron microscope studies revealed precipitates with an average diameter of 8 nm in the region implanted at 500 0 C. The two regions implanted at 100 0 C contained smaller precipitates. Trap binding enthalpies were obtained by math modeling. In addition to the traps with binding enthalpy of 0.55 eV reported earlier by other investigators for helium implanted in nickel, a smaller number of traps with binding enthalpies up to 0.83 eV were also found. The trapping of deuterium by various types of imperfections, including the solid krypton precipitates, is discussed

  5. X-ray diffuse scattering study of the kinetics of stacking fault growth and annihilation in boron-implanted silicon

    Science.gov (United States)

    Luebbert, D.; Arthur, J.; Sztucki, M.; Metzger, T. H.; Griffin, P. B.; Patel, J. R.

    2002-10-01

    Stacking faults in boron-implanted silicon give rise to streaks or rods of scattered x-ray intensity normal to the stacking fault plane. We have used the diffuse scattering rods to follow the growth of faults as a function of time when boron-implanted silicon is annealed in the range of 925 to 1025 degC. From the growth kinetics we obtain an activation energy for interstitial migration in silicon: EI=1.98plus-or-minus0.06 eV. Fault intensity and size versus time results indicate that faults do not shrink and disappear, but rather are annihilated by a dislocation reaction mechanism.

  6. Physics of ep collisions in the TeV energy range

    International Nuclear Information System (INIS)

    Altarelli, G.; Mele, B.; Rueckl, R.

    1984-01-01

    We study the physics of electron-proton collisions in the range of centre-of-mass energies between √s approx.= 0.3 TeV (HERA) and √s approx.= (1-2) TeV. The latter energies would be achieved if the electron or positron beam of LEP [Esub(e) approx.= (50-100) GeV] is made to collide with the proton beam of LHC [Esub(p) approx.= (5-10) TeV]. (orig.)

  7. Trapping of deuterium in argon-implanted nickel

    International Nuclear Information System (INIS)

    Frank, R.C.; Rehn, L.E.; Baldo, P.

    1985-01-01

    Argon ions with energy 250 keV were implanted at fluences of 2 x 10 16 cm -2 at temperatures of 500, 250, and 21 0 C, in the specimen of relatively pure polycrystalline nickel. Deuterium was introduced into the surface and implanted regions by making the specimen the negative electrode of an electrolytic cell containing 1-N pure deuterated sulfuric acid. Deuterium trapped in the vacancy complexes of the implanted regions was analyzed as a function of temperature using the vacancy complexes of the implanted regions was analyzed as a function of temperature using the 2 H( 3 He, 1 H) 4 He nuclear reaction during an isochronal annealing process. The results indicate that the types of traps and trap densities found in the regions implanted at 21 and 250 0 C were essentially identical while the trap density found in the region implanted at 500 0 C was approximately 40% of that found in the other regions. Math model comparison with the experimental results suggests the existence of at least two types of traps in each region. Trap binding enthalpies used in the math model to fit the experimental data were slightly higher for the region implanted with argon at 500 0 C than for the regions implanted at the lower temperatures. TEM studies revealed the presence of small voids in the region implanted at 500 0 as well as dislocation loops similar to those found in the regions implanted at the lower temperatures. 20 references, 2 figures

  8. Peri-implant and Paracrestal Inflammatory Biomarkers at Failing Versus Surviving Implant Sites in a Beagle Dog Study.

    Science.gov (United States)

    Montero, Javier; Aragón, Fernando; Blanco, Leticia A; Guadilla, Yasmina; García-Cenador, Begona; López-Valverde, Antonio

    This study sought to quantify three biochemical mediators of inflammation (tumor necrosis factor alpha [TNF-α], superoxide anion [SOA], and myeloperoxidase [MPO]) by analyzing crestal (peri-implants) and paracrestal gingival biopsy samples obtained from an experimental study on beagle dogs treated with implants inserted immediately into fresh sockets with circumferential defects. In 10 beagle dogs, 4 roughened titanium implants (3.8 mm wide × 8 mm high) were placed in the distal sockets of the third and fourth premolars, where a circumferential defect (5 mm wide and 5 mm deep) had been previously created by trephination. After varying follow-up periods, ranging from 80 to 190 days, the dogs were explored clinically to assess implant survival, peri-implant pocket depth, and implant stability. The levels of three biochemical mediators of inflammation (MPO, TNF-α, and SOA) were investigated using the crestal and paracrestal gingival biopsy samples with ELISA tests. It was found that 37.5% of the implants were either absent or mobile. Higher levels of the inflammatory mediators were found in the crestal samples than in the paracrestal samples. The final implant stability values were significantly correlated with the final probing depth (r = -0.83, P < .01), but neither of the clinical measures were significantly correlated with any biochemical marker. The risk of implant failure was significantly proportional to the level of MPO (odds ratio: 1.1) and TNF-α (odds ratio: 1.1) in both the crestal and paracrestal regions. All the inflammatory mediators studied were higher in the crestal areas than in the paracrestal regions, but only the values of MPO and TNF-α were significant predictors of implant failure.

  9. Electrically active point defects in Mg implanted n-type GaN grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Alfieri, G.; Sundaramoorthy, V. K.; Micheletto, R.

    2018-05-01

    Magnesium (Mg) is the p-type doping of choice for GaN, and selective area doping by ion implantation is a routine technique employed during device processing. While electrically active defects have been thoroughly studied in as-grown GaN, not much is known about defects generated by ion implantation. This is especially true for the case of Mg. In this study, we carried out an electrical characterization investigation of point defects generated by Mg implantation in GaN. We have found at least nine electrically active levels in the 0.2-1.2 eV energy range, below the conduction band. The isochronal annealing behavior of these levels showed that most of them are thermally stable up to 1000 °C. The nature of the detected defects is then discussed in the light of the results found in the literature.

  10. Probing the Electrode–Neuron Interface With Focused Cochlear Implant Stimulation

    Science.gov (United States)

    Bierer, Julie Arenberg

    2010-01-01

    Cochlear implants are highly successful neural prostheses for persons with severe or profound hearing loss who gain little benefit from hearing aid amplification. Although implants are capable of providing important spectral and temporal cues for speech perception, performance on speech tests is variable across listeners. Psychophysical measures obtained from individual implant subjects can also be highly variable across implant channels. This review discusses evidence that such variability reflects deviations in the electrode–neuron interface, which refers to an implant channel's ability to effectively stimulate the auditory nerve. It is proposed that focused electrical stimulation is ideally suited to assess channel-to-channel irregularities in the electrode–neuron interface. In implant listeners, it is demonstrated that channels with relatively high thresholds, as measured with the tripolar configuration, exhibit broader psychophysical tuning curves and smaller dynamic ranges than channels with relatively low thresholds. Broader tuning implies that frequency-specific information intended for one population of neurons in the cochlea may activate more distant neurons, and a compressed dynamic range could make it more difficult to resolve intensity-based information, particularly in the presence of competing noise. Degradation of both types of cues would negatively affect speech perception. PMID:20724356

  11. Lattice damage in ion-implanted silicon-germanium alloys

    International Nuclear Information System (INIS)

    Haynes, T.E.; Holland, O.W.

    1992-08-01

    The damage produced in Si 1-x Ge x alloys (0≤x≤1) by implantation of 70--100 keV 30 Si + has been measured as a function of temperature and fluence by ion channeling. For all compositions, the damage efficiency decreased sharply as the implant temperature was increased between room temperature and 150 degrees C. Furthermore, the damage efficiency in alloys of intermediate compositions (0.34≤x≤0.5) exceeds that in Ge, especially at elevated temperatures, despite the larger cascade energy density in Ge. It is shown that this behavior can be described based on a model in which the point-defect mobility is the dominant factor controlling damage retention, rather than the cascade energy density. This approach provides a framework for understanding other temperature-dependent phenomena related to damage growth in Si-Ge alloys including dose-rate effects and damage saturation in MeV implantation

  12. Peri-Implant Strain in an In Vitro Model.

    Science.gov (United States)

    Hussaini, Souheil; Vaidyanathan, Tritala K; Wadkar, Abhinav P; Quran, Firas A Al; Ehrenberg, David; Weiner, Saul

    2015-10-01

    An in vitro experimental model was designed and tested to determine the influence that peri-implant strain may have on the overall crestal bone. Strain gages were attached to polymethylmethacrylate (PMMA) models containing a screw-type root form implant at sites 1 mm from the resin-implant interface. Three different types of crown superstructures (cemented, 1-screw [UCLA] and 2-screw abutment types) were tested. Loading (1 Hz, 200 N load) was performed using a MTS Mechanical Test System. The strain gage data were stored and organized in a computer for statistical treatment. Strains for all abutment types did not exceed the physiological range for modeling and remodeling of cancellous bone, 200-2500 με (microstrain). For approximately one-quarter of the trials, the strain values were less than 200 με the zone for bone atrophy. The mean microstrain obtained was 517.7 με. In conclusion, the peri-implant strain in this in vitro model did not exceed the physiologic range of bone remodeling under axial occlusal loading.

  13. Implant-buccal plate distance as diagnostic parameter: a prospective cohort study on implant placement in fresh extraction sockets.

    Science.gov (United States)

    Capelli, Matteo; Testori, Tiziano; Galli, Fabio; Zuffetti, Francesco; Motroni, Alessandro; Weinstein, Roberto; Del Fabbro, Massimo

    2013-12-01

    The aim of this study is to investigate contour changes around immediate implants in fresh extraction sockets when different grafting procedures are performed, based on the distance between the external implant collar and the bony surface on the buccal plate (I-BP). A secondary aim is to assess the esthetic outcome via the implant esthetic score (IAS). This prospective cohort study was performed in three centers. Suitable patients to undergo implant placement in fresh extraction sockets were selected. Periodontal biotype, horizontal and vertical peri-implant bone defects, and dehiscences were assessed. Depending on I-BP, two types of grafting procedures were performed. In group A (I-BP sockets. No implant failed during the observation period. The mean follow-up was 25 months (range: 12 to 37 months). After 1 year of loading, group A showed a slight decrease in mean buccal volume, whereas group B had an increase in volume (P = 0.02). IAS was higher for group B than group A. When implants are placed immediately after tooth extraction, I-BP may represent a useful diagnostic parameter in choosing the most appropriate grafting procedure (IG versus IEG). In clinical cases in which the distance between implant surface and the buccal plate is <4 mm, the combination of internal and external grafting (IEG) is recommended to maintain the volume and the contour of the ridge and achieve a successful esthetic outcome.

  14. Sugar for the fuel cell. Micro fuel cells for implants; Gib der Brennstoffzelle Zucker. Mikro-Brennstoffzellen fuer Implantate

    Energy Technology Data Exchange (ETDEWEB)

    Geitmann, Sven

    2012-04-15

    Scientists are working on micro fuel cells that will be capable of generating electric power from blood glucose. This may be an option for providing energy to cardiac pacemakers, hearing aids and other medical implants on a long-term basis. Surgery for battery exchange would be unnecessary, and patients would enjoy a better quality of life. In Germany, more than 70,000 cardiac pacemakers are implanted every year; batteries must be exchanged after about eight years. (orig.)

  15. Implantable Medical Devices; Networking Security Survey

    OpenAIRE

    Siamak Aram; Rouzbeh A. Shirvani; Eros G. Pasero; Mohamd F. Chouikha

    2016-01-01

    The industry of implantable medical devices (IMDs) is constantly evolving, which is dictated by the pressing need to comprehensively address new challenges in the healthcare field. Accordingly, IMDs are becoming more and more sophisticated. Not long ago, the range of IMDs’ technical capacities was expanded, making it possible to establish Internet connection in case of necessity and/or emergency situation for the patient. At the same time, while the web connectivity of today’s implantable dev...

  16. Location of unaccessible implant surface areas during debridement in simulated peri-implantitis therapy.

    Science.gov (United States)

    Steiger-Ronay, Valerie; Merlini, Andrea; Wiedemeier, Daniel B; Schmidlin, Patrick R; Attin, Thomas; Sahrmann, Philipp

    2017-11-28

    An in vitro model for peri-implantitis treatment was used to identify areas that are clinically difficult to clean by analyzing the pattern of residual stain after debridement with commonly employed instruments. Original data from two previous publications, which simulated surgical (SA) and non-surgical (NSA) implant debridement on two different implant systems respectively, were reanalyzed regarding the localization pattern of residual stains after instrumentation. Two blinded examiners evaluated standardized photographs of 360 initially ink-stained dental implants, which were cleaned at variable defect angulations (30, 60, or 90°), using different instrument types (Gracey curette, ultrasonic scaler or air powder abrasive device) and treatment approaches (SA or NSA). Predefined implant surface areas were graded for residual stain using scores ranging from one (stain-covered) to six (clean). Score differences between respective implant areas were tested for significance by pairwise comparisons using Wilcoxon-rank-sum-tests with a significance level α = 5%. Best scores were found at the machined surface areas (SA: 5.58 ± 0.43, NSA: 4.76 ± 1.09), followed by the tips of the threads (SA: 4.29 ± 0.44, NSA: 4.43 ± 0.61), and areas between threads (SA: 3.79 ± 0.89, NSA: 2.42 ± 1.11). Apically facing threads were most difficult to clean (SA: 1.70 ± 0.92, NSA: 2.42 ± 1.11). Here, air powder abrasives provided the best results. Machined surfaces at the implant shoulder were well accessible and showed least amounts of residual stain. Apically facing thread surfaces constituted the area with most residual stain regardless of treatment approach.

  17. Ta-ion implantation induced by a high-intensity laser for plasma diagnostics and target preparation

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Malinsky, P.; Mackova, A. [Nuclear Physics Institute, ASCR, 250 68 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Matousek, J. [Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Torrisi, L. [Department of Physics and Earth Science, Messina University, V.le F.S. d’Alcontres 31, 981 66 S. Agata, Messina (Italy); Slepicka, P. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Ullschmied, J. [Institute of Physics, ASCR, v.v.i., 182 21 Prague 8 (Czech Republic)

    2015-12-15

    The present work is focused on the implantation of Ta ions into silicon substrates covered by a silicon dioxide layer 50–300 nm thick. The implantation is achieved using sub-nanosecond pulsed laser ablation (10{sup 15} W/cm{sup 2}) with the objective of accelerating non-equilibrium plasma ions. The accelerated Ta ions are implanted into the exposed silicon substrates at energies of approximately 20 keV per charge state. By changing a few variables in the laser pulse, it is possible to control the kinetic energy, the yield and the angular distribution of the emitted ions. Rutherford Back-Scattering analysis was performed using 2.0 MeV He{sup +} as the probe ions to determine the elemental depth profiles and the chemical composition of the laser-implanted substrates. The depth distributions of the implanted Ta ions were compared to SRIM 2012 simulations. The evaluated results of energy distribution were compared with online techniques, such as Ion Collectors (IC) and an Ion Energy Analyser (IEA), for a detailed identification of the produced ion species and their energy-to-charge ratios (M/z). Moreover, XPS (X-ray Photon Spectroscopy) and AFM (Atomic Force Microscopy) analyses were carried out to obtain information on the surface morphology and the chemical composition of the modified implanted layers, as these features are important for further application of such structures.

  18. Suppression of nanoindentation-induced phase transformation in crystalline silicon implanted with hydrogen

    Science.gov (United States)

    Jelenković, Emil V.; To, Suet

    2017-09-01

    In this paper the effect of hydrogen implantation in silicon on nanoindentation-induced phase transformation is investigated. Hydrogen ions were implanted in silicon through 300 nm thick oxide with double energy implantation (75 and 40 keV). For both energies implantation dose was 4 × 1016 cm-2. Some samples were thermally annealed at 400 °C. The micro-Raman spectroscopy was applied on nanoindentation imprints and the obtained results were related to the pop out/elbow appearances in nanoindentatioin unloading-displacement curves. The Raman spectroscopy revealed a suppression of Si-XII and Si-III phases and formation of a-Si in the indents of hydrogen implanted Si. The high-resolution x-ray diffraction measurements were taken to support the analysis of silicon phase formation during nanoindentation. Implantation induced strain, high hydrogen concentration, and platelets generation were found to be the factors that control suppression of c-Si phases Si-XII and Si-III, as well as a-Si phase enhancement during nanoindentation. [Figure not available: see fulltext.

  19. Correlation between MRI results and intraoperative findings in patients with silicone breast implants.

    Science.gov (United States)

    Lindenblatt, Nicole; El-Rabadi, Karem; Helbich, Thomas H; Czembirek, Heinrich; Deutinger, Maria; Benditte-Klepetko, Heike

    2014-01-01

    Silicone gel breast implants may silently rupture without detection. This has been the main reason for magnetic resonance imaging (MRI) of the augmented or reconstructed breast. The aim of the present study was to investigate the accuracy of MRI for implant rupture. Fifty consecutive patients with 85 silicone gel implants were included in the study. The mean age of the patients was 51 (range 21-72) years, with a mean duration of implantation of 3.8 (range 1-28) years. All patients underwent clinical examination and breast MRI. Intraoperative implant rupture was diagnosed by the operating surgeon. Nineteen of the 50 patients suffered from clinical symptoms. An implant rupture was diagnosed by MRI in 22 of 85 implants (26%). In seven of 17 removed implants (41%), the intraoperative diagnosis corresponded with the positive MRI result. However, only 57% of these patients were symptomatic. Ultrasound imaging of the harvested implants showed signs of interrupted inner layers of the implant despite integrity of the outer shell. By microsurgical separation of the different layers of the implant shell, we were able to reproduce this phenomenon and to produce signs of implant rupture on MRI. Our results show that rupture of only the inner layers of the implant shell with integrity of the outer shell leads to a misdiagnosis on MRI. Correlation with clinical symptoms and the specific wishes of the patient should guide the indication for implant removal.

  20. Tribological effects of oxygen ion implantation into stainless steel

    International Nuclear Information System (INIS)

    Evans, P.J.; Vilaithong, T.; Yu, L.D.; Monteiro, O.R.; Yu, K.M.; Brown, I.G.

    2000-01-01

    The formation of sub-surface oxide layers by hybrid metal-gas co-implantation into steel and other metals can improve their tribological properties. In this report, we compare the wear and friction performance of previously studied Al + O hybrid implants with that produced by single species oxygen ion (O + ) implantation under similar conditions. The substrates were AISI 304L stainless steel discs polished to a final mirror finish using 1 μm diamond paste, and the ion implantation was done using a conventional swept-beam technique at ion energies of 70 or 140 keV and doses of up to 1x10 17 cm -2 . The wear and friction behaviour of the implanted and unimplanted material was measured with a pin-on-disc tribometer. Here we describe the experimental procedure and results, and discuss the improvement relative to that achieved with surface layers modified by metal-gas co-implantation