WorldWideScience

Sample records for elements si ge

  1. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  2. Ge/graded-SiGe multiplication layers for low-voltage and low-noise Ge avalanche photodiodes on Si

    Science.gov (United States)

    Miyasaka, Yuji; Hiraki, Tatsurou; Okazaki, Kota; Takeda, Kotaro; Tsuchizawa, Tai; Yamada, Koji; Wada, Kazumi; Ishikawa, Yasuhiko

    2016-04-01

    A new structure is examined for low-voltage and low-noise Ge-based avalanche photodiodes (APDs) on Si, where a Ge/graded-SiGe heterostructure is used as the multiplication layer of a separate-absorption-carrier-multiplication structure. The Ge/SiGe heterojunction multiplication layer is theoretically shown to be useful for preferentially enhancing impact ionization for photogenerated holes injected from the Ge optical-absorption layer via the graded SiGe, reflecting the valence band discontinuity at the Ge/SiGe interface. This property is effective not only for the reduction of operation voltage/electric field strength in Ge-based APDs but also for the reduction of excess noise resulting from the ratio of the ionization coefficients between electrons and holes being far from unity. Such Ge/graded-SiGe heterostructures are successfully fabricated by ultrahigh-vacuum chemical vapor deposition. Preliminary pin diodes having a Ge/graded-SiGe multiplication layer act reasonably as photodetectors, showing a multiplication gain larger than those for diodes without the Ge/SiGe heterojunction.

  3. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    Science.gov (United States)

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  4. A TEM study of strained SiGe/Si and related heteroepitaxial structures

    International Nuclear Information System (INIS)

    Benedetti, Alessandro

    2002-01-01

    The role of SiGe/Si heterostructures and related materials has become increasingly important within the last few decades. In order to increase the scale of integration, however, devices with active elements not larger than few tens of nanometer have been recently introduced. There is, therefore, a strong need for an analytical technique capable of giving information about submicron-sized components. An investigation on a nanometre scale can be performed by the combination of a fully equipped Transmission Electron Microscope (TEM) with a Field Emission Gun (PEG) electron source, which enables one to use a wide range of analytical techniques with an electron probe as small as 0.5 nm. In this work, two different types of SiGe/Si-based devices were investigated. Strained-Si n-channel MOSFETs. The use of Strained-Si n-channel grown on SiGe should improve both carrier mobility and transconductance with respect to conventional MOSFETs. Materials analysed in this work showed an extremely high transconductance but a rather low mobility. In order to relate their microstructural properties to their electrical performance, as well as to improve the device design, a full quantitative and qualitative structural characterisation was performed. SiGe Multiple Quantum Wells (MQW) IR detectors Light detection is achieved by collecting the photogenerated carriers, injected from the SiGe QWs layers into the Si substrate. A key factor is the Ge profile across a single QW, since it governs the band structure and therefore the device performances. Four different TEM techniques were used to determine the Ge distribution across a single well, showing an overall good agreement among the results. The Ge profiles broadening, consistent with data available in literature, was successfully explained and theoretically predicted by the combined effect of Ge segregation and gas dwell times within the reactor. (author)

  5. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  6. Single-fabrication-step Ge nanosphere/SiO2/SiGe heterostructures: a key enabler for realizing Ge MOS devices

    Science.gov (United States)

    Liao, P. H.; Peng, K. P.; Lin, H. C.; George, T.; Li, P. W.

    2018-05-01

    We report channel and strain engineering of self-organized, gate-stacking heterostructures comprising Ge-nanosphere gate/SiO2/SiGe-channels. An exquisitely-controlled dynamic balance between the concentrations of oxygen, Si, and Ge interstitials was effectively exploited to simultaneously create these heterostructures in a single oxidation step. Process-controlled tunability of the channel length (5–95 nm diameters for the Ge-nanospheres), gate oxide thickness (2.5–4.8 nm), as well as crystal orientation, chemical composition and strain engineering of the SiGe-channel was achieved. Single-crystalline (100) Si1‑x Ge x shells with Ge content as high as x = 0.85 and with a compressive strain of 3%, as well as (110) Si1‑x Ge x shells with Ge content of x = 0.35 and corresponding compressive strain of 1.5% were achieved. For each crystal orientation, our high Ge-content, highly-stressed SiGe shells feature a high degree of crystallinity and thus, provide a core ‘building block’ required for the fabrication of Ge-based MOS devices.

  7. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  8. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    Science.gov (United States)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  9. Precipitation and strengthening phenomena in Al-Si-Ge and Al-Cu-Si-Ge alloys

    International Nuclear Information System (INIS)

    Mitlin, D.; Morris, J.W.; Dahmen, U.; Radmilovic, V.

    2000-01-01

    The objective of this work was to determine whether Al rich Al-Si-Ge and 2000 type Al-Cu-Si-Ge alloys have sufficient hardness to be useful for structural applications. It is shown that in Al-Si-Ge it is not possible to achieve satisfactory hardness through a conventional heat treatment. This result is explained in terms of sluggish precipitation of the diamond-cubic Si-Ge phase coupled with particle coarsening. However, Al-Cu-Si-Ge displayed a uniquely fast aging response, a high peak hardness and a good stability during prolonged aging. The high hardness of the Cu containing alloy is due to the dense and uniform distribution of fine θ' precipitates (metastable Al 2 Cu) which are heterogeneously nucleated on the Si-Ge particles. High resolution TEM demonstrated that in both alloys all the Si-Ge precipitates start out, and remain multiply twinned throughout the aging treatment. Since the twinned section of the precipitate does not maintain a low index interface with the matrix, the Si-Ge precipitates are equiaxed in morphology. Copyright (2000) AD-TECH - International Foundation for the Advancement of Technology Ltd

  10. Si, Ge and SiGe wires for sensor application

    International Nuclear Information System (INIS)

    Druzhinin, A.A.; Khoverko, Yu.M.; Ostrovskii, I.P.; Nichkalo, S.I.; Nikolaeva, A.A.; Konopko, L.A.; Stich, I.

    2011-01-01

    Resistance and magnetoresistance of Si, Ge and Si-Ge micro- and nanowires were studied in temperature range 4,2-300 K at magnetic fields up to 14 T. The wires diameters range from 200 nm to 20 μm. Ga-In gates were created to wires and ohmic I-U characteristics were observed in all temperature range. It was found high elastic strain for Ge nanowires (of about 0,7%) as well as high magnitude of magnetoresistance (of about 250% at 14 T), which was used to design multifunctional sensor of simultaneous measurements of strain and magnetic field intensity. (authors)

  11. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    Science.gov (United States)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  12. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    For more than two decades, advances in MOSFETs used in CMOS VLSI applications have been made through scaling to ever smaller dimensions for higher packing density, faster circuit speed and lower power dissipation. As scaling now approaches nanometer regime, the challenge for further scaling becomes greater in terms of technology as well as device reliability. This work presents an alternative approach whereby non-selectively grown Si/SiGe heterostructure system is used to improve device performance or to relax the technological challenge. SiGe is considered to be of great potential because of its promising properties and its compatibility with Si, the present mainstream material in microelectronics. The advantages of introducing strained SiGe in CMOS technology are examined through two types of device structure. A novel structure has been fabricated in which strained SiGe is incorporated in the source/drain of P-MOSFETs. Several advantages of the Si/SiGe source/drain P-MOSFETs over Si devices are experimentally, demonstrated for the first time. These include reduction in off-state leakage and punchthrough susceptibility, degradation of parasitic bipolar transistor (PBT) action, suppression of CMOS latchup and suppression of PBT-induced breakdown. The improvements due to the Si/SiGe heterojunction are supported by numerical simulations. The second device structure makes use of Si/SiGe heterostructure as a buried channel to enhance the hole mobility of P-MOSFETs. The increase in the hole mobility will benefit the circuit speed and device packing density. Novel fabrication processes have been developed to integrate non-selective Si/SiGe MBE layers into self-aligned PMOS and CMOS processes based on Si substrate. Low temperature processes have been employed including the use of low-pressure chemical vapor deposition oxide and plasma anodic oxide. Low field mobilities, μ 0 are extracted from the transfer characteristics, Id-Vg of SiGe channel P-MOSFETs with various Ge

  13. Ge/SiGe superlattices for nanostructured thermoelectric modules

    International Nuclear Information System (INIS)

    Chrastina, D.; Cecchi, S.; Hague, J.P.; Frigerio, J.; Samarelli, A.; Ferre–Llin, L.; Paul, D.J.; Müller, E.; Etzelstorfer, T.; Stangl, J.; Isella, G.

    2013-01-01

    Thermoelectrics are presently used in a number of applications for both turning heat into electricity and also for using electricity to produce cooling. Mature Si/SiGe and Ge/SiGe heteroepitaxial growth technology would allow highly efficient thermoelectric materials to be engineered, which would be compatible and integrable with complementary metal oxide silicon micropower circuits used in autonomous systems. A high thermoelectric figure of merit requires that electrical conductivity be maintained while thermal conductivity is reduced; thermoelectric figures of merit can be improved with respect to bulk thermoelectric materials by fabricating low-dimensional structures which enhance the density of states near the Fermi level and through phonon scattering at heterointerfaces. We have grown and characterized Ge-rich Ge/SiGe/Si superlattices for nanofabricated thermoelectric generators. Low-energy plasma-enhanced chemical vapor deposition has been used to obtain nanoscale-heterostructured material which is several microns thick. Crystal quality and strain control have been investigated by means of high resolution X-ray diffraction. High-resolution transmission electron microscopy images confirm the material and interface quality. Electrical conductivity has been characterized by the mobility spectrum technique. - Highlights: ► High-quality Ge/SiGe multiple quantum wells for thermoelectric applications ► Mobility spectra of systems featuring a large number of parallel conduction channels ► Competitive thermoelectric properties measured in single devices

  14. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  15. Reduction in the formation temperature of Poly-SiGe alloy thin film in Si/Ge system

    Science.gov (United States)

    Tah, Twisha; Singh, Ch. Kishan; Madapu, K. K.; Sarguna, R. M.; Magudapathy, P.; Ilango, S.

    2018-04-01

    The role of deposition temperature in the formation of poly-SiGe alloy thin film in Si/Ge system is reported. For the set ofsamples deposited without any intentional heating, initiation of alloying starts upon post annealingat ˜ 500 °C leading to the formation of a-SiGe. Subsequently, poly-SiGe alloy phase could formonly at temperature ≥ 800 °C. Whereas, for the set of samples deposited at 500 °C, in-situ formation of poly-SiGe alloy thin film could be observed. The energetics of the incoming evaporated atoms and theirsubsequent diffusionsin the presence of the supplied thermal energy is discussed to understand possible reasons for lowering of formation temperature/energyof the poly-SiGe phase.

  16. Bi surfactant mediated growth for fabrication of Si/Ge nanostructures and investigation of Si/Ge intermixing by STM

    Energy Technology Data Exchange (ETDEWEB)

    Paul, N.

    2007-10-26

    In the thesis work presented here, we show that Bi is more promising surfactant material than Sb. We demonstrate that by using Bi as a terminating layer on Ge/Si surface, it is possible to distinguish between Si and Ge in Scanning tunnelling microscope (STM). Any attempt to utilize surfactant mediated growth must be preceded by a thorough study of its effect on the the system being investigated. Thus, the third chapter of this thesis deals with an extensive study of the Bi surfactant mediated growth of Ge on Si(111) surface as a function of Ge coverage. The growth is investigated from the single bilayer Ge coverage till the Ge coverage of about 15 BL when the further Ge deposition leads to two-dimensional growth. In the fourth chapter, the unique property of Bi terminating layer on Ge/Si surface to result in an STM height contrast between Si and Ge is explained with possible explanations given for the reason of this apparent height contrast. The controlled fabrication of Ge/Si nanostructures such as nanowires and nanorings is demonstrated. A study on Ge-Si diffusion in the surface layers by a direct method such as STM was impossible previously because of the similar electronic structure of Ge and Si. Since with the Bi terminating surface layer, one is able to distinguish between Ge and Si, the study of intermixing between them is also possible using STM. This method to distinguish between Si and Ge allows one to study intermixing on the nanoscale and to identify the fundamental diffusion processes giving rise to the intermixing. In Chapter 5 we discuss how this could prove useful especially as one could get a local probe over a very narrow Ge-Si interface. A new model is proposed to estimate change in the Ge concentration in the surface layer with time. The values of the activation energies of Ge/Si exchange and Si/Ge exchange are estimated by fitting the experimental data with the model. The Ge/Si intermixing has been studied on a surface having 1 ML Bi ({radical

  17. One-step Ge/Si epitaxial growth.

    Science.gov (United States)

    Wu, Hung-Chi; Lin, Bi-Hsuan; Chen, Huang-Chin; Chen, Po-Chin; Sheu, Hwo-Shuenn; Lin, I-Nan; Chiu, Hsin-Tien; Lee, Chi-Young

    2011-07-01

    Fabricating a low-cost virtual germanium (Ge) template by epitaxial growth of Ge films on silicon wafer with a Ge(x)Si(1-x) (0 deposition method in one step by decomposing a hazardousless GeO(2) powder under hydrogen atmosphere without ultra-high vacuum condition and then depositing in a low-temperature region. X-ray diffraction analysis shows that the Ge film with an epitaxial relationship is along the in-plane direction of Si. The successful growth of epitaxial Ge films on Si substrate demonstrates the feasibility of integrating various functional devices on the Ge/Si substrates.

  18. Optical transitions in Ge/SiGe multiple quantum wells with Ge-rich barriers

    Science.gov (United States)

    Bonfanti, M.; Grilli, E.; Guzzi, M.; Virgilio, M.; Grosso, G.; Chrastina, D.; Isella, G.; von Känel, H.; Neels, A.

    2008-07-01

    Direct-gap and indirect-gap transitions in strain-compensated Ge/SiGe multiple quantum wells with Ge-rich SiGe barriers have been studied by optical transmission spectroscopy and photoluminescence experiments. An sp3d5s∗ tight-binding model has been adopted to interpret the experimental results. Photoluminescence spectra and their comparison with theoretical calculations prove the existence of type-I band alignment in compressively strained Ge quantum wells grown on relaxed Ge-rich SiGe buffers. The high quality of the transmission spectra opens up other perspectives for application of these structures in near-infrared optical modulators.

  19. Fabrication of multilayered Ge nanocrystals embedded in SiOxGeNy films

    International Nuclear Information System (INIS)

    Gao Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-01-01

    Multilayered Ge nanocrystals embedded in SiO x GeN y films have been fabricated on Si substrate by a (Ge + SiO 2 )/SiO x GeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 deg. C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1 , which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2 ) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction

  20. Strained Si/SiGe MOS transistor model

    Directory of Open Access Journals (Sweden)

    Tatjana Pešić-Brđanin

    2009-06-01

    Full Text Available In this paper we describe a new model of surfacechannel strained-Si/SiGe MOSFET based on the extension of non-quasi-static (NQS circuit model previously derived for bulk-Si devices. Basic equations of the NQS model have been modified to account for the new physical parameters of strained-Si and relaxed-SiGe layers. From the comparisons with measurements, it is shown that a modified NQS MOS including steady-state self heating can accurately predict DC characteristics of Strained Silicon MOSFETs.

  1. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  2. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  3. On the compliant behaviour of free-standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, Grzegorz

    2012-04-24

    Selective chemical vapor deposition Ge heteroepitaxy approaches for high quality Ge nanostructure growth with reasonable thermal budget must be developed for local Ge photonic module integration. A promising vision is offered by the compliant substrate effects within nanometer scale Ge/Si heteroepitaxial structures. Here, in contrast to the classical Ge deposition on bulk Si substrates, the thermal and lattice mismatch strain energy accumulated in the Ge epilayer is partially shifted to the free-standing Si nanostructure. This strain partitioning phenomenon is at the very heart of the nanoheteroepitaxy theory (NHE) and, if strain energy levels are correctly balanced, offers the vision to grow defect-free nanostructures of lattice mismatched semiconductors on Si. In case of the Ge/Si heterosystem with a lattice mismatch of 4.2%, the strain partitioning phenomenon is expected to be triggered when free-standing Si nanopillars with the width of 50 nm and below are used. In order to experimentally verify NHE with its compliant substrate effects, a set of free-standing Ge/Si nanostructures with diameter ranging from 150 to 50 nm were fabricated and investigated. The main limitation corresponds to a simultaneous detection of (a) the strain partitioning phenomenon between Ge and Si and (b) the absence of defects on the nano-scale. In this respect, synchrotron-based grazing incidence X-ray diffraction was applied to study the epitaxial relationship, defect and strain characteristics with high resolution and sensitivity in a non-destructive way. Raman spectroscopy supported by finite element method calculations were used to investigate the strain distribution within a single Ge/Si nanostructure. Special focus was devoted to transmission electron microscopy to determine the quality of the Ge epilayer. It was found, that although high quality Ge nanoclusters can be achieved by thermal annealing on Si pillars bigger than 50 nm in width, no proof of strain partitioning

  4. Strain distribution analysis in Si/SiGe line structures for CMOS technology using Raman spectroscopy

    International Nuclear Information System (INIS)

    Hecker, M; Roelke, M; Hermann, P; Zschech, E; Vartanian, V

    2010-01-01

    Strained silicon underneath the field-effect transistor gate increases significantly the charge carrier mobility and thus improves the performance of leading-edge Complementary Metal Oxide Semiconductor (CMOS) devices. For better understanding of the structure-strain relationship on the nanoscale and for optimization of device structures, the measurement of the local strain state has become essential. Raman spectroscopy is used in the present investigation to analyze the strain distribution in and close to silicon/embedded silicon-germanium (SiGe) line structures in conjunction with strain modeling applying finite element analysis. Both experimental results and modeling indicate the impact of geometry on the stress state. An increase of compressive stress within the Si lines is obtained for increasing SiGe line widths and decreasing Si line widths. The stress state within the Si lines is shown to be a mixed one deviating from a pure uniaxial state. Underneath the SiGe cavities, the presence of a tensile stress was observed. To investigate a procedure to scale down the spatial resolution of the Raman measurements, tip-enhanced Raman scattering experiments have been performed on free-standing SiGe lines with 100nm line width and line distance. The results show superior resolution and strain information not attainable in conventional Raman scans.

  5. Structural and electrical evaluation for strained Si/SiGe on insulator

    International Nuclear Information System (INIS)

    Wang Dong; Ii, Seiichiro; Ikeda, Ken-ichi; Nakashima, Hideharu; Ninomiya, Masaharu; Nakamae, Masahiko; Nakashima, Hiroshi

    2006-01-01

    Three strained Si/SiGe on insulator wafers having different Ge fractions were evaluated using dual-metal-oxide-semiconductor (dual-MOS) deep level transient spectroscopy (DLTS) and transmission electron microscopy (TEM) methods. The interface of SiGe/buried oxide (BOX) shows roughness less than 1 nm by high resolution TEM observation. The interface states densities (D it ) of SiGe/BOX are approximately 1 x 10 12 cm -2 eV -1 , which is approximately one order of magnitude higher than that of Si/BOX in a Si on insulator wafer measured as reference by the same method of dual-MOS DLTS. The high D it of SiGe/BOX is not due to interface roughness but due to Ge atoms. The threading dislocations were also clearly observed by TEM and were analyzed

  6. Improved thermal stability and hole mobilities in a strained-Si/strained-Si1-yGe y/strained-Si heterostructure grown on a relaxed Si1-xGe x buffer

    International Nuclear Information System (INIS)

    Gupta, Saurabh; Lee, Minjoo L.; Isaacson, David M.; Fitzgerald, Eugene A.

    2005-01-01

    A dual channel heterostructure consisting of strained-Si/strained-Si 1-y Ge y on relaxed Si 1-x Ge x (y > x), provides a platform for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs) with high hole mobilities (μ eff ) which depend directly on Ge concentration and strain in the strained-Si 1-y Ge y layer. Ge out-diffuses from the strained-Si 1-y Ge y layer into relaxed Si 1-x Ge x during high temperature processing, reducing peak Ge concentration and strain in the strained-Si 1-y Ge y layer and degrades hole μ eff in these dual channel heterostructures. A heterostructure consisting of strained-Si/strained-Si 1-y Ge y /strained-Si, referred to as a trilayer heterostructure, grown on relaxed Si 1-x Ge x has much reduced Ge out-flux from the strained-Si 1-y Ge y layer and retains higher μ eff after thermal processing. Improved hole μ eff over similar dual channel heterostructures is also observed in this heterostructure. This could be a result of preventing the hole wavefunction tunneling into the low μ eff relaxed Si 1-x Ge x layer due to the additional valence band offset provided by the underlying strained-Si layer. A diffusion coefficient has been formulated and implemented in a finite difference scheme for predicting the thermal budget of the strained SiGe heterostructures. It shows that the trilayer heterostructures have superior thermal budgets at higher Ge concentrations. Ring-shaped MOSFETs were fabricated on both platforms and subjected to various processing temperatures in order to compare the extent of μ eff reduction with thermal budget. Hole μ eff enhancements are retained to a much higher extent in a trilayer heterostructure after high temperature processing as compared to a dual channel heterostructure. The improved thermal stability and hole μ eff of a trilayer heterostructure makes it an ideal platform for fabricating high μ eff MOSFETs that can be processed over higher temperatures without significant losses in hole

  7. Stable and low contact resistance electrical contacts for high temperature SiGe thermoelectric generators

    KAUST Repository

    Zhang, Bo

    2018-04-14

    The thermal stability and contact resistance of TaAlN thin films as electrical contacts to SiGe thermoelectric elements are reported. We demonstrate that a sharp interface is maintained after the device annealed at 800°C for over 100h, indicating that no interdiffusion takes place between TaAlN and SiGe. A specific contact resistivity of (2.1±1.3)×10−6Ω-cm2 for p-type SiGe and (2.8±1.6)×10−5 Ω-cm2 for n-type SiGe is demonstrated after the high temperature annealing. These results show that TaAlN is a promising contact material for high temperature thermoelectrics such as SiGe.

  8. Study of Si-Ge interdiffusion with phosphorus doping

    KAUST Repository

    Cai, Feiyang; Anjum, Dalaver H.; Zhang, Xixiang; Xia, Guangrui

    2016-01-01

    Si-Ge interdiffusion with phosphorus doping was investigated by both experiments and modeling. Ge/Si1-x Ge x/Ge multi-layer structures with 0.75Ge<1, a mid-1018 to low-1019 cm−3 P doping, and a dislocation density of 108 to 109 cm−2 range were studied. The P-doped sample shows an accelerated Si-Ge interdiffusivity, which is 2–8 times of that of the undoped sample. The doping dependence of the Si-Ge interdiffusion was modelled by a Fermi-enhancement factor. The results show that the Si-Ge interdiffusion coefficient is proportional to n2/n2i for the conditions studied, which indicates that the interdiffusion in a high Ge fraction range with n-type doping is dominated by V2− defects. The Fermi-enhancement factor was shown to have a relatively weak dependence on the temperature and the Ge fraction. The results are relevant to the structure and thermal processing condition design of n-type doped Ge/Si and Ge/SiGe based devices such as Ge/Si lasers.

  9. Study of Si-Ge interdiffusion with phosphorus doping

    KAUST Repository

    Cai, Feiyang

    2016-10-28

    Si-Ge interdiffusion with phosphorus doping was investigated by both experiments and modeling. Ge/Si1-x Ge x/Ge multi-layer structures with 0.75Ge<1, a mid-1018 to low-1019 cm−3 P doping, and a dislocation density of 108 to 109 cm−2 range were studied. The P-doped sample shows an accelerated Si-Ge interdiffusivity, which is 2–8 times of that of the undoped sample. The doping dependence of the Si-Ge interdiffusion was modelled by a Fermi-enhancement factor. The results show that the Si-Ge interdiffusion coefficient is proportional to n2/n2i for the conditions studied, which indicates that the interdiffusion in a high Ge fraction range with n-type doping is dominated by V2− defects. The Fermi-enhancement factor was shown to have a relatively weak dependence on the temperature and the Ge fraction. The results are relevant to the structure and thermal processing condition design of n-type doped Ge/Si and Ge/SiGe based devices such as Ge/Si lasers.

  10. (113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation

    Science.gov (United States)

    Kassem, Hassan

    We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.

  11. Density functional study of the decomposition pathways of SiH₃ and GeH₃ at the Si(100) and Ge(100) surfaces.

    Science.gov (United States)

    Ceriotti, M; Montalenti, F; Bernasconi, M

    2012-03-14

    By means of first-principles calculations we studied the decomposition pathways of SiH₃ on Ge(100) and of GeH₃ on Si(100), of interest for the growth of crystalline SiGe alloys and Si/Ge heterostructures by plasma-enhanced chemical vapor deposition. We also investigated H desorption via reaction of two adsorbed SiH₂/GeH₂ species (β₂ reaction) or via Eley-Rideal abstraction of surface H atoms from the impinging SiH₃ and GeH₃ species. The calculated activation energies for the different processes suggest that the rate-limiting step for the growth of Si/Ge systems is still the β₂ reaction of two SiH₂ as in the growth of crystalline Si.

  12. Analysis of threshold current of uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers.

    Science.gov (United States)

    Jiang, Jialin; Sun, Junqiang; Gao, Jianfeng; Zhang, Ruiwen

    2017-10-30

    We propose and design uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers with the stress along direction. The micro-bridge structure is adapted for introducing uniaxial stress in Ge/SiGe quantum well. To enhance the fabrication tolerance, full-etched circular gratings with high reflectivity bandwidths of ~500 nm are deployed in laser cavities. We compare and analyze the density of state, the number of states between Γ- and L-points, the carrier injection efficiency, and the threshold current density for the uniaxially tensile stressed bulk Ge and Ge/SiGe quantum well lasers. Simulation results show that the threshold current density of the Ge/SiGe quantum well laser is much higher than that of the bulk Ge laser, even combined with high uniaxial tensile stress owing to the larger number of states between Γ- and L- points and extremely low carrier injection efficiency. Electrical transport simulation reveals that the reduced effective mass of the hole and the small conduction band offset cause the low carrier injection efficiency of the Ge/SiGe quantum well laser. Our theoretical results imply that unlike III-V material, uniaxially tensile stressed bulk Ge outperforms a Ge/SiGe quantum well with the same strain level and is a promising approach for Si-compatible light sources.

  13. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  14. Si/Ge intermixing during Ge Stranski–Krastanov growth

    Directory of Open Access Journals (Sweden)

    Alain Portavoce

    2014-12-01

    Full Text Available The Stranski–Krastanov growth of Ge islands on Si(001 has been widely studied. The morphology changes of Ge islands during growth, from nucleation to hut/island formation and growth, followed by hut-to-dome island transformation and dislocation nucleation of domes, have been well described, even at the atomic scale, using techniques such as scanning tunneling microscopy and transmission electron microscopy. Although it is known that these islands do not consist of pure Ge (due to Si/Ge intermixing, the composition of the Ge islands is not precisely known. In the present work, atom probe tomography was used to study the composition of buried dome islands at the atomic scale, in the three-dimensional space. The core of the island was shown to contain about 55 atom % Ge, while the Ge composition surrounding this core decreases rapidly in all directions in the islands to reach a Ge concentration of about 15 atom %. The Ge distribution in the islands follows a cylindrical symmetry and Ge segregation is observed only in the {113} facets of the islands. The Ge composition of the wetting layer is not homogeneous, varying from 5 to 30 atom %.

  15. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  16. Radiation emission from wrinkled SiGe/SiGe nanostructure

    Czech Academy of Sciences Publication Activity Database

    Fedorchenko, Alexander I.; Cheng, H. H.; Sun, G.; Soref, R. A.

    2010-01-01

    Roč. 96, č. 11 (2010), s. 113104-113107 ISSN 0003-6951 Institutional research plan: CEZ:AV0Z20760514 Keywords : SiGe wrinkled nanostructures * si-based optical emitter * synchrotron radiation Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.820, year: 2010 http://apl.aip.org/resource/1/applab/v96/i11/p113104_s1?isAuthorized=no

  17. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  18. Monolithically Integrated Ge-on-Si Active Photonics

    Directory of Open Access Journals (Sweden)

    Jifeng Liu

    2014-07-01

    Full Text Available Monolithically integrated, active photonic devices on Si are key components in Si-based large-scale electronic-photonic integration for future generations of high-performance, low-power computation and communication systems. Ge has become an interesting candidate for active photonic devices in Si photonics due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS processing. In this paper, we present a review of the recent progress in Ge-on-Si active photonics materials and devices for photon detection, modulation, and generation. We first discuss the band engineering of Ge using tensile strain, n-type doping, Sn alloying, and separate confinement of Γ vs. L electrons in quantum well (QW structures to transform the material towards a direct band gap semiconductor for enhancing optoelectronic properties. We then give a brief overview of epitaxial Ge-on-Si materials growth, followed by a summary of recent investigations towards low-temperature, direct growth of high crystallinity Ge and GeSn alloys on dielectric layers for 3D photonic integration. Finally, we review the most recent studies on waveguide-integrated Ge-on-Si photodetectors (PDs, electroabsorption modulators (EAMs, and laser diodes (LDs, and suggest possible future research directions for large-scale monolithic electronic-photonic integrated circuits on a Si platform.

  19. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  20. Extended x-ray absorption fine structure studies of amorphous and crystalline Si-Ge alloys with synchrotron radiation

    International Nuclear Information System (INIS)

    Kajiyama, Hiroshi

    1988-01-01

    Extended X-ray absorption fine structure (EXAFS) is a powerful probe to study the local structure around the atom of a specific element. In conventional EXAFS analysis, it has been known that reliable structures are obtained with the different values of absorption edge energy for different neighboring atoms. It is shown in this study that the Ge-K edge EXAFS resulting from the Ge-Ge and Ge-Si bonds in hydrogenated amorphous Si-Ge alloys was able to be excellently explained by a unique absorption edge energy value, provided that a newly developed formula based on the spherical wave function of photoelectrons is used. The microscopic structures of hydrogenated amorphous Si-Ge alloys and crystalline Si-Ge alloys have been determined using the EXAFS method. The lengths of Ge-Ge and Ge-Si bonds were constant throughout their entire composition range, and it was found that the length of Ge-Si bond was close to the average value of the bond lengths of both Ge and Si crystals. In crystalline Si-Ge alloys, it has been shown that the bonds relaxed completely, while the lattice constant varied monotonously with the composition. (Kako, I.)

  1. Band structure analysis in SiGe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Amato, Michele [' Centro S3' , CNR-Istituto Nanoscienze, via Campi 213/A, 41100 Modena (Italy); Dipartimento di Scienze e Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42100 Reggio Emilia (Italy); Palummo, Maurizia [European Theoretical Spectroscopy Facility (ETSF) (Italy); CNR-INFM-SMC, Dipartimento di Fisica, Universita di Roma, ' Tor Vergata' , via della Ricerca Scientifica 1, 00133 Roma (Italy); Ossicini, Stefano, E-mail: stefano.ossicini@unimore.it [' Centro S3' , CNR-Istituto Nanoscienze, via Campi 213/A, 41100 Modena (Italy) and Dipartimento di Scienze e Metodi dell' Ingegneria, Universita di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42100 Reggio Emilia (Italy) and European Theoretical Spectroscopy Facility - ETSF (Italy) and Centro Interdipartimentale ' En and Tech' , Universita di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42100 Reggio Emilia (Italy)

    2012-06-05

    One of the main challenges for Silicon-Germanium nanowires (SiGe NWs) electronics is the possibility to modulate and engine their electronic properties in an easy way, in order to obtain a material with the desired electronic features. Diameter and composition constitute two crucial ways for the modification of the band gap and of the band structure of SiGe NWs. Within the framework of density functional theory we present results of ab initio calculations regarding the band structure dependence of SiGe NWs on diameter and composition. We point out the main differences with respect to the case of pure Si and Ge wires and we discuss the particular features of SiGe NWs that are useful for future technological applications.

  2. Band structure analysis in SiGe nanowires

    International Nuclear Information System (INIS)

    Amato, Michele; Palummo, Maurizia; Ossicini, Stefano

    2012-01-01

    One of the main challenges for Silicon-Germanium nanowires (SiGe NWs) electronics is the possibility to modulate and engine their electronic properties in an easy way, in order to obtain a material with the desired electronic features. Diameter and composition constitute two crucial ways for the modification of the band gap and of the band structure of SiGe NWs. Within the framework of density functional theory we present results of ab initio calculations regarding the band structure dependence of SiGe NWs on diameter and composition. We point out the main differences with respect to the case of pure Si and Ge wires and we discuss the particular features of SiGe NWs that are useful for future technological applications.

  3. Photoemission Studies of Si Quantum Dots with Ge Core: Dots formation, Intermixing at Si-clad/Ge-core interface and Quantum Confinement Effect

    Directory of Open Access Journals (Sweden)

    Yudi Darma

    2008-03-01

    Full Text Available Spherical Si nanocrystallites with Ge core (~20nm in average dot diameter have been prepared by controlling selective growth conditions of low-pressure chemical vapor deposition (LPCVD on ultrathin SiO2 using alternately pure SiH4 and 5% GeH4 diluted with He. XPS results confirm the highly selective growth of Ge on the pregrown Si dots and subsequently complete coverage by Si selective growth on Ge/Si dots. Compositional mixing and the crystallinity of Si dots with Ge core as a function of annealing temperature in the range of 550-800oC has been evaluated by XPS analysis and confirms the diffusion of Ge atoms from Ge core towards the Si clad accompanied by formation of GeOx at the Si clad surface. The first subband energy at the valence band of Si dot with Ge core has been measured as an energy shift at the top of the valence band density of state using XPS. The systematic shift of the valence band maximum towards higher binding energy with progressive deposition in the dot formation indicate the charging effect of dots and SiO2 layer by photoemission during measurements.

  4. Photoemission Studies of Si Quantum Dots with Ge Core: Dots formation, Intermixing at Si-clad/Ge-core interface and Quantum Confinement Effect

    OpenAIRE

    Yudi Darma

    2008-01-01

    Spherical Si nanocrystallites with Ge core (~20nm in average dot diameter) have been prepared by controlling selective growth conditions of low-pressure chemical vapor deposition (LPCVD) on ultrathin SiO2 using alternately pure SiH4 and 5% GeH4 diluted with He. XPS results confirm the highly selective growth of Ge on the pregrown Si dots and subsequently complete coverage by Si selective growth on Ge/Si dots. Compositional mixing and the crystallinity of Si dots with Ge core as a function of ...

  5. Next Generation, Si-Compatible Materials and Devices in the Si-Ge-Sn System

    Science.gov (United States)

    2015-10-09

    and conclusions The work initially focused on growth of next generation Ge1-ySny alloys on Ge buffered Si wafers via UHV CVD depositions of Ge3H8...Abstract The work initially focused on growth of next generation Ge1-ySny alloys on Ge buffered Si wafers via UHV CVD depositions of Ge3H8, SnD4. The...AFRL-AFOSR-VA-TR-2016-0044 Next generation, Si -compatible materials and devices in the Si - Ge -Sn system John Kouvetakis ARIZONA STATE UNIVERSITY Final

  6. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  7. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  8. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  9. The Effects of Annealing Temperatures on Composition and Strain in Si x Ge1-x Obtained by Melting Growth of Electrodeposited Ge on Si (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-02-24

    The effects of annealing temperatures on composition and strain in Si x Ge 1- x , obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm -1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of Si x Ge 1- x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  10. Carrier recombination in tailored multilayer Si/Si{sub 1−x}Ge{sub x} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Mala, S.A. [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Tsybeskov, L., E-mail: tsybesko@njit.edu [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Lockwood, D.J.; Wu, X.; Baribeau, J.-M. [National Research Council, Ottawa, ON, Canada KIA 0R6 (Canada)

    2014-11-15

    Photoluminescence (PL) measurements were performed in Si/Si{sub 1−x}Ge{sub x} nanostructures with a single Si{sub 0.92}Ge{sub 0.08} nanometer-thick layer incorporated into Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. Under pulsed laser excitation, the PL decay associated with the Si{sub 0.92}Ge{sub 0.08} nano-layer is found to be nearly a 1000 times faster compared to that in Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. A model considering Si/SiGe hetero-interface composition and explaining the fast and slow time-dependent recombination rates is proposed.

  11. Stress-directed compositional patterning of SiGe substrates for lateral quantum barrier manipulation

    International Nuclear Information System (INIS)

    Ghosh, Swapnadip; Kaiser, Daniel; Sinno, Talid; Bonilla, Jose; Han, Sang M.

    2015-01-01

    While vertical stacking of quantum well and dot structures is well established in heteroepitaxial semiconductor materials, manipulation of quantum barriers in the lateral directions poses a significant engineering challenge. Here, we demonstrate lateral quantum barrier manipulation in a crystalline SiGe alloy using structured mechanical fields to drive compositional redistribution. To apply stress, we make use of a nano-indenter array that is pressed against a Si 0.8 Ge 0.2 wafer in a custom-made mechanical press. The entire assembly is then annealed at high temperatures, during which the larger Ge atoms are selectively driven away from areas of compressive stress. Compositional analysis of the SiGe substrates reveals that this approach leads to a transfer of the indenter array pattern to the near-surface elemental composition, resulting in near 100% Si regions underneath each indenter that are separated from each other by the surrounding Si 0.8 Ge 0.2 bulk. The “stress transfer” process is studied in detail using multiscale computer simulations that demonstrate its robustness across a wide range of applied stresses and annealing temperatures. While the “Si nanodot” structures formed here are not intrinsically useful as quantum structures, it is anticipated that the stress transfer process may be modified by judicious control of the SiGe film thickness and indenter array pattern to form more technologically useful structures

  12. Formation of Si/Ge/Si heterostructures with quantum dots

    International Nuclear Information System (INIS)

    Zinov'ev, V.A.; Dvurechenskij, A.V.; Novikov, P.L.

    2003-01-01

    It is present the Monte Carlo simulation of epitaxial embedding of faceted three-dimensional Ge islands (quantum dots) in a Si matrix. Under a Si flux these islands expand and undergo a shape change (from pyramidal to drop-like shape). The main expansion occurs at initial stage of embedding in Si (deposition of 1-2 monolayers). This change is controlled by surface diffusion. The shape of island can be preserved when one uses the higher Si fluxes. The reason of island conservation lies in blocking of Ge surface diffusion [ru

  13. Magnetic behavior of Si-Ge bond in SixGe4-x nano-clusters

    Science.gov (United States)

    Nahali, Masoud; Mehri, Ali

    2018-06-01

    The structure of SixGe4-x nano-clusters were optimized by MPW1B95 level of theory using MG3S and SDB-aug-cc-PVTZ basis set. The agreement of the calculated ionization and dissociation energies with experimental values validates the reported structures of nano-clusters and justifies the use of hybrid meta density functional method. Since the Si-Si bond is stronger than Si-Ge and Ge-Ge bonds, the Si-Si, Si-Ge, and Ge-Ge diagonal bonds determine the precedence of the stability in these nano-clusters. The hybrid meta density functional calculations were carried out to investigate the adsorption of CO on all possible SixGe4-x nano-clusters. It was found that the silicon atom generally makes a stronger bond with CO than germanium and thereby preferentially affects the shape of structures having higher multiplicity. In Si-Ge structures with higher spin more than 95% of spins accumulate on positions with less bonds to other atoms of the cluster. Through CO adsorption on these clusters bridge structures are made that behave as spin bridge which conduct the spin from the nano-cluster surface to the adsorbate atoms. A better understanding of bridged structures was achieved upon introducing the 'spin bridge' concept. Based on exhaustive spin density analysis, it was found that the reason for the extra negative charge on oxygen in the bridged structures is the relocation of spin from the surface through the bridge.

  14. Materials and devices for quantum information processing in Si/SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sailer, Juergen

    2010-12-15

    In this thesis, we cover and discuss the complete way from material science, the fabrication of two-dimensional electron systems (2DES) in Si/SiGe heterostructures in molecular beam epitaxy (MBE), to quantum effects in few-electron devices based on these samples. We applied and compared two different approaches for the creation of pseudo-substrates that are as smooth, relaxed and defect free as possible. In the 'graded buffer' concept, starting from pure Si, the Ge content of the SiGe alloy is slowly and linearly increased until the desired Ge content is reached. In contrast, in the so-called 'low-temperature Si' concept, the SiGe alloy is deposited directly with the final Ge content, but onto a layer of highly defective Si. In terms of crystal defects, the 'graded buffer' turned out to be superior in comparison to the 'low-temperature Si' concept at the expense of a significantly higher material consumption. By continued optimization of the growth process, aiming at reducing the influence of the impurity, it nevertheless became possible to improve the charge carrier mobility from a mere 2000 cm{sup 2}/(Vs) to a record mobility exceeding 100 000 cm{sup 2}/(Vs). Within this work, we extended our MBE system with an electron beam evaporator for nuclear spin free {sup 28}Si. Together with the already existing effusion cell for {sup 70}Ge we were able to realize first 2DES in a nuclear spin free environment after successfully putting it to operation. The highest mobility 2DES in a nuclear spin free environment which have been realized in this thesis exhibited electron mobilities of up to 55 000 cm{sup 2}/(Vs). Quantum effects in Si/SiGe have been investigated in two- and zero-dimensional nanostructures. A remarkable phenomenon in the regime of the integer quantum Hall effect in Si/SiGe 2DES has been discovered and researched. For applications in quantum information processing and for the creation of qubits it is mandatory to

  15. Experiments and Modeling of Si-Ge Interdiffusion with Partial Strain Relaxation in Epitaxial SiGe Heterostructures

    KAUST Repository

    Dong, Y.

    2014-07-26

    Si-Ge interdiffusion and strain relaxation were studied in a metastable SiGe epitaxial structure. With Ge concentration profiling and ex-situ strain analysis, it was shown that during thermal anneals, both Si-Ge interdiffusion and strain relaxation occurred. Furthermore, the time evolutions of both strain relaxation and interdiffusion were characterized. It showed that during the ramp-up stage of thermal anneals at higher temperatures (800°C and 840°C), the degree of relaxation, R, reached a “plateau”, while interdiffusion was negligible. With the approximation that the R value is constant after the ramp-up stage, a quantitative interdiffusivity model was built to account for both the effect of strain relaxation and the impact of the relaxation induced dislocations, which gave good agreement with the experiment data.

  16. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  17. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  18. From plastic to elastic stress relaxation in highly mismatched SiGe/Si heterostructures

    International Nuclear Information System (INIS)

    Isa, Fabio; Salvalaglio, Marco; Dasilva, Yadira Arroyo Rojas; Jung, Arik; Isella, Giovanni; Erni, Rolf; Niedermann, Philippe; Gröning, Pierangelo; Montalenti, Francesco; Känel, Hans von

    2016-01-01

    We present a detailed experimental and theoretical analysis of the epitaxial stress relaxation process in micro-structured compositionally graded alloys. We focus on the pivotal SiGe/Si(001) system employing patterned Si substrates at the micrometre-size scale to address the distribution of threading and misfit dislocations within the heterostructures. SiGe alloys with linearly increasing Ge content were deposited by low energy plasma enhanced chemical vapour deposition resulting in isolated, tens of micrometre tall 3D crystals. We demonstrate that complete elastic relaxation is achieved by appropriate choice of the Ge compositional grading rate and Si pillar width. We investigate the nature and distribution of dislocations along the [001] growth direction in SiGe crystals by transmission electron microscopy, chemical defect etching and etch pit counting. We show that for 3 μm wide Si pillars and a Ge grading rate of 1.5% μm −1 , only misfit dislocations are present while their fraction is reduced for higher Ge grading rates and larger structures due to dislocation interactions. The experimental results are interpreted with the help of theoretical calculations based on linear elasticity theory describing the competition between purely elastic and plastic stress relaxation with increasing crystal width and Ge compositional grading rate.

  19. Analysis of Si/SiGe Heterostructure Solar Cell

    Directory of Open Access Journals (Sweden)

    Ashish Kumar Singh

    2014-01-01

    Full Text Available Sunlight is the largest source of carbon-neutral energy. Large amount of energy, about 4.3 × 1020 J/hr (Lewis, 2005, is radiated because of nuclear fusion reaction by sun, but it is unfortunate that it is not exploited to its maximum level. Various photovoltaic researches are ongoing to find low cost, and highly efficient solar cell to fulfil looming energy crisis around the globe. Thin film solar cell along with enhanced absorption property will be the best, so combination of SiGe alloy is considered. The paper presented here consists of a numerical model of Si/Si1-xGex heterostructure solar cell. The research has investigated characteristics such as short circuit current density (Jsc, generation rate (G, absorption coefficient (α, and open circuit voltage (Voc with optimal Ge concentration. The addition of Ge content to Si layer will affect the property of material and can be calculated with the use of Vegard’s law. Due to this, short circuit current density increases.

  20. A high performance Ge/Si0.5Ge0.5/Si heterojunction dual sources tunneling transistor with a U-shaped channel

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Wang, Qianqiong; Chen, Shupeng

    2017-06-01

    In this paper, a new Ge/Si0.5Ge0.5/Si heterojunction dual sources tunneling transistor with a U-shaped channel (Ge_DUTFET) is proposed and investigated by Silvaco-Atlas simulation. The line tunneling perpendicular to channel and point tunneling parallel to channel simultaneously occur on both sides of the gate. The Ge is chosen as the source region material to increase the line tunneling current. The designed heterojunction between the Ge source and Si channel decreases the point tunneling barrier width to enhance the point tunneling current. And this heterojunction can also promote the Ge_DUTFET to occur point tunneling at the small gate voltage, which makes it obtain the smaller turn-on voltage. Furthermore, the Si0.5Ge0.5 buffer layer is also helpful for the enhancement of performance. The simulation results reveal that Ge_DUTFET has the better performance compared with the Si_DUTFET. The on-state current and average subthreshold swing of Ge_DUTFET are 1.11 × 10-5A/μm and 35.1mV/dec respectively. The max cut-off frequency (fT) and gain bandwidth product (GBW) are 26.6 GHz and 16.6 GHz respectively. The fT and GBW of the Ge_DUTFET are respectively increased by ∼27.4% and ∼84.3% compared with the Si_DUTFET.

  1. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Science.gov (United States)

    Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah

    2017-11-01

    In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  2. Study of low dimensional SiGe island on Si for potential visible Metal-Semiconductor-Metal photodetector

    Directory of Open Access Journals (Sweden)

    Abd Rahim Alhan Farhanah

    2017-01-01

    Full Text Available In this paper, an investigation of design and simulation of silicon germanium (SiGe islands on silicon (Si was presented for potential visible metal semiconductor metal (MSM photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD tools. The different structures of the silicon germanium (SiGe island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM photodetector was evaluated by photo and dark current-voltage (I-V characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.

  3. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  4. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix.

    Science.gov (United States)

    Buljan, M; Radić, N; Sancho-Paramon, J; Janicki, V; Grenzer, J; Bogdanović-Radović, I; Siketić, Z; Ivanda, M; Utrobičić, A; Hübner, R; Weidauer, R; Valeš, V; Endres, J; Car, T; Jerčinović, M; Roško, J; Bernstorff, S; Holy, V

    2015-02-13

    We report on the formation of Ge/Si quantum dots with core/shell structure that are arranged in a three-dimensional body centered tetragonal quantum dot lattice in an amorphous alumina matrix. The material is prepared by magnetron sputtering deposition of Al2O3/Ge/Si multilayer. The inversion of Ge and Si in the deposition sequence results in the formation of thin Si/Ge layers instead of the dots. Both materials show an atomically sharp interface between the Ge and Si parts of the dots and layers. They have an amorphous internal structure that can be crystallized by an annealing treatment. The light absorption properties of these complex materials are significantly different compared to films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi. They show a strong narrow absorption peak that characterizes a type II confinement in accordance with theoretical predictions. The prepared materials are promising for application in quantum dot solar cells.

  5. X-ray grazing incidence study of inhomogeneous strain relaxation in Si/SiGe wires

    International Nuclear Information System (INIS)

    Hesse, A.; Zhuang, Y.; Holy, V.; Stangl, J.; Zerlauth, S.; Schaeffler, F.; Bauer, G.; Darowski, N.; Pietsch, U.

    2003-01-01

    The elastic strain relaxation in a series of dry-etched periodic multilayer Si/SiGe wire samples with different etching depths was investigated systematically by means of grazing incidence diffraction (GID). The samples were patterned by holographic lithography and reactive ion etching from a Si/SiGe superlattice grown by molecular beam epitaxy. Scanning electron microscopy and atomic force microscopy were employed to obtain information on the shape of the wires. The inhomogeneous strain distribution in the etched wires and in the non-etched part of the multilayers was derived by means of finite element calculations which were used as an input for simulations of the scattered X-ray intensities in depth dependent GID. The theoretical calculations for the scattered intensities are based on distorted-wave Born approximation. The unperturbed scattering potential was chosen with a reduced optical density corresponding to the ratio of wire width and wire period, in order to reflect the main interaction between the incident X-rays and the patterned samples. The calculations are in good agreement with the experimental data demonstrating the variation of strain relaxation with depth

  6. Ge nanobelts with high compressive strain fabricated by secondary oxidation of self-assembly SiGe rings

    DEFF Research Database (Denmark)

    Lu, Weifang; Li, Cheng; Lin, Guangyang

    2015-01-01

    Curled Ge nanobelts were fabricated by secondary oxidation of self-assembly SiGe rings, which were exfoliated from the SiGe stripes on the insulator. The Ge-rich SiGe stripes on insulator were formed by hololithography and modified Ge condensation processes of Si0.82Ge0.18 on SOI substrate. Ge...... nanobelts under a residual compressive strain of 2% were achieved, and the strain should be higher before partly releasing through bulge islands and breakage of the curled Ge nanobelts during the secondary oxidation process. The primary factor leading to compressive strain is thermal shrinkage of Ge...... nanobelts, which extrudes to Ge nanobelts in radial and tangent directions during the cooling process. This technique is promising for application in high-mobility Ge nano-scale transistors...

  7. Atomic Layer Deposition of Al2O3 on H-Passivated GeSi: Initial Surface Reaction Pathways with H/GeSi(100)-2 × 1

    International Nuclear Information System (INIS)

    Yu, Shi; Qing-Qing, Sun; Lin, Dong; Han, Liu; Shi-Jin, Ding; Wei, Zhang

    2009-01-01

    The reaction mechanisms of Al(CH 3 ) 3 (TMA) adsorption on H-passivated GeSi(100)-2 × 1 surface are investigated with density functional theory. The Si–Ge and Ge–Ge one-dimer cluster models are employed to represent the GeSi(100)-2 × 1 surface with different Ge compositions. For a Si-Ge dimer of a H-passivated SiGe surface, TMA adsorption on both Si–H * and Ge–H * sites is considered. The activation barrier of TMA with the Si–H * site (1.2eV) is higher than that of TMA with the Ge-H * site (0.91 eV), which indicates that the reaction proceeds more slowly on the Si-H * site than on the Ge-H * site. In addition, adsorption of TMA is more energetically favorable on the Ge–Ge dimer than on the Si–Ge dimer of H-passivated SiGe. (atomic and molecular physics)

  8. Poly-SiGe for MEMS-above-CMOS sensors

    CERN Document Server

    Gonzalez Ruiz, Pilar; Witvrouw, Ann

    2014-01-01

    Polycrystalline SiGe has emerged as a promising MEMS (Microelectromechanical Systems) structural material since it provides the desired mechanical properties at lower temperatures compared to poly-Si, allowing the direct post-processing on top of CMOS. This CMOS-MEMS monolithic integration can lead to more compact MEMS with improved performance. The potential of poly-SiGe for MEMS above-aluminum-backend CMOS integration has already been demonstrated. However, aggressive interconnect scaling has led to the replacement of the traditional aluminum metallization by copper (Cu) metallization, due to its lower resistivity and improved reliability. Poly-SiGe for MEMS-above-CMOS sensors demonstrates the compatibility of poly-SiGe with post-processing above the advanced CMOS technology nodes through the successful fabrication of an integrated poly-SiGe piezoresistive pressure sensor, directly fabricated above 0.13 m Cu-backend CMOS. Furthermore, this book presents the first detailed investigation on the influence o...

  9. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  10. Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers.

    Science.gov (United States)

    Higashitarumizu, Naoki; Ishikawa, Yasuhiko

    2017-09-04

    Enhanced direct-gap light emission is reported for Si-capped n + -Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of non-radiative recombination (NRR) at the Ge/Si interface is discussed toward Ge/Si double heterostructure (DH) lasers. P-doped n + -Ge layer (1 × 10 19 cm -3 , 400 nm) is grown on Si by ultra-high vacuum chemical vapor deposition, followed by a growth of Si capping layer (5 nm) to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N 2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the threading dislocation density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on the threshold current density Jth. In order to achieve Jth on the order of 1 kA/cm 2 , similar to III-V lasers, the interface recombination velocity S is required below 10 3 cm/s in spite of S as large as 10 5 cm/s at the ordinary defect-rich Ge/Si interface.

  11. Research on a Micro-Nano Si/SiGe/Si Double Heterojunction Electro-Optic Modulation Structure

    Directory of Open Access Journals (Sweden)

    Song Feng

    2018-01-01

    Full Text Available The electro-optic modulator is a very important device in silicon photonics, which is responsible for the conversion of optical signals and electrical signals. For the electro-optic modulator, the carrier density of waveguide region is one of the key parameters. The traditional method of increasing carrier density is to increase the external modulation voltage, but this way will increase the modulation loss and also is not conducive to photonics integration. This paper presents a micro-nano Si/SiGe/Si double heterojunction electro-optic modulation structure. Based on the band theory of single heterojunction, the barrier heights are quantitatively calculated, and the carrier concentrations of heterojunction barrier are analyzed. The band and carrier injection characteristics of the double heterostructure structure are simulated, respectively, and the correctness of the theoretical analysis is demonstrated. The micro-nano Si/SiGe/Si double heterojunction electro-optic modulation is designed and tested, and comparison of testing results between the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation and the micro-nano Silicon-On-Insulator (SOI micro-ring electro-optic modulation, Free Spectrum Range, 3 dB Bandwidth, Q value, extinction ratio, and other parameters of the micro-nano Si/SiGe/Si double heterojunction micro-ring electro-optic modulation are better than others, and the modulation voltage and the modulation loss are lower.

  12. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  13. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    Directory of Open Access Journals (Sweden)

    Hung-Pin Hsu

    2013-01-01

    Full Text Available We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW structure on Ge-on-Si virtual substrate (VS grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spectral line shape fits and theoretical calculation led to the identification of various quantum-confined interband transitions. The temperature-dependent PR spectra of Ge/Si0.16Ge0.84 MQW were analyzed using Varshni and Bose-Einstein expressions. The parameters that describe the temperature variations of various quantum-confined interband transition energies were evaluated and discussed.

  14. Growth dynamics of SiGe nanowires by the Vapour Liquid Solid method and its impact on SiGe/Si axial heterojunction abruptness.

    Science.gov (United States)

    Pura, Jose Luis; Periwal, Priyanka; Baron, Thierry; Jimenez, Juan

    2018-06-05

    The Vapour Liquid Solid (VLS) method is by far the most extended procedure for bottom-up nanowire growth. This method also allows for the manufacture of nanowire axial heterojunctions in a straightforward way. To do this, during the growth process the precursor gases are switched on/off to obtain the desired change in the nanowire composition. Using this technique axially heterostructured nanowires can be grown, which are crucial for the fabrication of electronic and optoelectronic devices. SiGe/Si nanowires are compatible with Complementary Metal Oxide Semiconductor (CMOS) technology, this improves their versatility and the possibility of integration with the current electronic technologies. Abrupt heterointerfaces are fundamental for the development and correct operation of electronic and optoelectronic devices. Unfortunately, VLS growth of SiGe/Si heterojunctions does not provide abrupt transitions because of the high solubility of group IV semiconductors in Au, with the corresponding reservoir effect that precludes the growth of sharp interfaces. In this work, we studied the growth dynamics of SiGe/Si heterojunctions based on already developed models for VLS growth. A composition map of the Si-Ge-Au liquid alloy is proposed to better understand the impact of the growing conditions on the nanowire growth process and the heterojunction formation. The solution of our model provides heterojunction profiles in good agreement with experimental measurements. Finally, the in-depth study of the composition map provides a practical approach to reduce drastically the heterojunction abruptness by reducing the Si and Ge concentrations in the catalyst droplet. This converges with previous approaches that use catalysts aiming to reduce the solubility of the atomic species. This analysis opens new paths to reduce the heterojunction abruptness using Au catalysts, but the model can be naturally extended to other catalysts and semiconductors. © 2018 IOP Publishing Ltd.

  15. Photoreflectance Spectroscopy Characterization of Ge/Si0.16Ge0.84 Multiple Quantum Wells on Ge Virtual Substrate

    OpenAIRE

    Hsu, Hung-Pin; Yang, Pong-Hong; Huang, Jeng-Kuang; Wu, Po-Hung; Huang, Ying-Sheng; Li, Cheng; Huang, Shi-Hao; Tiong, Kwong-Kau

    2013-01-01

    We report a detailed characterization of a Ge/Si0.16Ge0.84 multiple quantum well (MQW) structure on Ge-on-Si virtual substrate (VS) grown by ultrahigh vacuum chemical vapor deposition by using temperature-dependent photoreflectance (PR) in the temperature range from 10 to 300 K. The PR spectra revealed a wide range of optical transitions from the MQW region as well as transitions corresponding to the light-hole and heavy-hole splitting energies of Ge-on-Si VS. A detailed comparison of PR spec...

  16. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  17. Water-vapor-enhanced growth of Ge-GeOx core-shell nanowires and Si1-xGexOy nanowires

    International Nuclear Information System (INIS)

    Hsu, T-J; Ko, C-Y; Lin, W-T

    2007-01-01

    The effects of moist Ar on the growth of Ge-GeO x core-shell nanowires (Ge-GeO x NWs) and Si 1-x Ge x O y nanowires (SiGeONWs) on Si substrates without adding a metal catalyst via the carbothermal reduction of GeO 2 powders at 1100 deg. C were studied. No significant nanowires were grown in dry Ar at a flow rate of 100-300 sccm until a bit of water in the range of 0.5-2 ml was loaded into the furnace. More water suppressed the growth of nanowires because of the exhaustion of more graphite powder. The growth of Ge-GeO x NWs and SiGeONWs follows the vapor-solid and vapor-liquid-solid processes, respectively. The present study showed that the water vapor serves as an oxidizer as well as a reducer at 1100 deg. C in enhancing the growth of SiGeONWs and Ge-GeO x NWs, respectively. The growth mechanisms of Ge-GeO x NWs and SiGeONWs are also discussed

  18. Annealing-induced Ge/Si(100) island evolution

    International Nuclear Information System (INIS)

    Zhang Yangting; Drucker, Jeff

    2003-01-01

    Ge/Si(100) islands were found to coarsen during in situ annealing at growth temperature. Islands were grown by molecular-beam epitaxy of pure Ge and annealed at substrate temperatures of T=450, 550, 600, and 650 deg. C, with Ge coverages of 6.5, 8.0, and 9.5 monolayers. Three coarsening mechanisms operate in this temperature range: wetting-layer consumption, conventional Ostwald ripening, and Si interdiffusion. For samples grown and annealed at T=450 deg. C, consumption of a metastably thick wetting layer causes rapid initial coarsening. Slower coarsening at longer annealing times occurs by conventional Ostwald ripening. Coarsening of samples grown and annealed at T=550 deg. C occurs via a combination of Si interdiffusion and conventional Ostwald ripening. For samples grown and annealed at T≥600 deg. C, Ostwald ripening of SiGe alloy clusters appears to be the dominant coarsening mechanism

  19. Modified Stranski-Krastanov growth in Ge/Si heterostructures via nanostenciled pulsed laser deposition.

    Science.gov (United States)

    MacLeod, J M; Cojocaru, C V; Ratto, F; Harnagea, C; Bernardi, A; Alonso, M I; Rosei, F

    2012-02-17

    The combination of nanostenciling with pulsed laser deposition (PLD) provides a flexible, fast approach for patterning the growth of Ge on Si. Within each stencilled site, the morphological evolution of the Ge structures with deposition follows a modified Stranski-Krastanov (SK) growth mode. By systematically varying the PLD parameters (laser repetition rate and number of pulses) on two different substrate orientations (111 and 100), we have observed corresponding changes in growth morphology, strain and elemental composition using scanning electron microscopy, atomic force microscopy and μ-Raman spectroscopy. The growth behaviour is well predicted within a classical SK scheme, although the Si(100) growth exhibits significant relaxation and ripening with increasing coverage. Other novel aspects of the growth include the increased thickness of the wetting layer and the kinetic control of Si/Ge intermixing via the PLD repetition rate.

  20. Properties of Si{sub n}, Ge{sub n}, and Si{sub n}Ge{sub n} clusters

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yi; Rehman, Habib ur; Springborg, Michael [Physical and Theoretical Chemistry, University of Saarland, 66123 Saarbrücken (Germany)

    2015-01-22

    The structures of Si{sub n}, Ge{sub n}, and Si{sub n}Ge{sub n} clusters with up to 44 atoms have been determined theoretically using an unbiased structure-optimization method in combination with a parametrized, density-functional description of the total energy for a given structure. By analyzing the total energy in detail, particularly stable clusters are identified. Moreover, general trends in the structures are identified with the help of specifically constructed descriptors.

  1. Ordered GeSi nanorings grown on patterned Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Ma Yingjie

    2011-01-01

    Full Text Available Abstract An easy approach to fabricate ordered pattern using nanosphere lithography and reactive iron etching technology was demonstrated. Long-range ordered GeSi nanorings with 430 nm period were grown on patterned Si (001 substrates by molecular beam epitaxy. The size and shape of rings were closely associated with the size of capped GeSi quantum dots and the Si capping processes. Statistical analysis on the lateral size distribution shows that the high growth temperature and the long-term annealing can improve the uniformity of nanorings. PACS code1·PACS code2·more Mathematics Subject Classification (2000 MSC code1·MSC code2·more

  2. Oblique roughness replication in strained SiGe/Si multilayers

    NARCIS (Netherlands)

    Holy, V.; Darhuber, A.A.; Stangl, J.; Bauer, G.; Nützel, J.-F.; Abstreiter, G.

    1998-01-01

    The replication of the interface roughness in SiGe/Si multilayers grown on miscut Si(001) substrates has been studied by means of x-ray reflectivity reciprocal space mapping. The interface profiles were found to be highly correlated and the direction of the maximal replication was inclined with

  3. Shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowires

    Science.gov (United States)

    Wen, Feng; Dillen, David C.; Kim, Kyounghwan; Tutuc, Emanuel

    2017-06-01

    We investigate the shell morphology and Raman spectra of epitaxial Ge-SixGe1-x and Si-SixGe1-x core-shell nanowire heterostructures grown using a combination of a vapor-liquid-solid (VLS) growth mechanism for the core, followed by in-situ epitaxial shell growth using ultra-high vacuum chemical vapor deposition. Cross-sectional transmission electron microscopy reveals that the VLS growth yields cylindrical Ge, and Si nanowire cores grown along the ⟨111⟩, and ⟨110⟩ or ⟨112⟩ directions, respectively. A hexagonal cross-sectional morphology is observed for Ge-SixGe1-x core-shell nanowires terminated by six {112} facets. Two distinct morphologies are observed for Si-SixGe1-x core-shell nanowires that are either terminated by four {111} and two {100} planes associated with the ⟨110⟩ growth direction or four {113} and two {111} planes associated with the ⟨112⟩ growth direction. We show that the Raman spectra of Si- SixGe1-x are correlated with the shell morphology thanks to epitaxial growth-induced strain, with the core Si-Si mode showing a larger red shift in ⟨112⟩ core-shell nanowires compared to their ⟨110⟩ counterparts. We compare the Si-Si Raman mode value with calculations based on a continuum elasticity model coupled with the lattice dynamic theory.

  4. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  5. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  6. Coupling between Ge-nanocrystals and defects in SiO2

    International Nuclear Information System (INIS)

    Skov Jensen, J.; Franzo, G.; Leervad Petersen, T.P.; Pereira, R.; Chevallier, J.; Christian Petersen, M.; Bech Nielsen, B.; Nylandsted Larsen, A.

    2006-01-01

    Room temperature photoluminescence (PL) at around 600 nm from magnetron-sputtered SiO 2 films co-doped with Ge is reported. The PL signal is observed in pure SiO 2 , however, its intensity increases significantly in the presence of Ge-nanocrystals (Ge-nc). The PL intensity has been optimized by varying the temperature of heat treatment, type of gas during heat treatment, concentration of Ge in the SiO 2 films, and gas pressure during deposition. Maximum intensity occurs when Ge-nc of around 3.5 nm are present in large concentration in SiO 2 layers deposited at fairly high gas pressure. Based on time resolved PL, and PL measurements after α-particle irradiation or H passivation, we attribute the origin of the PL to a defect in SiO 2 (probably an O deficiency) that is excited through an energy transfer from Ge-nc. There is no direct PL from the Ge-nc; however, there is a strong coupling between excitons created in the Ge-nc and the SiO 2 defect

  7. Axial Ge/Si nanowire heterostructure tunnel FETs.

    Energy Technology Data Exchange (ETDEWEB)

    Dayeh, Shadi A. (Los Alamos National Laboratory); Gin, Aaron V.; Huang, Jian Yu; Picraux, Samuel Thomas (Los Alamos National Laboratory)

    2010-03-01

    Axial Ge/Si heterostructure nanowires (NWs) allow energy band-edge engineering along the axis of the NW, which is the charge transport direction, and the realization of asymmetric devices for novel device architectures. This work reports on two significant advances in the area of heterostructure NWs and tunnel FETs: (i) the realization of 100% compositionally modulated Si/Ge axial heterostructure NWs with lengths suitable for device fabrication and (ii) the design and implementation of Schottky barrier tunnel FETs on these NWs for high-on currents and suppressed ambipolar behavior. Initial prototype devices with 10 nm PECVD SiN{sub x} gate dielectric resulted in a very high current drive in excess of 100 {micro}A/{micro}m (I/{pi}D) and 10{sup 5} I{sub on}/I{sub off} ratios. Prior work on the synthesis of Ge/Si axial NW heterostructures through the VLS mechanism have resulted in axial Si/Si{sub 1-x}Ge{sub x} NW heterostructures with x{sub max} {approx} 0.3, and more recently 100% composition modulation was achieved with a solid growth catalyst. In this latter case, the thickness of the heterostructure cannot exceed few atomic layers due to the slow axial growth rate and concurrent radial deposition on the NW sidewalls leading to a mixture of axial and radial deposition, which imposes a big challenge for fabricating useful devices form these NWs in the near future. Here, we report the VLS growth of 100% doping and composition modulated axial Ge/Si heterostructure NWs with lengths appropriate for device fabrication by devising a growth procedure that eliminates Au diffusion on the NW sidewalls and minimizes random kinking in the heterostructure NWs as deduced from detailed microscopy analysis. Fig. 1 a shows a cross-sectional SEM image of epitaxial Ge/Si axial NW heterostructures grown on a Ge(111) surface. The interface abruptness in these Ge/Si heterostructure NWs is of the order of the NW diameter. Some of these NWs develop a crystallographic kink that is {approx

  8. Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.

    Science.gov (United States)

    Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei

    2015-11-16

    Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.

  9. Low thermal budget surface preparation of Si and SiGe

    International Nuclear Information System (INIS)

    Abbadie, A.; Hartmann, J.M.; Holliger, P.; Semeria, M.N.; Besson, P.; Gentile, P.

    2004-01-01

    Using a two-step cleaning, we have investigated the low thermal budget surface preparation of Si and Si 1-x Ge x (x=0.2-0.33). It consists of an ex situ 'HF-last' wet-cleaning and an in situ low thermal budget H 2 bake in a reduced pressure-chemical vapor deposition reactor. Using secondary ion mass spectrometry, we have evaluated the effects of different H 2 bake temperatures (in between 750 and 850 deg. C for 2 min) on the removal efficiency of C, O and F atoms still present on the surface of Si and SiGe virtual substrates after the 'HF-last' wet-cleaning. We have then examined the impact of the (wet-cleaning+H 2 bake) combination on the surface cross-hatch of SiGe as-grown virtual substrates, focusing on the analysis, notably by atomic force microscopy, of the surface topography before and after the miscellaneous thermal treatments. In situ hydrogen baking steps in between 775 and 850 deg. C do not modify the surface morphology and roughness. An easy and rapid optical characterization method, i.e. the optical interferometry, is presented as well to monitor in line the morphological changes induced by such processing steps as chemical mechanical polishing, wet-cleaning, H 2 bake, etc. Despite the lower resolution of the optical profilometer, the surface roughness values coming from it have been correctly correlated with those obtained from AFM. An optimized 'HF-last' wet-cleaning using a diluted chemistry in conjunction with a H 2 bake at 800 deg. C for 2 min (775 deg. C, 2') is a good compromise for SiGe (Si) surface preparation

  10. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  11. Effect of Si/Ge ratio on resistivity and thermopower in Gd{sub 5}Si{sub x}Ge{sub 4-x} magnetocaloric compounds

    Energy Technology Data Exchange (ETDEWEB)

    Raj Kumar, D.M. [Defence Metallurgical Research Laboratory, Kanchanbagh, Hyderabad 500058 (India); Manivel Raja, M., E-mail: mraja@dmrl.drdo.i [Defence Metallurgical Research Laboratory, Kanchanbagh, Hyderabad 500058 (India); Prabahar, K.; Chandrasekaran, V. [Defence Metallurgical Research Laboratory, Kanchanbagh, Hyderabad 500058 (India); Poddar, Asok; Ranganathan, R. [Saha Institute of Nuclear Physics, Kolkata 700064 (India); Suresh, K.G. [Indian Institute of Technology Bombay, Mumbai 400076 (India)

    2011-07-15

    The effect of Si/Ge ratio on resistivity and thermopower behavior has been investigated in the magnetocaloric ferromagnetic Gd{sub 5}Si{sub x}Ge{sub 4-x} compounds with x=1.7-2.3. Microstructural studies reveal the presence of Gd{sub 5}(Si,Ge){sub 4}-matrix phase (5:4-type) along with traces of secondary phases (5:5 or 5:3-type). The x=1.7 and 2.0 samples display the presence of a first order structural transition from orthorhombic to monoclinic phase followed by a magnetic transition of the monoclinic phase. The alloys with x=2.2 and 2.3 display only magnetic transitions of the orthorhombic phase. A low temperature feature apparent in the AC susceptibility and resistivity data below 100 K reflects an antiferromagnetic transition of secondary phase(s) present in these compounds. The resistivity behavior study correlates with microstructural studies. A large change in thermopower of -8 {mu}V/K was obtained at the magneto-structural transition for the x=2 compound. - Research highlights: Effect of Si/Ge ratio on microstructure, magneto-structural transitions, resistivity ({rho}) and thermopower S(T) behaviour has been investigated in Gd{sub 5}Si{sub x}Ge{sub 4-x} compounds with x=1.7, 2.0, 2.2 and 2.3. Microstructural studies reveal the presence of a Gd{sub 5}(Si,Ge){sub 4} -matrix phase (5:4-type) along with traces of secondary phases (5:5 or 5:3-type). The resistivity behaviour has shown good correlation with the microstructural studies. A large change in thermopower of -8{mu}V/K was obtained at the magneto-structural transition for the x=2 compound. The resistivity and change in thermopower values were high for the alloys with Si/Ge ratio {<=}1 compared to that of the alloys with Si/Ge ratio >1.

  12. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  13. Recent progress in GeSi electro-absorption modulators

    International Nuclear Information System (INIS)

    Chaisakul, Papichaya; Marris-Morini, Delphine; Rouifed, Mohamed-Said; Coudevylle, Jean-René; Roux, Xavier Le; Edmond, Samson; Vivien, Laurent; Frigerio, Jacopo; Chrastina, Daniel; Isella, Giovanni

    2014-01-01

    Electro-absorption from GeSi heterostructures is receiving growing attention as a high performance optical modulator for short distance optical interconnects. Ge incorporation with Si allows strong modulation mechanism using the Franz–Keldysh effect and the quantum-confined Stark effect from bulk and quantum well structures at telecommunication wavelengths. In this review, we discuss the current state of knowledge and the on-going challenges concerning the development of high performance GeSi electro-absorption modulators. We also provide feasible future prospects concerning this research topic. (review)

  14. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    Science.gov (United States)

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  15. High-speed Si/GeSi hetero-structure Electro Absorption Modulator.

    Science.gov (United States)

    Mastronardi, L; Banakar, M; Khokhar, A Z; Hattasan, N; Rutirawut, T; Bucio, T Domínguez; Grabska, K M; Littlejohns, C; Bazin, A; Mashanovich, G; Gardes, F Y

    2018-03-19

    The ever-increasing demand for integrated, low power interconnect systems is pushing the bandwidth density of CMOS photonic devices. Taking advantage of the strong Franz-Keldysh effect in the C and L communication bands, electro-absorption modulators in Ge and GeSi are setting a new standard in terms of device footprint and power consumption for next generation photonics interconnect arrays. In this paper, we present a compact, low power electro-absorption modulator (EAM) Si/GeSi hetero-structure based on an 800 nm SOI overlayer with a modulation bandwidth of 56 GHz. The device design and fabrication tolerant process are presented, followed by the measurement analysis. Eye diagram measurements show a dynamic ER of 5.2 dB at a data rate of 56 Gb/s at 1566 nm, and calculated modulator power is 44 fJ/bit.

  16. Influence of Si and Ge on the magnetic phase transition and magnetocaloric properties of MnFe(P, Si, Ge)

    International Nuclear Information System (INIS)

    Cam Thanh, D.T.; Brueck, E.; Tegus, O.; Klaasse, J.C.P.; Buschow, K.H.J.

    2007-01-01

    Recently, we found a large magnetocaloric effect (MCE) and favourable magnetic properties in low cost and nontoxic MnFe(P, Si, Ge) compounds [D.T. Cam Thanh, E. Brueck, O. Tegus, J.C.P. Klaasse, T.J. Gortenmulder, K.H.J. Buschow, J. Appl. Phys. 99 (2006) 08Q107]. These compounds are promising for magnetic refrigeration applications. One of the interesting points in these compounds is a nonlinear dependence of the Curie temperature (T C ) on Si concentration. This dependence is associated with the change in the lattice parameters a and c, and their ratio c/a. Compounds with larger a parameter and smaller c/a ratio have higher T C . It is clear that Si and Ge atoms play an important role in the magnetic and magnetocaloric properties in the MnFe(P, Si, Ge) compounds. In this paper, we study the effect of Si and Ge on the magnetic phase transition in these materials. Our study shows that the temperature of the phase transition, from paramagnetic to ferromagnetic, can be tuned in the room temperature range without losing giant magnetocaloric properties

  17. Quantitative SIMS analysis of SiGe composition with low energy O2+ beams

    International Nuclear Information System (INIS)

    Jiang, Z.X.; Kim, K.; Lerma, J.; Corbett, A.; Sieloff, D.; Kottke, M.; Gregory, R.; Schauer, S.

    2006-01-01

    This work explored quantitative analyses of SiGe films on either Si bulk or SOI wafers with low energy SIMS by assuming a constant ratio between the secondary ion yields of Si + and Ge + inside SiGe films. SiGe samples with Ge contents ranging from 15 to 65% have been analyzed with a 1 keV O 2 + beam at normal incidence. For comparison, the samples were also analyzed with RBS and/or AES. The Ge content as measured with SIMS, based on a single SiGe/Si or SiGe/SOI standard, exhibited good agreement with the corresponding RBS and AES data. It was concluded that SIMS was capable of providing accurate characterization of the SiGe composition with the Ge content up to 65%

  18. A Passive X-Band Double Balanced Mixer Utilizing Diode Connected SiGe HBTs

    DEFF Research Database (Denmark)

    Michaelsen, Rasmus Schandorph; Johansen, Tom Keinicke; Tamborg, Kjeld

    2013-01-01

    In this paper, a passive double balanced mixer in SiGe HBT technology is presented. Due to lack of suitable passive mixing elements in the technology, the mixing elements are formed by diode connected HBTs. The mixer is optimized for use in doppler radars and is highly linear with 1 dB compressio...

  19. Magnetism in Mn-nanowires and -clusters as δ-doped layers in group IV semiconductors (Si, Ge)

    Science.gov (United States)

    Simov, K. R.; Glans, P.-A.; Jenkins, C. A.; Liberati, M.; Reinke, P.

    2018-01-01

    Mn doping of group-IV semiconductors (Si/Ge) is achieved by embedding nanostructured Mn-layers in group-IV matrix. The Mn-nanostructures are monoatomic Mn-wires or Mn-clusters and capped with an amorphous Si or Ge layer. The precise fabrication of δ-doped Mn-layers is combined with element-specific detection of the magnetic signature with x-ray magnetic circular dichroism. The largest moment (2.5 μB/Mn) is measured for Mn-wires with ionic bonding character and a-Ge overlayer cap; a-Si capping reduces the moment due to variations of bonding in agreement with theoretical predictions. The moments in δ-doped layers dominated by clusters is quenched with an antiferromagnetic component from Mn-Mn bonding.

  20. Structural stability, electronic and magnetic behaviour of spin-polarized YCoVZ (Z = Si, Ge) and YCoTiZ (Z = Si, Ge) Heusler alloys

    Energy Technology Data Exchange (ETDEWEB)

    Rasool, Muhammad Nasir, E-mail: nasir4iub@gmail.com [Department of Physics, The Islamia University of Bahawalpur, Bahawalpur, 63100 (Pakistan); Hussain, Altaf, E-mail: altafiub@yahoo.com [Department of Physics, The Islamia University of Bahawalpur, Bahawalpur, 63100 (Pakistan); Javed, Athar [Department of Physics, University of the Punjab, Lahore, 54590 (Pakistan); Khan, Muhammad Azhar; Iqbal, F. [Department of Physics, The Islamia University of Bahawalpur, Bahawalpur, 63100 (Pakistan)

    2016-11-01

    The structural stability, electronic and magnetic behaviour of YCoVZ (Z = Si, Ge) and YCoTiZ (Z = Si, Ge) Heusler alloys have been studied by first principle approach. Generalized gradient approximation (GGA) based on density functional theory (DFT) has been applied to investigate the properties of quaternary Heusler alloys. The YCoVSi, YCoVGe, YCoTiSi and YCoTiGe Heusler alloys of Type-3 structure are found to be stable in spin-polarized/magnetic phase. The YCoVSi and YCoVGe alloys exhibit nearly spin gapless semiconductor (SGS) behaviour while YCoTiSi and YCoTiGe alloys show half-metallic ferromagnetic (HMF) behaviour. For YCoVSi, YCoVGe, YCoTiSi and YCoTiGe alloys, the calculated energy band gaps in spin down (↓) channel are 0.60, 0.54, 0.68 and 0.44 eV, respectively. The YCoVZ and YCoTiZ alloys are found to have integral value of total magnetic moment (M{sub T}), thus obeying the Slater-Pauling rule, M{sub T} = (N{sub v}–18)μ{sub B}. - Highlights: • Four Heusler alloys i.e. YCoVZ (Z = Si, Ge) and YCoTiZ (Z = Si, Ge) are studied. • Type-3 crystal structure of all four alloys is stable in magnetic phase. • The compressibility (S) follows the order: S{sub YCoVSi} > S{sub YCoTiSi} > S{sub YCoVGe} > S{sub YCoTiGe}. • Half metallic ferromagnetic behaviour is observed in all four alloys. • All four alloys obey the Slater-Pauling rule, M{sub T} = (N{sub v} – 18)μ{sub B}.

  1. Effect of Ge atoms on crystal structure and optoelectronic properties of hydrogenated Si-Ge films

    Science.gov (United States)

    Li, Tianwei; Zhang, Jianjun; Ma, Ying; Yu, Yunwu; Zhao, Ying

    2017-07-01

    Optoelectronic and structural properties of hydrogenated microcrystalline silicon-germanium (μc-Si1-xGex:H) alloys prepared by radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) were investigated. When the Ge atoms were predominantly incorporated in amorphous matrix, the dark and photo-conductivity decreased due to the reduced crystalline volume fraction of the Si atoms (XSi-Si) and the increased Ge dangling bond density. The photosensitivity decreased monotonously with Ge incorporation under higher hydrogen dilution condition, which was attributed to the increase in both crystallization of Ge and the defect density.

  2. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  3. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  4. Silicon and Ge in the deep sea deduced from Si isotope and Ge measurements in giant glass sponges

    Science.gov (United States)

    Jochum, K. P.; Schuessler, J. A.; Haug, G. H.; Andreae, M. O.; Froelich, P. N.

    2016-12-01

    Biogenic silica, such as giant glass spicules of the deep-sea sponge Monorhaphis chuni, is an archive to monitor paleo-Si and -Ge in past seawater. Here we report on Si isotopes and Ge/Si ratios in up to 2.7 m long spicules using LA-(MC)-ICP-MS. Isotope ratios of Si are suitable proxies for Si concentrations in seawater, because Si isotope fractionation into biogenic silica is a function of seawater dissolved Si concentration. The δ30Si values for our specimens range from about - 0.5 ‰ to - 3.6 ‰ and are much lower than modern (>1000 m) seawater δ30Si of about 1.3 ‰. Interestingly, there is a systematic Si isotopic and Ge variation from the rim to the center of the cross sections, which we interpret as seawater paleo-Si and -Ge changes. The lifetime of the giant sponges appears to be between about 6 and 14 ka. These age estimates were obtained by comparing our analytical data with various paleo-markers of the glacial-interglacial termination. Thus, the entire Holocene and the end of the last glacial period are contained in the oldest giant spicules. The derived Si and Ge seawater concentrations are ca. 12 % higher and 20 % lower, respectively, during the late glacial than at present. Possible explanations for changing Si, Ge and Ge/Si during the deglaciation could be changes in riverine, glacial, and/or eolian deliveries of silica to the oceans and changes in marine sedimentary reverse weathering, which removes Ge into marine sediments during opal dissolution and diagenesis.

  5. Hydrogen interaction kinetics of Ge dangling bonds at the Si0.25Ge0.75/SiO2 interface

    International Nuclear Information System (INIS)

    Stesmans, A.; Nguyen Hoang, T.; Afanas'ev, V. V.

    2014-01-01

    The hydrogen interaction kinetics of the GeP b1 defect, previously identified by electron spin resonance (ESR) as an interfacial Ge dangling bond (DB) defect occurring in densities ∼7 × 10 12  cm −2 at the SiGe/SiO 2 interfaces of condensation grown (100)Si/a-SiO 2 /Ge 0.75 Si 0.25 /a-SiO 2 structures, has been studied as function of temperature. This has been carried out, both in the isothermal and isochronal mode, through defect monitoring by capacitance-voltage measurements in conjunction with ESR probing, where it has previously been demonstrated the defects to operate as negative charge traps. The work entails a full interaction cycle study, comprised of analysis of both defect passivation (pictured as GeP b1 -H formation) in molecular hydrogen (∼1 atm) and reactivation (GeP b1 -H dissociation) in vacuum. It is found that both processes can be suitably described separately by the generalized simple thermal (GST) model, embodying a first order interaction kinetics description based on the basic chemical reactions GeP b1  + H 2  → GeP b1 H + H and GeP b1 H → GeP b1  + H, which are found to be characterized by the average activation energies E f  = 1.44 ± 0.04 eV and E d  = 2.23 ± 0.04 eV, and attendant, assumedly Gaussian, spreads σE f  = 0.20 ± 0.02 eV and σE d  = 0.15 ± 0.02 eV, respectively. The substantial spreads refer to enhanced interfacial disorder. Combination of the separately inferred kinetic parameters for passivation and dissociation results in the unified realistic GST description that incorporates the simultaneous competing action of passivation and dissociation, and which is found to excellently account for the full cycle data. For process times t a  ∼ 35 min, it is found that even for the optimum treatment temperature ∼380 °C, only ∼60% of the GeP b1 system can be electrically silenced, still far remote from device grade level. This

  6. Narrow photoluminescence peak from Ge(Si) islands embedded between tensile-strained Si layers

    Energy Technology Data Exchange (ETDEWEB)

    Shaleev, Mikhail; Novikov, Alexey; Baydakova, Nataliya; Yablonskiy, Artem; Drozdov, Yuriy; Lobanov, Dmitriy; Krasilnik, Zakhary [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Kuznetsov, Oleg [Physical-Technical Research Institute, Nizhny Novgorod State University, pr. Gagarina 23, 603950 Nizhny Novgorod (Russian Federation)

    2011-03-15

    The influence of thickness of the strained Si layers, measurement temperature and optical pumping power on width of the photoluminescence line from Ge(Si) self-assembled nanoislands grown on relaxed SiGe/Si(001) buffer layers and embedded between tensile-stained Si layers was studied. This line appears due to the II-type optical transition between the holes localized in islands and the electrons confined in tensile-strained Si layers under and above the islands. The possibility of tuning the photoluminescence line width by changing the strained Si layer thicknesses under and above the islands is showed. The decrease of the photoluminescence line width from Ge(Si) islands down to values comparable with width of the PL line from InAs/GaAs quantum dots was achieved due to the quantum confinement of electrons in thin strained Si layers and taking into account of the higher diffusion-induced smearing of strained Si layer above the islands. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Local atomic structure and chemical order in amorphous SiGe:H and SiC:H alloys

    International Nuclear Information System (INIS)

    Pisarkiewicz, T.; Stapinski, T.

    1994-01-01

    The local structure and chemical ordering in amorphous hydrogenated silicon-germanium and silicon-carbon alloys were analyzed mainly with the help of extended x-ray absorption fine structure (EXAFS) spectroscopy, Raman scattering and electron diffraction. Ge-Ge and Ge-Si distances were found to be independent of concentration and the composition of the first coordination shell around Ge is consistent with a random mixing of the two species in a-Si 1-x Ge:H alloy. The first-coordination-shell average bond lengths for Si-Si and SiC in a-Si 1-x C x :H are also constant with concentration x and the comparison of the first coordination shell composition around Si with average concentration indicates that the alloys tends to be chemically ordered. The degree of crystallinity in microcrystalline Si films determined by EXAFS is in agreement with that obtained in Raman scattering analysis. (author). 16 refs, 5 figs

  8. SiGe derivatization by spontaneous reduction of aryl diazonium salts

    Science.gov (United States)

    Girard, A.; Geneste, F.; Coulon, N.; Cardinaud, C.; Mohammed-Brahim, T.

    2013-10-01

    Germanium semiconductors have interesting properties for FET-based biosensor applications since they possess high surface roughness allowing the immobilization of a high amount of receptors on a small surface area. Since SiGe combined low cost of Si and intrinsic properties of Ge with high mobility carriers, we focused the study on this particularly interesting material. The comparison of the efficiency of a functionalization process involving the spontaneous reduction of diazonium salts is studied on Si(1 0 0), SiGe and Ge semiconductors. XPS analysis of the functionalized surfaces reveals the presence of a covalent grafted layer on all the substrates that was confirmed by AFM. Interestingly, the modified Ge derivatives have still higher surface roughness after derivatization. To support the estimated thickness by XPS, a step measurement of the organic layers is done by AFM or by profilometer technique after a O2 plasma etching of the functionalized layer. This original method is well-adapted to measure the thickness of thin organic films on rough substrates such as germanium. The analyses show a higher chemical grafting on SiGe substrates compared with Si and Ge semiconductors.

  9. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001) substrates.

    Science.gov (United States)

    Zhong, Zhenyang; Gong, Hua; Ma, Yingjie; Fan, Yongliang; Jiang, Zuimin

    2011-04-11

    : Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001) substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications.PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps.

  10. A promising routine to fabricate GeSi nanowires via self-assembly on miscut Si (001 substrates

    Directory of Open Access Journals (Sweden)

    Zhong Zhenyang

    2011-01-01

    Full Text Available Abstract Very small and compactly arranged GeSi nanowires could self-assembled on vicinal Si (001 substrates with ~8° off toward ⟨110⟩ during Ge deposition. The nanowires were all oriented along the miscut direction. The small ration of height over width of the nanowire indicated that the nanowires were bordered partly with {1 0 5} facets. These self-assembled small nanowires were remarkably influenced by the growth conditions and the miscut angle of substrates in comparison with large dome-like islands obtained after sufficient Ge deposition. These results proposed that the formation of the nanowire was energetically driven under growth kinetic assistance. Three-dimensionally self-assembled GeSi nanowires were first realized via multilayer Ge growth separated with Si spacers. These GeSi nanowires were readily embedded in Si matrix and compatible with the sophisticated Si technology, which suggested a feasible strategy to fabricate nanowires for fundamental studies and a wide variety of applications. PACS: 81.07.Gf, 81.16.Dn, 68.65.-k, 68.37.Ps

  11. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  12. Ge nanocrystals embedded in ultrathin Si3N4 multilayers with SiO2 barriers

    Science.gov (United States)

    Bahariqushchi, R.; Gundogdu, Sinan; Aydinli, A.

    2017-04-01

    Multilayers of germanium nanocrystals (NCs) embedded in thin films of silicon nitride matrix separated with SiO2 barriers have been fabricated using plasma enhanced chemical vapor deposition (PECVD). SiGeN/SiO2 alternating bilayers have been grown on quartz and Si substrates followed by post annealing in Ar ambient from 600 to 900 °C. High resolution transmission electron microscopy (HRTEM) as well as Raman spectroscopy show good crystallinity of Ge confined to SiGeN layers in samples annealed at 900 °C. Strong compressive stress for SiGeN/SiO2 structures were observed through Raman spectroscopy. Size, as well as NC-NC distance were controlled along the growth direction for multilayer samples by varying the thickness of bilayers. Visible photoluminescence (PL) at 2.3 and 3.1 eV with NC size dependent intensity is observed and possible origin of PL is discussed.

  13. Magnetism in Mn-nanowires and -clusters as δ-doped layers in group IV semiconductors (Si, Ge

    Directory of Open Access Journals (Sweden)

    K. R. Simov

    2018-01-01

    Full Text Available Mn doping of group-IV semiconductors (Si/Ge is achieved by embedding nanostructured Mn-layers in group-IV matrix. The Mn-nanostructures are monoatomic Mn-wires or Mn-clusters and capped with an amorphous Si or Ge layer. The precise fabrication of δ-doped Mn-layers is combined with element-specific detection of the magnetic signature with x-ray magnetic circular dichroism. The largest moment (2.5 μB/Mn is measured for Mn-wires with ionic bonding character and a-Ge overlayer cap; a-Si capping reduces the moment due to variations of bonding in agreement with theoretical predictions. The moments in δ-doped layers dominated by clusters is quenched with an antiferromagnetic component from Mn–Mn bonding.

  14. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Directory of Open Access Journals (Sweden)

    Quanlong Liu

    Full Text Available Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001 substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM. The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  15. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Science.gov (United States)

    Liu, Quanlong; Zhao, Chunwang; Su, Shaojian; Li, Jijun; Xing, Yongming; Cheng, Buwen

    2013-01-01

    Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001) substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM). The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  16. Towards rhombohedral SiGe epitaxy on 150mm c-plane sapphire substrates

    Science.gov (United States)

    Duzik, Adam J.; Park, Yeonjoon; Choi, Sang H.

    2015-04-01

    Previous work demonstrated for the first time the ability to epitaxially grow uniform single crystal diamond cubic SiGe (111) films on trigonal sapphire (0001) substrates. While SiGe (111) forms two possible crystallographic twins on sapphire (0001), films consisting primarily of one twin were produced on up to 99.95% of the total wafer area. This permits new bandgap engineering possibilities and improved group IV based devices that can exploit the higher carrier mobility in Ge compared to Si. Models are proposed on the epitaxy of such dissimilar crystal structures based on the energetic favorability of crystallographic twins and surface reconstructions. This new method permits Ge (111) on sapphire (0001) epitaxy, rendering Ge an economically feasible replacement for Si in some applications, including higher efficiency Si/Ge/Si quantum well solar cells. Epitaxial SiGe films on sapphire showed a 280% increase in electron mobility and a 500% increase in hole mobility over single crystal Si. Moreover, Ge possesses a wider bandgap for solar spectrum conversion than Si, while the transparent sapphire substrate permits an inverted device structure, increasing the total efficiency to an estimated 30-40%, much higher than traditional Si solar cells. Hall Effect mobility measurements of the Ge layer in the Si/Ge/Si quantum well structure were performed to demonstrate the advantage in carrier mobility over a pure Si solar cell. Another application comes in the use of microelectromechanical devices technology, where high-resistivity Si is currently used as a substrate. Sapphire is a more resistive substrate and offers better performance via lower parasitic capacitance and higher film carrier mobility over the current Si-based technology.

  17. Formation of the distributed NiSiGe nanocrystals nonvolatile memory formed by rapidly annealing in N2 and O2 ambient

    International Nuclear Information System (INIS)

    Hu, Chih-Wei; Chang, Ting-Chang; Tu, Chun-Hao; Chiang, Cheng-Neng; Lin, Chao-Cheng; Chen, Min-Chen; Chang, Chun-Yen; Sze, Simon M.; Tseng, Tseung-Yuen

    2010-01-01

    In this work, electrical characteristics of the Ge-incorporated Nickel silicide (NiSiGe) nanocrystals memory device formed by the rapidly thermal annealing in N 2 and O 2 ambient have been studied. The trapping layer was deposited by co-sputtering the NiSi 2 and Ge, simultaneously. Transmission electron microscope results indicate that the NiSiGe nanocrystals were formed obviously in both the samples. The memory devices show obvious charge-storage ability under capacitance-voltage measurement. However, it is found that the NiSiGe nanocrystals device formed by annealing in N 2 ambient has smaller memory window and better retention characteristics than in O 2 ambient. Then, related material analyses were used to confirm that the oxidized Ge elements affect the charge-storage sites and the electrical performance of the NCs memory.

  18. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  19. Temperature dependence of the interband critical points of bulk Ge and strained Ge on Si

    Science.gov (United States)

    Fernando, Nalin S.; Nunley, T. Nathan; Ghosh, Ayana; Nelson, Cayla M.; Cooke, Jacqueline A.; Medina, Amber A.; Zollner, Stefan; Xu, Chi; Menendez, Jose; Kouvetakis, John

    2017-11-01

    Epitaxial Ge layers on a Si substrate experience a tensile biaxial stress due to the difference between the thermal expansion coefficients of the Ge epilayer and the Si substrate, which can be measured using asymmetric X-ray diffraction reciprocal space maps. This stress depends on temperature and affects the band structure, interband critical points, and optical spectra. This manuscripts reports careful measurements of the temperature dependence of the dielectric function and the interband critical point parameters of bulk Ge and Ge epilayers on Si using spectroscopic ellipsometry from 80 to 780 K and from 0.8 to 6.5 eV. The authors find a temperature-dependent redshift of the E1 and E1 + Δ1 critical points in Ge on Si (relative to bulk Ge). This redshift can be described well with a model based on thermal expansion coefficients, continuum elasticity theory, and the deformation potential theory for interband transitions. The interband transitions leading to E0‧ and E2 critical points have lower symmetry and therefore are not affected by the stress.

  20. Interfacial sharpness and intermixing in a Ge-SiGe multiple quantum well structure

    Science.gov (United States)

    Bashir, A.; Gallacher, K.; Millar, R. W.; Paul, D. J.; Ballabio, A.; Frigerio, J.; Isella, G.; Kriegner, D.; Ortolani, M.; Barthel, J.; MacLaren, I.

    2018-01-01

    A Ge-SiGe multiple quantum well structure created by low energy plasma enhanced chemical vapour deposition, with nominal well thickness of 5.4 nm separated by 3.6 nm SiGe spacers, is analysed quantitatively using scanning transmission electron microscopy. Both high angle annular dark field imaging and electron energy loss spectroscopy show that the interfaces are not completely sharp, suggesting that there is some intermixing of Si and Ge at each interface. Two methods are compared for the quantification of the spectroscopy datasets: a self-consistent approach that calculates binary substitutional trends without requiring experimental or computational k-factors from elsewhere and a standards-based cross sectional calculation. Whilst the cross section approach is shown to be ultimately more reliable, the self-consistent approach provides surprisingly good results. It is found that the Ge quantum wells are actually about 95% Ge and that the spacers, whilst apparently peaking at about 35% Si, contain significant interdiffused Ge at each side. This result is shown to be not just an artefact of electron beam spreading in the sample, but mostly arising from a real chemical interdiffusion resulting from the growth. Similar results are found by use of X-ray diffraction from a similar area of the sample. Putting the results together suggests a real interdiffusion with a standard deviation of about 0.87 nm, or put another way—a true width defined from 10%-90% of the compositional gradient of about 2.9 nm. This suggests an intrinsic limit on how sharp such interfaces can be grown by this method and, whilst 95% Ge quantum wells (QWs) still behave well enough to have good properties, any attempt to grow thinner QWs would require modifications to the growth procedure to reduce this interdiffusion, in order to maintain a composition of ≥95% Ge.

  1. Phase analysis and magnetocaloric properties of Zr substituted Gd-Si-Ge alloys

    International Nuclear Information System (INIS)

    Prabahar, K.; Raj Kumar, D.M.; Manivel Raja, M.; Chandrasekaran, V.

    2011-01-01

    The structure, microstructure, magneto-structural transition and magnetocaloric effect have been investigated in series of (Gd 5-x Zr x )Si 2 Ge 2 alloys with 0≤x≥0.20. X-ray powder diffraction analysis revealed the presence of orthorhombic structure for Zr containing alloys at room temperature in contrast to the monoclinic structure observed in the parent Gd 5 Si 2 Ge 2 alloy. The microstructural studies reveal that, low Zr addition (x≤0.1) resulted in low volume fraction of detrimental Gd 5 Si 3 -type secondary phase compared to that present in the parent alloy. All the Zr containing alloys have shown the presence of only second order magnetic transition unlike the parent alloy showing both first order structural and second order magnetic transition. A moderate (ΔS) M value of -5.5 J/kg K was obtained for the x=0.05 alloy at an enhanced operating temperature of 292 K compared to -7.8 J/kg K at 274 K of the parent alloy for an applied field of 2 T. The interesting feature of Zr (x=0.05) containing alloy is the wide operating temperature range of ∼25 K than that of ∼10-12 K for the parent, which resulted in enhanced net refrigerant capacity of 103 J/kg compared to that of 53 J/kg for the parent alloy. - Research highlights: → Zr addition in Gd 5 Si 2 Ge 2 alloy has been investigated for the first time to reduce the 5:3-type (Gd 5 Si 3 ) secondary phase formed when using commercial grade elements in Gd 5 Si 2 Ge 2 alloy. → It is interesting to observe that Zr addition decrease the volume fraction 5:3. → The refrigerator capacity and transition temperature of Zr added alloy is greater than the pure Gd 5 Si 2 Ge 2 which makes this alloy promising for room temperature application.

  2. On the Potential Application of the Wrinkled SiGe/SiGe Nanofilms

    Czech Academy of Sciences Publication Activity Database

    Fedorchenko, Alexander I.; Cheng, H. H.; Wang, W.; Ch.

    2016-01-01

    Roč. 6, č. 2 (2016), s. 19-23 ISSN 2160-049X Institutional support: RVO:61388998 Keywords : wrinkled SiGe nanofilms * terahertz radiation * terahertz gap Subject RIV: BE - Theoretical Physics http://www.scirp.org/journal/wjm,http://dx.doi.org/10.4236/wjm.2016.62003

  3. Electrical characterisation of SiGe heterojunction bipolar transistors and Si pseudo-HBTS

    Science.gov (United States)

    De Barros, O.; Le Tron, B.; Woods, R. C.; Giroult-Matlakowski, G.; Vincent, G.; Brémond, G.

    1996-08-01

    This paper reports an electrical characterisation of the emitter-base junction of Si pseudo-HBTs and SiGe HBTs fabricated in a CMOS compatible single polysilicon self-aligned process. From the reverse characteristics it appears that the definition of the emitter-base junction by plasma etching induces peripheral defects that increase the base current of the transistors. Deep level transient spectroscopy measurements show a deep level in the case of SiGe base, whose spatial origin is not fully determinate up to now.

  4. Giant magnetocaloric effect in Gd5(Si2Ge2 alloy with low purity Gd

    Directory of Open Access Journals (Sweden)

    Cleber Santiago Alves

    2004-12-01

    Full Text Available Gd5(Ge1-xSi x, x < 4 based alloys are potential candidates for magnetic refrigeration in the range ~20 - ~290 K. However, one of the greatest obstacles for the use of that technology in large scale is the utilization of high pure Gd metal (99.99 wt. (% to produce the GdGeSi alloys, since the impurity elements decrease the intensity of the magnetocaloric effect (EMC¹. In this work, we prove that annealing of the Gd5Ge2Si2 can promote remarkable values for the EMC in comparison to those obtained for the alloy with high pure Gd. Also, the as cast alloy and the annealed alloy are not monophasic, but have at least two crystalline phases in their microstructure. Results for X-ray analysis, optical and electronic microscopy and magnetization measurements are reported.

  5. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    During the past two decades, the decrease in intrinsic delay of MOSFETs has been driven by the scaling of the device dimensions. The performance improvement has relied mostly in the increase of source velocity with gate scaling, while the transport properties of the channel have remained constant, i.e., those of conventional Si. Starting at the 90 nm node, uniaxial strain has been introduced in the transistor channel in order to further increase the source velocity. Beyond the 32 nm node, novel channel materials, with superior carrier velocities, and novel device architectures are required in order to continue the performance enhancement of MOSFETs while preserving the electrostatic control. In this Thesis, different physical aspects of strained Si and SiGe materials are investigated as a mean to increase carrier velocity in MOSFET channels. Novel approaches for the fabrication of strained Si based on ion implantation and anneal induced relaxation of virtual substrates are developed. The strain relaxation of SiGe layers is improved using a buried thin Si:C layer in the Si(100) substrate. Further, a Si{sup +} ion implantation and annealing method is investigated for relaxing virtual substrates using lower implantation dose. Finally, the uniaxial relaxation of {l_brace}110{r_brace} surface oriented substrates is demonstrated using a He ion implantation and anneal technique. Apart of channel material studies, the fundamental and technological challenges involved in the integration of strained Si and SiGe into MOSFETs are assessed. The impact of source and drain formation on the elastic strain and electrical properties of strained Si layers and nanowires is examined. Also, the formation of ultra-shallow junction in strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI heterostructures is investigated using different types of ion implanted specie and annealing. The results show that BF{sup +}{sub 2} implantation and low temperature annealing are suitable approaches for

  6. Heteroepitaxial Ge-on-Si by DC magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Martin Steglich

    2013-07-01

    Full Text Available The growth of Ge on Si(100 by DC Magnetron Sputtering at various temperatures is studied by Spectroscopic Ellipsometry and Transmission Electron Microscopy. Smooth heteroepitaxial Ge films are prepared at relatively low temperatures of 380°C. Typical Stransky-Krastanov growth is observed at 410°C. At lower temperatures (320°C, films are essentially amorphous with isolated nanocrystallites at the Si-Ge interface. A minor oxygen contamination at the interface, developing after ex-situ oxide removal, is not seen to hinder epitaxy. Compensation of dislocation-induced acceptors in Ge by sputtering from n-doped targets is proposed.

  7. First principles-based adsorption comparison of group IV elements (C, Si, Ge, and Sn) on Au(111)/Ag(111) surface

    International Nuclear Information System (INIS)

    Chakraborty, Sudip; Rajesh, Ch.

    2012-01-01

    We have reported a first-principle investigation of the structural properties of monomer and dimer for group IV elements (C, Si, Ge, and Sn) adsorbed on the Au(111) and Ag(111) surfaces. The calculations were performed by means of a plane wave based pseudopotential method under the framework of density functional theory. The results reveal the preference of adatom to be adsorbed on the hexagonal closed packed site of the metal (111) surfaces with strong binding energy. The structures introduce interlayer forces in the adsorbate. The strong bonding with the surface atoms is a result of p–d hybridization. The adsorption energy follows a sequence as one goes down in the group IV elements which imply that the interaction of the group IV elements with Au/Ag is decreasing as the atomic number increases.

  8. Properties of laser-crystallized polycrystalline SiGe thin films

    Energy Technology Data Exchange (ETDEWEB)

    Weizman, Moshe

    2008-06-06

    In this thesis, structural, electrical, and optical properties of laser-crystallized polycrystalline Si{sub 1-x}Ge{sub x} thin films with 0Si{sub 1-x}Ge{sub x} thin films with 0.3SiGe samples that are exposed to a single laser pulse exhibit a ripple structure that evolves into a hillock structure when the samples are irradiated with additional laser pulses. - It is maintained that the main mechanism behind the structure formation is an instability of the propagating solid-liquid interface during solidification. - The study of defects with electron spin resonance showed that laser-crystallized poly-Si{sub 1-x}Ge{sub x} thin films with 0Ge content. The defect density for solid-phase crystallized SiGe films was lower and amounted to N{sub s}=7 x 10{sup 17} cm{sup -3}. - Germanium-rich laser-crystallized poly-SiGe thin films exhibited mostly a broad atypical electric dipole spin resonance (EDSR) signal that was accompanied by a nearly temperature-independent electrical conductivity in the range 20-100 K. - Most likely, the origin of the grain boundary conductance is due to dangling-bond defects and not impurities. Metallic-like conductance occurs when the dangling-bond defect density is above a critical value of about N{sub C} {approx} 10{sup 18} cm{sup -3}. - Laser crystallized poly-Si{sub 1-x}Ge{sub x} thin films with x{>=}0.5 exhibit optical absorption behavior that is characteristic for disordered SiGe, implying that the absorption occurs primarily at the grain boundaries. A sub-band-gap absorption peak was found for

  9. Ge nanocrystals formed by furnace annealing of Ge(x)[SiO2](1-x) films: structure and optical properties

    Science.gov (United States)

    Volodin, V. A.; Cherkov, A. G.; Antonenko, A. Kh; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2017-07-01

    Ge(x)[SiO2](1-x) (0.1  ⩽  x  ⩽  0.4) films were deposited onto Si(0 0 1) or fused quartz substrates using co-evaporation of both Ge and SiO2 in high vacuum. Germanium nanocrystals were synthesized in the SiO2 matrix by furnace annealing of Ge x [SiO2](1-x) films with x  ⩾  0.2. According to electron microscopy and Raman spectroscopy data, the average size of the nanocrystals depends weakly on the annealing temperature (700, 800, or 900 °C) and on the Ge concentration in the films. Neither amorphous Ge clusters nor Ge nanocrystals were observed in as-deposited and annealed Ge0.1[SiO2]0.9 films. Infrared absorption spectroscopy measurements show that the studied films do not contain a noticeable amount of GeO x clusters. After annealing at 900 °C intermixing of germanium and silicon atoms was still negligible thus preventing the formation of GeSi nanocrystals. For annealed samples, we report the observation of infrared photoluminescence at low temperatures, which can be explained by exciton recombination in Ge nanocrystals. Moreover, we report strong photoluminescence in the visible range at room temperature, which is certainly due to Ge-related defect-induced radiative transitions.

  10. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  11. Shaping Ge islands on Si(001) surfaces with misorientation angle.

    Science.gov (United States)

    Persichetti, L; Sgarlata, A; Fanfoni, M; Balzarotti, A

    2010-01-22

    A complete description of Ge growth on vicinal Si(001) surfaces in the angular miscut range 0 degrees -8 degrees is presented. The key role of substrate vicinality is clarified from the very early stages of Ge deposition up to the nucleation of 3D islands. By a systematic scanning tunneling microscopy investigation we are able to explain the competition between step-flow growth and 2D nucleation and the progressive elongation of the 3D islands along the miscut direction [110]. Using finite element calculations, we find a strict correlation between the morphological evolution and the energetic factors which govern the {105} faceting at atomic scale.

  12. SiGe-based re-engineering of electronic warfare subsystems

    CERN Document Server

    Lambrechts, Wynand

    2017-01-01

    This book equips readers with a thorough understanding of the applicability of new-generation silicon-germanium (SiGe) electronic subsystems for the military purposes of electronic warfare and defensive countermeasures. The theoretical and technical background is extensively explained and all aspects of the integration of SiGe as an enabling technology for maritime, land, and airborne (including space) electronic warfare are addressed, including research, design, development, and implementation. The coverage is supported by mathematical derivations, informative illustrations, practical examples, and case studies. While SiGe technology provides speed, performance, and price advantages in many markets, sharing of information on its use in electronic warfare systems has to date been limited, especially in developing nations. This book will therefore be warmly welcomed as an engineering guideline that focuses especially on the speed and reliability of current-generation SiGe circuits and highlights emerging innov...

  13. C and Si delta doping in Ge by CH_3SiH_3 using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Mai, Andreas; Tillack, Bernd

    2016-01-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH_3SiH_3 is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H_2 or N_2 carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N_2 as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH_3SiH_3 is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H_2 as carrier gas, lower incorporated C is observed in comparison to Si. CH_3SiH_3 injected with H_2 carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N_2 at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH_3SiH_3 exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH_3SiH_3 adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  14. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  15. Heavy ion recoil spectrometry of Si{sub x}Ge{sub 1-x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Walker, S.R.; Johnston, P.N.; Bubb, I.F. [Royal Melbourne Inst. of Tech., VIC (Australia); Cohen, D.D.; Dytlewski, N. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Hult, M.; Whitlow, H.J. [Lund Institute of Technology, Solvegatan (Sweden). Department of Nuclear Physics; Zaring, C.; Oestling, M. [Royal Inst. of Tech., Stockholm (Sweden). Dept. of Solid State Electronics

    1993-12-31

    Mass and energy dispersive recoil spectrometry employing 77 MeV {sup 127}I ions from ANTARES (FN Tandem) facility at Lucas Heights has been used to examine the isotopic composition of samples of Si{sub x}Ge{sub 1-x} grown at the Australian National University by Electron Beam Evaporation (EBE). The recoiling target nuclei were analysed by a Time Of Flight and Energy (TOF-E) detector telescope composed of two timing pickoff detectors and a surface barrier (energy) detector. From the time of flight and energy, the ion mass can be determined and individual depth distributions for each element can be obtained. Recoil spectrometry has shown the presence of oxygen in the Si{sub x}Ge{sub 1-x} layer and has enabled the separate determination of energy spectra for individual elements. 9 refs., 3 figs.

  16. Heavy ion recoil spectrometry of Si{sub x}Ge{sub 1-x} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Walker, S R; Johnston, P N; Bubb, I F [Royal Melbourne Inst. of Tech., VIC (Australia); Cohen, D D; Dytlewski, N [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia); Hult, M; Whitlow, H J [Lund Institute of Technology, Solvegatan (Sweden). Department of Nuclear Physics; Zaring, C; Oestling, M [Royal Inst. of Tech., Stockholm (Sweden). Dept. of Solid State Electronics

    1994-12-31

    Mass and energy dispersive recoil spectrometry employing 77 MeV {sup 127}I ions from ANTARES (FN Tandem) facility at Lucas Heights has been used to examine the isotopic composition of samples of Si{sub x}Ge{sub 1-x} grown at the Australian National University by Electron Beam Evaporation (EBE). The recoiling target nuclei were analysed by a Time Of Flight and Energy (TOF-E) detector telescope composed of two timing pickoff detectors and a surface barrier (energy) detector. From the time of flight and energy, the ion mass can be determined and individual depth distributions for each element can be obtained. Recoil spectrometry has shown the presence of oxygen in the Si{sub x}Ge{sub 1-x} layer and has enabled the separate determination of energy spectra for individual elements. 9 refs., 3 figs.

  17. Ion beam analysis of the dry thermal oxidation of thin polycrystalline SiGe films

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Nanoparticles of Ge embedded in a formed dielectric matrix appear as very promising systems for electronic and photonic applications. We present here an exhaustive characterization of the oxidation process of polycrystalline SiGe layers from the starting of its oxidation process to the total oxidation of it. We have characterized the process by RBS, FTIR and Raman spectroscopy, showing the necessity to use different techniques in order to get a full view of the process. First the Si-Si and Si-Ge bonds are oxidized growing SiO 2 , and Ge segregates from the SiO 2 . As soon as all Si is oxidized GeO 2 is growing gradually. RBS has demonstrated to be very useful to characterize the SiO 2 and the remaining non-oxidized poly-SiGe layer thickness, as well as for the determination of the Ge fraction, where the high sensitivity of this technique allows to explore its whole range. On the other hand, for the reliable determination of the GeO 2 thickness, information on the amount of Ge-O bonding had to be obtained from FTIR spectra. Raman spectroscopy yields detailed information about the oxidation processes for different bonds (Si-Si, Si-Ge, Ge-Ge)

  18. Enhanced Emission of Quantum System in Si-Ge Nanolayer Structure.

    Science.gov (United States)

    Huang, Zhong-Mei; Huang, Wei-Qi; Dong, Tai-Ge; Wang, Gang; Wu, Xue-Ke

    2016-12-01

    It is very interesting that the enhanced peaks near 1150 and 1550 nm are observed in the photoluminescence (PL) spectra in the quantum system of Si-Ge nanolayer structure, which have the emission characteristics of a three-level system with quantum dots (QDs) pumping and emission of quasi-direct-gap band, in our experiment. In the preparing process of Si-Ge nanolayer structure by using a pulsed laser deposition method, it is discovered that the nanocrystals of Si and Ge grow in the (100) and (111) directions after annealing or electron beam irradiation. The enhanced PL peaks with multi-longitudinal-mode are measured at room temperature in the super-lattice of Si-Ge nanolayer quantum system on SOI.

  19. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    Science.gov (United States)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  20. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  1. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    Science.gov (United States)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  2. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M.C.; Elliman, R.G.; Rao, M.R. [Australian National Univ., Canberra, ACT (Australia); Baribeau, J.M. [National Research Council of Canada, Ottawa, ON (Canada)

    1993-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  3. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M C; Elliman, R G; Rao, M R [Australian National Univ., Canberra, ACT (Australia); Baribeau, J M [National Research Council of Canada, Ottawa, ON (Canada)

    1994-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  4. Structure of eutectic alloys of Au with Si and Ge

    Energy Technology Data Exchange (ETDEWEB)

    Takeda, S. [Faculty of Sciences, Kyushu University, 4-2-1, Ropponmatsu, Fukuoka 810-8560 (Japan)], E-mail: takeda@rc.kyushu-u.ac.jp; Fujii, H. [Graduate School of Sciences, Kyushu University, 4-2-1, Ropponmatsu, Fukuoka 810-8560 (Japan); Kawakita, Y. [Faculty of Sciences, Kyushu University, 4-2-1, Ropponmatsu, Fukuoka 810-8560 (Japan); Tahara, S.; Nakashima, S. [Graduate School of Sciences, Kyushu University, 4-2-1, Ropponmatsu, Fukuoka 810-8560 (Japan); Kohara, S.; Itou, M. [Japan Synchrotron Radiation Research Institute, 1-1-1 Koto Sayo-cho, Sayo Hyogo 679-5198 (Japan)

    2008-03-06

    Au-Si and Au-Ge alloy systems have a deep eutectic point in the Au-rich concentration region where the melting point falls down to 633 K. In order to investigate the liquid structure in relation to the glass-forming tendency of these alloys, high-energy X-ray diffraction measurements have been carried out at the eutectic composition and at compositions with excess amounts of Au or IVb element. The nearest neighbor correlations in the eutectic liquids are intense and sharp in the pair distribution function and exhibit a rather small temperature dependence in comparison with those alloys of other than the eutectic composition. Structural models for these liquid alloys are proposed with the aid of reverse Monte Carlo simulation. The reproduced atomic arrangements around the eutectic region exhibit a substitutional-type structure where the dense random packing of Au atoms is preserved and Si or Ge atoms occupy the Au-sites at random.

  5. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    Science.gov (United States)

    Prakash, A. P. Gnana; Praveen, K. C.; Pushpa, N.; Cressler, John D.

    2015-05-01

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to 60Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  6. The reliability studies of nano-engineered SiGe HBTs using Pelletron accelerator

    Energy Technology Data Exchange (ETDEWEB)

    Prakash, A. P. Gnana, E-mail: gnanaprakash@physics.uni-mysore.ac.in; Praveen, K. C. [Department of Studies in Physics, University of Mysore, Manasagangotri, Mysore, Karnataka-570006 (India); Pushpa, N. [Department of PG Studies in Physics, JSS College, Ooty Road, Mysore-570025 (India); Cressler, John D. [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA, 30332 (United States)

    2015-05-15

    The effects of high energy ions on the electrical characteristics of silicon-germanium heterojunction bipolar transistors (SiGe HBTs) were studied in the total dose of ranging from 600 krad to 100 Mrad (Si). The two generations (50 GHz and 200 GHz) of SiGe HBTs were exposed to 50 MeV lithium, 75 MeV boron and 100 MeV oxygen ions. The electrical characteristics of SiGe HBTs were studied before and after irradiation. The SiGe HBTs were exposed to {sup 60}Co gamma radiation in the same total dose. The results are systematically compared in order to understand the interaction of ions and ionizing radiation with SiGe HBTs.

  7. Comparative study of the luminescence of structures with Ge nanocrystals formed by dry and wet oxidation of SiGe films

    International Nuclear Information System (INIS)

    RodrIguez, A; Ortiz, M I; Sangrador, J; RodrIguez, T; Avella, M; Prieto, A C; Torres, A; Jimenez, J; Kling, A; Ballesteros, C

    2007-01-01

    The luminescence emission of structures containing Ge nanocrystals embedded in a dielectric matrix obtained by dry and wet oxidation of polycrystalline SiGe layers has been studied as a function of the oxidation time and initial SiGe layer thickness. A clear relationship between the intensity of the luminescence, the structure of the sample, the formation of Ge nanocrystals and the oxidation process parameters that allows us to select the appropriate process conditions to get the most efficient emission has been established. The evolution of the composition and thickness of the growing oxides and the remaining SiGe layer during the oxidation processes has been characterized using Raman spectroscopy, x-ray diffraction, Fourier-transform infrared spectroscopy, Rutherford backscattering spectrometry and transmission electron microscopy. For dry oxidation, the luminescence appears suddenly, regardless of the initial SiGe layer thickness, when all the Si of the SiGe has been oxidized and the remaining layer of the segregated Ge starts to be oxidized forming Ge nanocrystals. Luminescence is observed as long as Ge nanocrystals are present. For wet oxidation, the luminescence appears from the first stages of the oxidation, and is related to the formation of Ge-rich nanoclusters trapped in the mixed (Si and Ge) growing oxide. A sharp increase of the luminescence intensity for long oxidation times is also observed, due to the formation of Ge nanocrystals by the oxidation of the layer of segregated Ge. For both processes the luminescence is quenched when the oxidation time is long enough to cause the full oxidation of the Ge nanocrystals. The intensity of the luminescence in the dry oxidized samples is about ten times higher than in the wet oxidized ones for equal initial thickness of the SiGe layer

  8. Structural transition in Ge growth on Si mediated by sub-monolayer carbon

    International Nuclear Information System (INIS)

    Itoh, Yuhki; Hatakeyama, Shinji; Washio, Katsuyoshi

    2014-01-01

    Ge growth on Si mediated by sub-monolayer (ML) carbon (C) covered directly on Si surface was studied. C and Ge layers were grown on Si(100) substrates by using solid-source molecular beam epitaxy system. After Si surface cleaning by heating up to 900 °C, C up to 0.45 ML was deposited and then 10 to 15-nm-thick Ge were deposited. Reflection high energy electron diffraction patterns after sub-ML C deposition changed from streaks to halo depending on C coverage. The Ge dots were formed at low C coverage of 0.08–0.16 ML. Octagonal dots had three same facet planes of (001), (111), and (113) and consisted of the mixture of single crystals with dislocations along [111]. This is due to the event that the incorporation of small amount of C into Si surface gave rise to a strain. As a result, Si surface weaved Si(100) 2 × 1 with Si-C c(4 × 4) and Ge atoms adsorbed selectively on Si(100) 2 × 1 forming dome-shaped dots. A drastic structural transition from dots to films occurred at C coverage of 0.20 ML. The Ge films, consisting of relaxed poly- and amorphous-Ge, formed at C coverage of 0.20–0.45 ML. This is because a large amount of Si-C bonds induced strong compressive strain and surface roughening. In consequence, the growth mode changed from three-dimensional (3D) to 2D due to the reduction of Ge diffusion length. - Highlights: • Ge growth on Si mediated by sub-monolayer (ML) carbon (C) was studied. • Ge dots were formed at low C coverage of 0.08–0.16 ML. • Drastic structural transition from dots to films occurred at C coverage of 0.20 ML. • Ge films consisted of relaxed poly- and amorphous-Ge at C coverage of 0.20–0.45 ML

  9. Influence of alloy disorder scattering on the hole mobility of SiGe nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Blanque, Celso; Ruiz, Francisco G., E-mail: franruiz@ugr.es; Godoy, Andres, E-mail: agodoy@ugr.es; Marin, Enrique G.; Donetti, Luca; Gámiz, Francisco [Dpto. de Electrónica y Tecnología de Computadores, Facultad de Ciencias, Universidad de Granada, Av. Fuentenueva S/N, 18071 Granada (Spain)

    2014-12-28

    In this work, we analyze the influence of the alloy disorder (AD) scattering on the low-field hole mobility of Si{sub 1-x}Ge{sub x} nanowires (NWs). To do it, the electrostatic description is achieved through a self-consistent solution of the Poisson equation and the six-band k⋅p method in the cross section of the NW. The momentum relaxation time approximation is used to calculate the hole mobility, including alloy disorder and phonon scattering mechanisms, and the use of approximations to calculate the overlap integrals for the scattering matrix elements is discussed. We study the influence of the alloy disorder scattering on the total mobility compared to the phonon contribution, for different values of the AD scattering parameter proposed in the literature, and analyze the performance of SiGe NWs as a function of the Ge molar fraction for both low and high inversion charge densities.

  10. Strain and strain-release engineering at epitaxial SiGe islands on Si(0 0 1) for microelectronic applications

    International Nuclear Information System (INIS)

    Vastola, G.; Marzegalli, A.; Montalenti, F.; Miglio, Leo

    2009-01-01

    We report original finite element method simulations of the strain components at nanometric GeSi island on Si(0 0 1), for realistic shape, sizes and average composition, discussing the main mechanisms acting in the misfit strain relaxation. The tensile strain induced in a 30 nm Si capping layer and the one upon removing the island, after fixing the top part of the Si layer, is discussed in view of application as a field effect transistor channel, with high career mobility induced by the lattice deformation. The large shear components obtained for steeper island morphologies are predicted to be particularly performing, especially in comparison to one another strained-silicon configuration (totally top-down originated), recently developed by IBM corporation.

  11. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  12. Shallow acceptors in Ge/GeSi heterostructures with quantum wells in magnetic field

    International Nuclear Information System (INIS)

    Aleshkin, V.Ya.; Antonov, A.V.; Veksler, D.B.; Gavrilenko, V.I.; Erofeeva, I.V.; Ikonnikov, A.V.; Kozlov, D.V.; Spirin, K.E.; Kuznetsov, O.A.

    2005-01-01

    One investigated both theoretically and experimentally into shallow acceptors in Ge/GeSi heterostructures with quantum wells (QW) in a magnetic field. It is shown that alongside with lines of cyclotron resonance in magnetoabsorption spectra one observes transitions from the ground state of acceptor to the excited ones associated with the Landau levels from the first and the second subbands of dimensional quantization, and resonance caused by ionization of A + -centres. To describe impurity transitions in Ge/GeSi heterostructures with QW in a magnetic field and to interpret the experiment results in detail one uses numerical method of calculation based on expansion of wave function of acceptor in terms of basis of wave functions of holes in QW in the absence of magnetic field [ru

  13. Enhanced formation of Ge nanocrystals in Ge : SiO2 layers by swift heavy ions

    International Nuclear Information System (INIS)

    Antonova, I V; Volodin, V A; Marin, D M; Skuratov, V A; Smagulova, S A; Janse van Vuuren, A; Neethling, J; Jedrzejewski, J; Balberg, I

    2012-01-01

    In this paper we report the ability of swift heavy Xe ions with an energy of 480 MeV and a fluence of 10 12 cm -2 to enhance the formation of Ge nanocrystals within SiO 2 layers with variable Ge contents. These Ge-SiO 2 films were fabricated by the co-sputtering of Ge and quartz sources which followed various annealing procedures. In particular, we found that the irradiation of the Ge : SiO 2 films with subsequent annealing at 500 °C leads to the formation of a high concentration of nanocrystals (NCs) with a size of 2-5 nm, whereas without irradiation only amorphous inclusions were observed. This effect, as evidenced by Raman spectra, is enhanced by pre-irradiation at 550 °C and post-irradiation annealing at 600 °C, which also leads to the observation of room temperature visible photoluminescence. (paper)

  14. Surface tension and density of Si-Ge melts

    Science.gov (United States)

    Ricci, Enrica; Amore, Stefano; Giuranno, Donatella; Novakovic, Rada; Tuissi, Ausonio; Sobczak, Natalia; Nowak, Rafal; Korpala, Bartłomiej; Bruzda, Grzegorz

    2014-06-01

    In this work, the surface tension and density of Si-Ge liquid alloys were determined by the pendant drop method. Over the range of measurements, both properties show a linear temperature dependence and a nonlinear concentration dependence. Indeed, the density decreases with increasing silicon content exhibiting positive deviation from ideality, while the surface tension increases and deviates negatively with respect to the ideal solution model. Taking into account the Si-Ge phase diagram, a simple lens type, the surface tension behavior of the Si-Ge liquid alloys was analyzed in the framework of the Quasi-Chemical Approximation for the Regular Solutions model. The new experimental results were compared with a few data available in the literature, obtained by the containerless method.

  15. Efficient tunable luminescence of SiGe alloy sheet polymers

    International Nuclear Information System (INIS)

    Vogg, G.; Meyer, A. J.-P.; Miesner, C.; Brandt, M. S.; Stutzmann, M.

    2001-01-01

    Crystalline SiGe alloy sheet polymers were topotactically prepared from epitaxially grown calcium germanosilicide Ca(Si 1-x Ge x ) 2 precursor films in the whole composition range. These polygermanosilynes are found to be a well-defined mixture of the known siloxene and polygermyne sheet polymers with the OH groups exclusively bonded to silicon. The optical properties determined by photoluminescence and optical reflection measurements identify the mixed SiGe sheet polymers as direct semiconductors with efficient luminescence tunable in the energy range between 2.4 and 1.3 eV. [copyright] 2001 American Institute of Physics

  16. The cross-plane thermoelectric properties of p-Ge/Si0.5Ge0.5 superlattices

    International Nuclear Information System (INIS)

    Ferre Llin, L.; Samarelli, A.; Weaver, J. M. R.; Dobson, P. S.; Paul, D. J.; Cecchi, S.; Chrastina, D.; Isella, G.; Etzelstorfer, T.; Stangl, J.; Müller Gubler, E.

    2013-01-01

    The electrical conductivity, Seebeck coefficients, and thermal conductivities of a range of p-type Ge/Si 0.5 Ge 0.5 superlattices designed for thermoelectric generation and grown by low energy plasma enhanced chemical vapor deposition have been measured using a range of microfabricated test structures. For samples with barriers around 0.5 nm in thickness, the measured Seebeck coefficients were comparable to bulk p-SiGe at similar doping levels suggesting the holes see the material as a random bulk alloy rather than a superlattice. The Seebeck coefficients for Ge quantum wells of 2.85 ± 0.85 nm increased up to 533 ± 25 μV/K as the doping was reduced. The thermal conductivities are between 4.5 to 6.0 Wm −1 K −1 which are lower than comparably doped bulk Si 0.3 Ge 0.7 but higher than undoped Si/Ge superlattices. The highest measured figure of merit ZT was 0.080 ± 0.011 obtained for the widest quantum well studied. Analysis suggests that interface roughness is presently limiting the performance and a reduction in the strain between the quantum wells and barriers has the potential to improve the thermoelectric performance

  17. Transformation of point defects under annealing of neutron-irradiated Si and Si:Ge

    International Nuclear Information System (INIS)

    Pomozov, Yu.V.; Khirunenko, L.I.; Shakhovtsev, V.I.; Yashnik, V.I.

    1990-01-01

    Transformation of point radiation defects under isochronous annealing of neurton-irradaited Si and Si:Ge is studied. It is determined, that occurence of several new centers which produce A-centre range absorption bands is observed at annealing within 423-493 K temperature range. It is shown that vacancy and oxygen are included in the centers composition. It is found that VO centre transformation into VO 2 at annealing occurs via intermediate stage in contrast to that occuring in electron-irradiated crystals via VO direct diffusion to interstitial oxygen. Transformation of centers under Si ansd Si:Ge annealing occurs similarly

  18. Atomistic simulations of thermal transport in Si and SiGe based materials: From bulk to nanostructures

    Science.gov (United States)

    Savic, Ivana; Mingo, Natalio; Donadio, Davide; Galli, Giulia

    2010-03-01

    It has been recently proposed that Si and SiGe based nanostructured materials may exhibit low thermal conductivity and overall promising properties for thermoelectric applications. Hence there is a considerable interest in developing accurate theoretical and computational methods which can help interpret recent measurements, identify the physical origin of the reduced thermal conductivity, as well as shed light on the interplay between disorder and nanostructuring in determining a high figure of merit. In this work, we investigate the capability of an atomistic Green's function method [1] to describe phonon transport in several types of Si and SiGe based systems: amorphous Si, SiGe alloys, planar and nanodot Si/SiGe multilayers. We compare our results with experimental data [2,3], and with the findings of molecular dynamics simulations and calculations based on the Boltzmann transport equation. [1] I. Savic, N. Mingo, and D. A. Stewart, Phys. Rev. Lett. 101, 165502 (2008). [2] S.-M. Lee, D. G. Cahill, and R. Venkatasubramanian, Appl. Phys. Lett. 70, 2957 (1997). [3] G. Pernot et al., submitted.

  19. Thermoelectric cross-plane properties on p- and n-Ge/Si{sub x}Ge{sub 1-x} superlattices

    Energy Technology Data Exchange (ETDEWEB)

    Ferre Llin, L.; Samarelli, A. [University of Glasgow, School of Engineering, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Cecchi, S.; Chrastina, D.; Isella, G. [L-NESS, Politecnico di Milano, Via Anzani 42, 22100 Como (Italy); Müller Gubler, E. [ETH, Electron Microscopy ETH Zurich, Wolgang-Pauli-Str. Ch-8093 Zurich (Switzerland); Etzelstorfer, T.; Stangl, J. [Johannes Kepler Universität, Institute of Semiconductor and Solid State Physics, A-4040 Linz (Austria); Paul, D.J., E-mail: Douglas.Paul@glasgow.ac.uk [University of Glasgow, School of Engineering, Oakfield Avenue, Glasgow G12 8LT (United Kingdom)

    2016-03-01

    Silicon and germanium materials have demonstrated an increasing attraction for energy harvesting, due to their sustainability and integrability with complementary metal oxide semiconductor and micro-electro-mechanical-system technology. The thermoelectric efficiencies for these materials, however, are very poor at room temperature and so it is necessary to engineer them in order to compete with telluride based materials, which have demonstrated at room temperature the highest performances in literature [1]. Micro-fabricated devices consisting of mesa structures with integrated heaters, thermometers and Ohmic contacts were used to extract the cross-plane values of the Seebeck coefficient and the thermal conductivity from p- and n-Ge/Si{sub x}Ge{sub 1-x} superlattices. A second device consisting in a modified circular transfer line method structure was used to extract the electrical conductivity of the materials. A range of p-Ge/Si{sub 0.5}Ge{sub 0.5} superlattices with different doping levels was investigated in detail to determine the role of the doping density in dictating the thermoelectric properties. A second set of n-Ge/Si{sub 0.3}Ge{sub 0.7} superlattices was fabricated to study the impact that quantum well thickness might have on the two thermoelectric figures of merit, and also to demonstrate a further reduction of the thermal conductivity by scattering phonons at different wavelengths. This technique has demonstrated to lower the thermal conductivity by a 25% by adding different barrier thicknesses per period. - Highlights: • Growth of epitaxial Ge/SiGe superlattices on Si substrates as energy harvesters • Study of cross-plane thermoelectric properties of Ge/SiGe superlattices at 300 K • Thermoelectric figures of merit studied as a function of doping density • Phonon scattering at different wavelengths to reduce thermal transport.

  20. Combined RBS and TEM characterization of nano-SiGe layers embedded in SiO2

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Sangrador, J.; Rodriguez, A.; Rodriguez, T.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Grazing incidence RBS has been tested as a technique to detect and characterize SiGe nanoparticles embedded in a SiO 2 matrix. Suitable structures were deposited by low pressure chemical vapour deposition and characterized by TEM and RBS. The layers containing nanoparticles have been modelled by stacks of sublayers consisting of SiGeO layers with compositions calculated according to presumed shapes, sizes, Si/Ge ratios and particle area densities and used as input for RUMP. The nanoparticle parameters obtained by fitting the experimental RBS spectra agree well with the findings by TEM. This demonstrates that RBS is a useful and fast technique to characterize this kind of structures

  1. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  2. Muonium hyperfine parameters in Si1-x Ge x alloys

    International Nuclear Information System (INIS)

    King, Philip; Lichti, Roger; Cottrell, Stephen; Yonenaga, Ichiro

    2006-01-01

    We present studies of muonium behaviour in bulk, Czochralski-grown Si 1- x Ge x alloy material, focusing in particular on the hyperfine parameter of the tetrahedral muonium species. In contrast to the bond-centred species, the hyperfine parameter of the tetrahedral-site muonium centre (Mu T ) appears to vary non-linearly with alloy composition. The temperature dependence of the Mu T hyperfine parameter observed in low-Ge alloy material is compared with that seen in pure Si, and previous models of the Mu T behaviour in Si are discussed in the light of results from Si 1- x Ge x alloys

  3. Ge-on-Si : Single-Crystal Selective Epitaxial Growth in a CVD Reactor

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.B.; Nanver, L.K.

    2012-01-01

    A standard Si/SiGe ASM CVD reactor that was recently modified for merging GaAs and Si epitaxial growth in one system is utilized to achieve intrinsic and doped epitaxial Ge-on-Si with low threading dislocation and defect densities. For this purpose, the system is equipped with 2% diluted GeH4 as the

  4. Thermal transport through Ge-rich Ge/Si superlattices grown on Ge(0 0 1)

    Science.gov (United States)

    Thumfart, L.; Carrete, J.; Vermeersch, B.; Ye, N.; Truglas, T.; Feser, J.; Groiss, H.; Mingo, N.; Rastelli, A.

    2018-01-01

    The cross-plane thermal conductivities of Ge-rich Si/Ge superlattices have been measured using both time-domain thermoreflectance and the differential 3ω method. The superlattices were grown by molecular beam epitaxy on Ge(0 0 1) substrates. Crystal quality and structural information were investigated by x-ray diffractometry and transmission electron microscopy. The influence of segregation during growth on the composition profiles was modeled using the experimental growth temperatures and deposition rates. Those profiles were then employed to obtain parameter-free theoretical estimates of the thermal conductivity by combining first-principles calculations, Boltzmann transport theory and phonon Green’s functions. Good agreement between theory and experiment is observed. The thermal conductivity shows a strong dependence on the composition and the thickness of the samples. Moreover, the importance of the composition profile is reflected in the fact that the thermal conductivity of the superlattices is considerably lower than predicted values for alloys with the same average composition and thickness. Measurement on different samples with the same Si layer thickness and number of periods, but different Ge layer thickness, show that the thermal resistance is only weakly dependent on the Ge layers. We analyze this phenomenon based on the first-principles mode, and build an approximate parametrization showing that, in this regime, the resistivity of a SL is roughly linear on the amount of Si.

  5. (Gd1−xErx)5Si2Ge2 compounds

    Indian Academy of Sciences (India)

    Gd metal (3 N) by arc melting, and then annealed at 1523 K (3 h). The phase, microstructure, Curie ... The temperature of magnetic transition decreases linearly from 281 K to 177 K with the increase of Er content from x = 0–0·2. ... Gd5Si2Ge2 serial compounds, different rare earth elements substituted for Gd in Gd5(Six ...

  6. Significant reduction of thermal conductivity in Si/Ge core-shell nanowires.

    Science.gov (United States)

    Hu, Ming; Giapis, Konstantinos P; Goicochea, Javier V; Zhang, Xiaoliang; Poulikakos, Dimos

    2011-02-09

    We report on the effect of germanium (Ge) coatings on the thermal transport properties of silicon (Si) nanowires using nonequilibrium molecular dynamics simulations. Our results show that a simple deposition of a Ge shell of only 1 to 2 unit cells in thickness on a single crystalline Si nanowire can lead to a dramatic 75% decrease in thermal conductivity at room temperature compared to an uncoated Si nanowire. By analyzing the vibrational density states of phonons and the participation ratio of each specific mode, we demonstrate that the reduction in the thermal conductivity of Si/Ge core-shell nanowire stems from the depression and localization of long-wavelength phonon modes at the Si/Ge interface and of high frequency nonpropagating diffusive modes.

  7. Alleviation of Fermi level pinning at metal/n-Ge interface with lattice-matched Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer on Ge

    Science.gov (United States)

    Suzuki, Akihiro; Nakatsuka, Osamu; Sakashita, Mitsuo; Zaima, Shigeaki

    2018-06-01

    The impact of a silicon germanium tin (Si x Ge1‑ x ‑ y Sn y ) ternary alloy interlayer on the Schottky barrier height (SBH) of metal/Ge contacts with various metal work functions has been investigated. Lattice matching at the Si x Ge1‑ x ‑ y Sn y /Ge heterointerface is a key factor for controlling Fermi level pinning (FLP) at the metal/Ge interface. The Si x Ge1‑ x ‑ y Sn y ternary alloy interlayer having a small lattice mismatch with the Ge substrate can alleviate FLP at the metal/Ge interface significantly. A Si0.11Ge0.86Sn0.03 interlayer increases the slope parameter for the work function dependence of the SBH to 0.4. An ohmic behavior with an SBH below 0.15 eV can be obtained with Zr and Al/Si0.11Ge0.86Sn0.03/n-Ge contacts at room temperature.

  8. Structural transformation in mechanosynthesized bcc Fe-Al-Si(Ge) solid solutions during heating

    International Nuclear Information System (INIS)

    Kubalova, L.M.; Sviridov, I.A.; Vasilyeva, O.Ya.; Fadeeva, V.I.

    2007-01-01

    X-ray diffractometry and Moessbauer spectroscopy study of Fe 50 Al 25 Si 25 and Fe 50 Al 25 Ge 25 alloys obtained by mechanical alloying (MA) of elementary powders was carried out. Phase transformation during heating of synthesized products was studied using differential scanning calorimetry (DSC). After 2.5 h of MA monophase alloys containing bcc Fe(Al, Ge) solid solutions Fe(Al, Si) are formed. Fe(Al, Si) is partially ordered B2 type and Fe(Al, Ge) is completely disordered. DSC curves of synthesized alloys displayed the presence of exothermal peaks caused by phase transformation. The metastable Fe(Al, Si) solid solution transformed into FeAl 1-x Si x (B2) and FeSi 1-x Al x (B20) equilibrium phases. The Fe(Al, Ge) solid solution transformed into equilibrium phases through intermediate stage of Fe 6 Ge 3 Al 2 metastable phase formation. The Fe 6 Ge 3 Al 2 phase dissociated into three equilibrium phases: FeAl 1-x Ge x (B2), χ-Fe 6 Ge 5 and η-Fe 13 (Ge, Al) 8 (B8 2 ). The structure of Fe 6 Ge 3 Al 2 was calculated by Rietveld method, the distribution of Al and Ge in the elementary cell and its parameters were calculated. Moessbauer study showed that Fe(Al, Si) and Fe(Al, Ge) solid solutions are paramagnetic. In the equilibrium state the alloy containing Si is also paramagnetic while the alloy with Ge showed ferromagnetic properties

  9. Spectroscopic Studies of Semiconductor Materials for Aggressive-scaled Micro- and Opto-electronic Devices: nc-SiO2, GeO2; ng-Si, Ge and ng-Transition metal (TM) oxides

    Science.gov (United States)

    Cheng, Cheng

    Non-crystalline thin film materials are widely used in the semiconductor industry (micro- and optoelectronics) and in green energy, e.g., photovolatic applications. This dissertation under-pins these device application with studies of their electronic structures using derivative X-ray Absorption Spectroscopy (XAS) and derivative Spectroscopic Ellipsometry (SE) for the first time to experimentally determine electronic and intrinsic defect structures. Differences between electron and hole mobilities in c- (and ng-Si) and c- (and ng- Ge), make Ge channels superior to Si channels in for aggressively scaled CMOS field effect transistors (FETs). Bonding between Si and Ge substrates and gate dielectric oxides is the focus this dissertation. The primary objective of this research is to measure and interpret by ab-initio theory the electronic and intrinsic electronic defect structures mirco-electronic thin film materials. This is accomplished for the first time by combining (i) derivative XAS TEY data obtained at the Stanford Synchrotron Radiation Light Source (SSRL) with (ii) derivative Spectroscopic Ellipsometry results obtained at the J.A. Woollam Co. laboratory. All the oxides were deposited in RPECVD system with in-line AES and RHEED. Thins films and gate stacks were annealed in RTA system in Ar to determine temperature dependent changes. 2nd derivative analysis is applied on XAS and SE spectra emphasizing the conduction band (CB) and virtual bound state (VBS) regimes. 2nd derivative SE spectra for ng-Si and ng-Ge each have 3 distinct regimes: (i) 3 excitons, (ii) 2 features in the CB edge region, and (iii) 3 additional exciton features above the IP. Excitonic spectral width provides conductivity electron masses (em0*) and hence electron mobilities. The wider the energy range, the higher the electron mobility in that CB. Spectra of high-K dielectrics have an additional energy regime between the CB edge regime, and the higher eV excitons. This regime has 4 intra-d state

  10. On the radiative recombination and tunneling of charge carriers in SiGe/Si heterostructures with double quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Yablonsky, A. N., E-mail: yablonsk@ipmras.ru; Zhukavin, R. Kh.; Bekin, N. A.; Novikov, A. V.; Yurasov, D. V.; Shaleev, M. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2016-12-15

    For SiGe/Si(001) epitaxial structures with two nonequivalent SiGe quantum wells separated by a thin Si barrier, the spectral and time characteristics of interband photoluminescence corresponding to the radiative recombination of excitons in quantum wells are studied. For a series of structures with two SiGe quantum wells different in width, the characteristic time of tunneling of charge carriers (holes) from the narrow quantum well, distinguished by a higher exciton recombination energy, to the wide quantum well is determined as a function of the Si barrier thickness. It is shown that the time of tunneling of holes between the Si{sub 0.8}5Ge{sub 0.15} layers with thicknesses of 3 and 9 nm steadily decreases from ~500 to <5 ns, as the Si barrier thickness is reduced from 16 to 8 nm. At intermediate Si barrier thicknesses, an increase in the photoluminescence signal from the wide quantum well is observed, with a characteristic time of the same order of magnitude as the luminescence decay time of the narrow quantum well. This supports the observation of the effect of the tunneling of holes from the narrow to the wide quantum well. A strong dependence of the tunneling time of holes on the Ge content in the SiGe layers at the same thickness of the Si barrier between quantum wells is observed, which is attributed to an increase in the effective Si barrier height.

  11. Diffusion Mechanisms and Lattice Locations of Thermal-Equilibrium Defects in Si-Ge Alloys

    CERN Multimedia

    Lyutovich, K; Touboltsev, V; Laitinen, P O; Strohm, A

    2002-01-01

    It is generally accepted that Ge and Si differ considerably with respect to intrinsic-point-defect-mediated diffusion. In Ge, the native point defects dominating under thermal-equilibium conditions at all solid-state temperatures accessible in diffusion experiments are vacancies, and therefore Ge self-diffusion is vacancy-controlled. In Si, by contrast, self-interstitials and vacancies co-exist in thermal equilibrium. Whereas in the most thoroughly investigated temperature regime above about 1000$^\\circ$C Si self-diffusion is self-interstitial-controlled, it is vacancy-controlled at lower temperatures. According to the scenario displayed above, self-diffusion in Si-Ge alloys is expected to change from an interstitialcy mechanism on the Si side to a vacancy mechanism on the Ge side. Therefore, $^{71}$Ge self-diffusion experiments in Si$_{1- \\it y}$Ge$_{\\it y}$ as a function of composition Y are highly interesting. In a first series of experiments the diffusion of Ge in 0.4 to 10 $\\mu$m thick, relaxed, low-disl...

  12. Reduced thermal conductivity due to scattering centers in p-type SiGe alloys

    International Nuclear Information System (INIS)

    Beaty, J.S.; Rolfe, J.L.; Vandersande, J.; Fleurial. J.P.

    1992-01-01

    This paper reports that a theoretical model has been developed that predicts that the addition of ultra-fine, inert, phonon-scattering centers to SiGe thermoelectric material will reduce its thermal conductivity and improve its figure-of-merit. To investigate this prediction, ultra-fine particulates (20 Angstrom to 200 Angstrom) of boron nitride have been added to boron doped, p-type, 80/20 SiGe. All previous SiGe samples produced from ultra-fine SiGe powder without additions had lower thermal conductivities than standard SiGe, but high temperature (1525 K) heat treatment increased their thermal conductivity back to the value for standard SiGe. Transmission Electron Microscopy has been used to confirm the presence of occluded particulates and X-ray diffraction has been used to determine the composition to be BN

  13. Simulation of thermal stress and buckling instability in Si/Ge and Ge/Si core/shell nanowires.

    Science.gov (United States)

    Das, Suvankar; Moitra, Amitava; Bhattacharya, Mishreyee; Dutta, Amlan

    2015-01-01

    The present study employs the method of atomistic simulation to estimate the thermal stress experienced by Si/Ge and Ge/Si, ultrathin, core/shell nanowires with fixed ends. The underlying technique involves the computation of Young's modulus and the linear coefficient of thermal expansion through separate simulations. These two material parameters are combined to obtain the thermal stress on the nanowires. In addition, the thermally induced stress is perceived in the context of buckling instability. The analysis provides a trade-off between the geometrical and operational parameters of the nanostructures. The proposed methodology can be extended to other materials and structures and helps with the prediction of the conditions under which a nanowire-based device might possibly fail due to elastic instability.

  14. Electronic and magnetic properties of Si substituted Fe3Ge

    International Nuclear Information System (INIS)

    Shanavas, K. V.; McGuire, Michael A.; Parker, David S.

    2015-01-01

    Using first principles calculations, we studied the effect of Si substitution in the hexagonal Fe 3 Ge. We find the low temperature magnetic anisotropy in this system to be planar and originating mostly from the spin-orbit coupling in Fe-d states. Reduction of the unitcell volume reduces the magnitude of in-plane magnetic anisotropy, eventually turning it positive which reorients the magnetic moments to the axial direction. Substituting Ge with the smaller Si ions also increases the anisotropy, potentially enhancing the region of stability of the axial magnetization, which is beneficial for magnetic applications such as permanent magnets. Our experimental measurements on samples of Fe 3 Ge 1−x Si x confirm these predictions and show that substitution of about 6% of the Ge with Si increases by approximately 35 K the temperature range over which anisotropy is uniaxial

  15. Silicon Based Mid Infrared SiGeSn Heterostructure Emitters and Detectors

    Science.gov (United States)

    2016-05-16

    AFRL-AFOSR-JP-TR-2016-0054 Silicon based mid infrared SiGeSn heterostrcture emitters and detectors Greg Sun UNIVERSITY OF MASSACHUSETTS Final Report... Silicon Based Mid Infrared SiGeSn Heterostructure Emitters and Detectors ” February 10, 2016 Principal Investigator: Greg Sun Engineering...diodes are incompatible with the CMOS process and therefore cannot be easily integrated with Si electronics . The GeSn mid IR detectors developed in

  16. Thermal conductivity of hexagonal Si, Ge, and Si1-xGex alloys from first-principles

    Science.gov (United States)

    Gu, Xiaokun; Zhao, C. Y.

    2018-05-01

    Hexagonal Si and Ge with a lonsdaleite crystal structure are allotropes of silicon and germanium that have recently been synthesized. These materials as well as their alloys are promising candidates for novel applications in optoelectronics. In this paper, we systematically study the phonon transport and thermal conductivity of hexagonal Si, Ge, and their alloys by using the first-principle-based Peierls-Boltzmann transport equation approach. Both three-phonon and four-phonon scatterings are taken into account in the calculations as the phonon scattering mechanisms. The thermal conductivity anisotropy of these materials is identified. While the thermal conductivity parallel to the hexagonal plane for hexagonal Si and Ge is found to be larger than that perpendicular to the hexagonal plane, alloying effectively tunes the thermal conductivity anisotropy by suppressing the thermal conductivity contributions from the middle-frequency phonons. The importance of four-phonon scatterings is assessed by comparing the results with the calculations without including four-phonon scatterings. We find that four-phonon scatterings cannot be ignored in hexagonal Si and Ge as the thermal conductivity would be overestimated by around 10% (40%) at 300 K (900) K. In addition, the phonon mean free path distribution of hexagonal Si, Ge, and their alloys is also discussed.

  17. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100)

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-01-01

    The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100°C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~00 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance. PMID:28788521

  18. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100

    Directory of Open Access Journals (Sweden)

    Mastura Shafinaz Zainal Abidin

    2014-02-01

    Full Text Available The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100 substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100 orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  19. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  20. Internal photoemission study on charge trapping behavior in rapid thermal oxides on strained-Si/SiGe heterolayers

    International Nuclear Information System (INIS)

    Bera, M.K.; Mahata, C.; Bhattacharya, S.; Chakraborty, A.K.; Armstrong, B.M.; Gamble, H.S.; Maiti, C.K.

    2008-01-01

    A comparative study on the nature of defects and their relationship to charge trapping with enhanced photosensitivity has been investigated through magnetic resonance and internal photoemission (IPE) experiments for rapid thermal grown oxides (RTO) on strained-Si/Si 0.8 Ge 0.2 and on co-processed bulk-Si (1 0 0) substrates. Both the band and defect-related electronic states were characterized through EPR, IPE, C-V and I-V measurements under UV-illumination. Surface chemical characterization of as-grown ultrathin oxides (5-7 nm) has been performed using high-resolution XPS. Enhancement in Ge-segregation with increasing oxidation temperature is reported. Comparative studies on interface properties and leakage current behavior of rapid thermal oxides have also been studied through fabricating metal-oxide-semiconductor capacitor structures. A degraded electrical property with increasing oxidation temperature is reported. Constant voltage stressing (CVS) in the range of 5.5-7 V was used to study the breakdown characteristics of different samples. We observe a distinguishably different time-to-breakdown (t bd ) phenomenon for bulk-Si and strained-Si/SiGe samples. Whereas the oxide on bulk-Si shows a typical breakdown behavior, the RTO grown oxide on strained-Si/SiGe samples showed a quasi-or soft-breakdown with lower t bd value. It may be pointed out that quasi-breakdown may be a stronger reliability limiting factor for strained-Si/SiGe devices in the oxide thickness range studied

  1. Microscopic parameters of heterostructures containing nanoclusters and thin layers of Ge in Si matrix

    CERN Document Server

    Erenburg, S B; Stepina, N P; Nikiforov, A I; Nenashev, A V; Mazalov, L N

    2001-01-01

    GeK XAFS measurements have been performed using the total electron yield detection mode for pseudomorphous Ge films deposited on Si(0 0 1) substrate via molecular beam epitaxy at 300 deg. C. The samples have been produced by thrice repeating the growing procedure separated by deposition of blocking Si layers at 500 deg. C. The local microstructure parameters (interatomic distances, Ge coordination numbers) are linked to nanostructure morphology and adequate models are suggested and discussed. It was established that pseudomorphous 4-monolayer Ge films contain 50% of Si atoms on the average. Pyramid-like, pure Ge islands formed in the Stranski-Krastanov growth are characterized by the interatomic Ge-Ge distances of 2.41 A (by 0.04 A less than in bulk Ge) and the Ge-Si distances of 2.37 A. It was revealed that the pure Ge nanoclusters are covered by a 1-2-monolayer film with admixture on the average of a 50% Si atom impurity from blocking Si layers.

  2. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  3. Superconducting single electron transistor for charge sensing in Si/SiGe-based quantum dots

    Science.gov (United States)

    Yang, Zhen

    Si-based quantum devices, including Si/SiGe quantum dots (QD), are promising candidates for spin-based quantum bits (quits), which are a potential platform for quantum information processing. Meanwhile, qubit readout remains a challenging task related to semiconductor-based quantum computation. This thesis describes two readout devices for Si/SiGe QDs and the techniques for developing them from a traditional single electron transistor (SET). By embedding an SET in a tank circuit and operating it in the radio-frequency (RF) regime, a superconducting RF-SET has quick response as well as ultra high charge sensitivity and can be an excellent charge sensor for the QDs. We demonstrate such RF-SETs for QDs in a Si/SiGe heterostructure. Characterization of the SET in magnetic fields is studied for future exploration of advanced techniques such as spin detection and spin state manipulation. By replacing the tank circuit with a high-quality-factor microwave cavity, the embedded SET will be operated in the supercurrent regime as a single Cooper pair transistor (CPT) to further increase the charge sensitivity and reduce any dissipation. The operating principle and implementation of the cavity-embedded CPT (cCPT) will be introduced.

  4. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  5. Properties of three-dimensional structures prepared by Ge dewetting from Si(111) at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Shklyaev, Alexander, E-mail: shklyaev@isp.nsc.ru [A. V. Rzhanov Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Bolotov, Leonid; Poborchii, Vladimir; Tada, Tetsuya [National Institute of Advanced Industrial Science and Technology, Higashi 1-1-1, Tsukuba, Ibaraki 305-8562 (Japan)

    2015-05-28

    The formation of three-dimensional (3D) structures during Ge deposition on Si(111) at about 800 °C is studied with scanning tunneling, Kelvin probe and electron microscopies, and scanning tunneling and Raman spectroscopies. The observed surface morphology is formed by dewetting of Ge from Si(111), since it occurs mainly by means of minimization of surface and interfacial energies. The dewetting proceeds through massive Si eroding around growing 3D structures, providing them to be composed of SiGe with about a 30% Ge content, and leads to the significant reduction of the SiGe/Si interface area. It is found that the SiGe top component of 3D structures forms sharp interfaces with the underlying Si. The minimization of interfacial and strain energies occurs on the way that the 3D structures appear to get the dendrite-like shape. The Ge distribution in the 3D SiGe structures is inhomogeneous in the lateral dimension with a higher Ge concentration in their central areas and Ge segregation on their surface.

  6. Properties of three-dimensional structures prepared by Ge dewetting from Si(111) at high temperatures

    International Nuclear Information System (INIS)

    Shklyaev, Alexander; Bolotov, Leonid; Poborchii, Vladimir; Tada, Tetsuya

    2015-01-01

    The formation of three-dimensional (3D) structures during Ge deposition on Si(111) at about 800 °C is studied with scanning tunneling, Kelvin probe and electron microscopies, and scanning tunneling and Raman spectroscopies. The observed surface morphology is formed by dewetting of Ge from Si(111), since it occurs mainly by means of minimization of surface and interfacial energies. The dewetting proceeds through massive Si eroding around growing 3D structures, providing them to be composed of SiGe with about a 30% Ge content, and leads to the significant reduction of the SiGe/Si interface area. It is found that the SiGe top component of 3D structures forms sharp interfaces with the underlying Si. The minimization of interfacial and strain energies occurs on the way that the 3D structures appear to get the dendrite-like shape. The Ge distribution in the 3D SiGe structures is inhomogeneous in the lateral dimension with a higher Ge concentration in their central areas and Ge segregation on their surface

  7. Amorphous SiOx nanowires catalyzed by metallic Ge for optoelectronic applications

    International Nuclear Information System (INIS)

    Nie Tianxiao; Chen Zhigang; Wu Yueqin; Lin Jianhui; Zhang Jiuzhan; Fan Yongliang; Yang Xinju; Jiang Zuimin; Zou Jin

    2011-01-01

    Research highlights: → Metallic Ge has been demonstrated as an effective catalyst for the growth of SiO x nanowires on Si substrates. → Such a catalyst may avoid catalyst contamination caused by their unconsciousness left in the nanowires. → Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources. - Abstract: Amorphous SiO x nanowires, with diameters of ∼20 nm and lengths of tens of μm, were grown from self-organized GeSi quantum dots or GeSi alloy epilayers on Si substrates. The morphologies and yield of these amorphous nanowires depend strongly upon the synthesis temperature. Comparative experiments indicate that the present SiO x nanowires are induced by metallic Ge as catalysts via the solid liquid solid growth mechanism. Two broad peaks centered at 410 nm and 570 nm were observed in photoluminescence spectrum, indicating that such SiO x nanowires have the potential applications in white light-emitting diodes, full-colour display, full-colour indicator and light sources.

  8. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  9. Sc-W-Si and Sc-W-Ge ternary systems

    International Nuclear Information System (INIS)

    Kotur, B.Ya.; Voznyak, O.M.; Bodak, O.I.

    1989-01-01

    Phase equilibria in Sc-W-Si and Sc-W-Ge ternary systems are investigated at 1070 K. Sc 2+x W 3-x Si 4 ternary compound (0≤x≤1) is determined, its crystal structure (Ce 2 Sc 3 Si 4 structural type), as well as, change of elementary cell parameters and microhardness within homogeneity range are determined. Regularities of component interaction within Sc-M-Si(Ge) (M-Cr, Mo, W) ternary system are determined. Ternary systems with Mo and W are more closer to each other according to the phase equilibria character, than to ternary systems with Cr

  10. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  11. FEM for modelling 193 nm excimer laser treatment of SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub x} heterostructures on SOI substrates

    Energy Technology Data Exchange (ETDEWEB)

    Conde, J.C.; Chiussi, S.; Gontad, F.; Gonzalez, P. [Dpto. Fisica Aplicada, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain); Martin, E. [Dpto. de Mecanica, Maquinas, Motores Termicos y Fluidos, E.T.S.I.I. University of Vigo, Campus Universitario, Rua Maxwell s/n, 36310 Vigo (Spain)

    2011-03-15

    Research on epitaxial crystalline silicon (c-Si) and silicon-germanium (Si{sub 1-x}Ge{sub x}) alloys growth and annealing for microelectronic purposes, such as Micro- or Nano-Electro-Mechanical Systems (MEMS or NEMS) and Silicon-On-Nothing (SON) devices is continuously in progress. Laser assisted annealing techniques using commercial ArF Excimer Laser sources are based on ultra-rapid heating and cooling cycles induced by the 193 nm pulses of 20 ns, which are absorbed in the near surface region of the heterostructures. During and after the absorption of these laser pulses, complex physical processes appear that strongly depend on sample structure and applied laser pulse energy densities. The control of the experimental parameters is therefore a key task for obtaining high quality alloys. The Finite ElementsMethod (FEM) is a powerful tool for the optimization of such treatments, because it provides the spatial and temporal temperature fields that are produced by the laser pulses. In this work, we have used a FEM commercial software, to predict the temperatures gradients induced by ArF excimer laser over a wide energy densities range, 0.1<{phi}<0.4 J/cm{sup 2}, on different SiO{sub 2}/Si/Si{sub (1-x)}Ge{sub (x)} thin films deposited on SOI substrate. These numerical results allow us to predict the threshold energies needed to reach the melting point (MP) of the Si and SiGe alloy without oxidation of the thin films system. Therefore, it is possible to optimize the conditions to achieve high quality epitaxy films. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Monolithic Ge-on-Si lasers for large-scale electronic-photonic integration

    Science.gov (United States)

    Liu, Jifeng; Kimerling, Lionel C.; Michel, Jurgen

    2012-09-01

    A silicon-based monolithic laser source has long been envisioned as a key enabling component for large-scale electronic-photonic integration in future generations of high-performance computation and communication systems. In this paper we present a comprehensive review on the development of monolithic Ge-on-Si lasers for this application. Starting with a historical review of light emission from the direct gap transition of Ge dating back to the 1960s, we focus on the rapid progress in band-engineered Ge-on-Si lasers in the past five years after a nearly 30-year gap in this research field. Ge has become an interesting candidate for active devices in Si photonics in the past decade due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) processing. In 2007, we proposed combing tensile strain with n-type doping to compensate the energy difference between the direct and indirect band gap of Ge, thereby achieving net optical gain for CMOS-compatible diode lasers. Here we systematically present theoretical modeling, material growth methods, spontaneous emission, optical gain, and lasing under optical and electrical pumping from band-engineered Ge-on-Si, culminated by recently demonstrated electrically pumped Ge-on-Si lasers with >1 mW output in the communication wavelength window of 1500-1700 nm. The broad gain spectrum enables on-chip wavelength division multiplexing. A unique feature of band-engineered pseudo-direct gap Ge light emitters is that the emission intensity increases with temperature, exactly opposite to conventional direct gap semiconductor light-emitting devices. This extraordinary thermal anti-quenching behavior greatly facilitates monolithic integration on Si microchips where temperatures can reach up to 80 °C during operation. The same band-engineering approach can be extended to other pseudo-direct gap semiconductors, allowing us to achieve efficient light emission at wavelengths previously

  13. Monolithic Ge-on-Si lasers for large-scale electronic–photonic integration

    International Nuclear Information System (INIS)

    Liu, Jifeng; Kimerling, Lionel C; Michel, Jurgen

    2012-01-01

    A silicon-based monolithic laser source has long been envisioned as a key enabling component for large-scale electronic–photonic integration in future generations of high-performance computation and communication systems. In this paper we present a comprehensive review on the development of monolithic Ge-on-Si lasers for this application. Starting with a historical review of light emission from the direct gap transition of Ge dating back to the 1960s, we focus on the rapid progress in band-engineered Ge-on-Si lasers in the past five years after a nearly 30-year gap in this research field. Ge has become an interesting candidate for active devices in Si photonics in the past decade due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS) processing. In 2007, we proposed combing tensile strain with n-type doping to compensate the energy difference between the direct and indirect band gap of Ge, thereby achieving net optical gain for CMOS-compatible diode lasers. Here we systematically present theoretical modeling, material growth methods, spontaneous emission, optical gain, and lasing under optical and electrical pumping from band-engineered Ge-on-Si, culminated by recently demonstrated electrically pumped Ge-on-Si lasers with >1 mW output in the communication wavelength window of 1500–1700 nm. The broad gain spectrum enables on-chip wavelength division multiplexing. A unique feature of band-engineered pseudo-direct gap Ge light emitters is that the emission intensity increases with temperature, exactly opposite to conventional direct gap semiconductor light-emitting devices. This extraordinary thermal anti-quenching behavior greatly facilitates monolithic integration on Si microchips where temperatures can reach up to 80 °C during operation. The same band-engineering approach can be extended to other pseudo-direct gap semiconductors, allowing us to achieve efficient light emission at wavelengths previously

  14. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  15. Interface bond relaxation on the thermal conductivity of Si/Ge core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weifeng; He, Yan; Ouyang, Gang, E-mail: gangouy@hunnu.edu.cn [Key Laboratory of Low-Dimensional Quantum Structures and Quantum Control of Ministry of Education, Synergetic Innovation Center for Quantum Effects and Applications(SICQEA), Hunan Normal University, Changsha 410081 (China); Sun, Changqing [School of Electrical & Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2016-01-15

    The thermal conductivity of Si/Ge core-shell nanowires (CSNWs) is investigated on the basis of atomic-bond-relaxation consideration and continuum mechanics. An analytical model is developed to clarify the interface bond relaxation of Si/Ge CSNWs. It is found that the thermal conductivity of Si core can be modulated through covering with Ge epitaxial layers. The change of thermal conductivity in Si/Ge CSNWs should be attributed to the surface relaxation and interface mismatch between inner Si nanowire and outer Ge epitaxial layer. Our results are in well agreement with the experimental measurements and simulations, suggesting that the presented method provides a fundamental insight of the thermal conductivity of CSNWs from the atomistic origin.

  16. RBS characterization of the deposition of very thin SiGe/SiO2 multilayers by LPCVD

    International Nuclear Information System (INIS)

    Munoz-Martin, A.; Climent-Font, A.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Multilayer structures consisting of several alternated layers of SiGe and SiO 2 with thickness ranging from 2 or Si as well as the deposition of SiO 2 on Si show negligible incubation times. The deposition of SiO 2 on SiGe, however, exhibits an incubation time of several minutes, which would be related to the oxidation of the surface necessary for the SiO 2 deposition to start. In all cases the film thickness increases linearly with deposition time, thus allowing the growth rates to be determined. These data allow the deposition process of these very thin layers to be accurately controlled

  17. Conversion Matrix Analysis of SiGe HBT Gilbert Cell Mixers

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2004-01-01

    The frequency response of SiGe HBT active mixers based on the Gilbert cell topology is analyzed theoretically. The time-varying operation of the Gilbert cell mixer is taken into account by applying conversion matrix analysis. The main bandwidth limiting mechanisms experienced in SiGe HBT Gilbert ...

  18. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  19. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.

    Science.gov (United States)

    Zaumseil, P; Yamamoto, Y; Schubert, M A; Capellini, G; Skibitzki, O; Zoellner, M H; Schroeder, T

    2015-09-04

    We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at the growth temperature of the buffer that reduces the misfit and supports defect-free initial growth. Elastic relaxation plays the dominant role in the further increase of the buffer thickness and subsequent Ge deposition. This method leads to Ge nanostructures on Si that are free from misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

  20. Voltage tunable two-band MIR detection based on Si/SiGe quantum cascade injector structures

    International Nuclear Information System (INIS)

    Grydlik, M.; Rauter, P.; Meduna, M.; Fromherz, T.; Bauer, G.; Falub, C.; Dehlinger, G.; Sigg, H.; Gruetzmacher, D.

    2004-01-01

    We report the results of photocurrent spectroscopy in the mid-infrared (MIR) spectral region performed on p-type Si/SiGe cascade structures. The samples were grown by MBE and consist of a series of five SiGe quantum wells with ground states that can be coupled through thin Si barriers by aligning them in energy with an externally applied electric field E bi . Quantum wells and barriers are Boron doped to a level of 2.5 10 17 cm -3 . Our samples contain 10 sequences of the 5 quantum wells separated by 500 nm thick, undoped Si barriers. Vertical photocurrent spectroscopy has been performed for various electric fields applied perpendicular to the quantum wells at temperatures between 10 K and 100 K. Depending on the direction of the externally applied electric field relative to E bi , the photoresponse of our samples can be switched between two MIR detection bands with maxima at 230 meV and 400 meV. Due to the inversion asymmetry of the samples, at 0 V external voltage the samples deliver a short circuit current in the high-energy spectral band. Since the quantum cascades are formed in the valence band of the Si/SiGe structures, the quantum well transitions responsible for the observed photocurrents are allowed for radiation polarized parallel to the quantum wells. Therefore, these structures appear to be suitable for voltage tuneable MIR detection under normal incident radiation. By comparing the experimental results to model calculations, design strategies to optimize the responsivity of the Si/SiGe cascade structures are discussed. (author)

  1. C and Si delta doping in Ge by CH{sub 3}SiH{sub 3} using reduced pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Yuji, E-mail: yamamoto@ihp-microelectronics.com [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Ueno, Naofumi; Sakuraba, Masao [Laboratory for Nanoelectronics and Spintronics, Research Institute of Electrical Communication, Tohoku University, 2-1-1, Katahira, Aoba-Ku, Sendai 980-8577 (Japan); Murota, Junichi [Micro System Integration Center, Tohoku University, 519-1176, Aramaki aza Aoba, Aoba-ku, Sendai 980-0845 (Japan); Mai, Andreas [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Tillack, Bernd [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Technische Universität Berlin, HFT4, Einsteinufer 25, 10587 Berlin (Germany)

    2016-03-01

    C and Si delta doping in Ge are investigated using a reduced pressure chemical vapor deposition system to establish atomic-order controlled processes. CH{sub 3}SiH{sub 3} is exposed at 250 °C to 500 °C to a Ge on Si (100) substrate using H{sub 2} or N{sub 2} carrier gas followed by a Ge cap layer deposition. At 350 °C, C and Si are uniformly adsorbed on the Ge surface and the incorporated C and Si form steep delta profiles below detection limit of SIMS measurement. By using N{sub 2} as carrier gas, the incorporated C and Si doses in Ge are saturated at one mono-layer below 350 °C. At this temperature range, the incorporated C and Si doses are nearly the same, indicating CH{sub 3}SiH{sub 3} is adsorbed on the Ge surface without decomposing the C−Si bond. On the other hand, by using H{sub 2} as carrier gas, lower incorporated C is observed in comparison to Si. CH{sub 3}SiH{sub 3} injected with H{sub 2} carrier gas is adsorbed on Ge without decomposing the C−Si bond and the adsorbed C is reduced by dissociation of the C−Si bond during temperature ramp up to 550 °C. The adsorbed C is maintained on the Ge surface in N{sub 2} at 550 °C. - Highlights: • C and Si delta doping in Ge is investigated using RPCVD system by CH{sub 3}SiH{sub 3} exposure. • Atomically flat C and Si delta layers are fabricated at 350 °C. • Incorporated C and Si doses are saturated at one mono-layer below 350 °C. • CH{sub 3}SiH{sub 3} adsorption occurred without decomposing C−Si bond. • Adsorbed C is desorbed due to dissociation by hydrogen during postannealing at 550 °C.

  2. The influence of a Si cap on self-organized SiGe islands and the underlying wetting layer

    International Nuclear Information System (INIS)

    Brehm, M.; Grydlik, M.; Groiss, H.; Hackl, F.; Schaeffler, F.; Fromherz, T.; Bauer, G.

    2011-01-01

    For the prototypical SiGe/Si(001) Stranski-Krastanow (SK) growth system, the influence of intermixing caused by the deposition of a Si cap layer at temperatures T cap between 300 deg. C and 700 deg. C is studied both for the SiGe wetting layer (WL) and the SiGe islands. Systematic growth experiments were carried out with an ultrahigh resolution of down to 0.005 monolayers (ML) of deposited Ge. The properties of the samples were investigated via photoluminescence (PL) spectroscopy, atomic force microscopy (AFM), and transmission electron microscopy. We studied in detail the influence of T cap in the three main coverage regions of SiGe SK growth, which are (i) the WL build-up regime, (ii) the island nucleation regime, where most of the Ge is supplied via material transfer from the WL, and (iii) the saturation regime, where the WL thickness remains initially stable. At T cap = 300 deg. C, we found that both the WL and the island are essentially preserved in composition and shape, whereas at 500 deg. C the WL becomes heavily alloyed during capping, and at 700 deg. C the islands also become alloyed. At T cap = 500 deg. C we found enhanced WL intermixing in the presence of dome-shaped islands, whereas at T cap 700 deg. C the WL properties become dominated by the dissolution of pyramid-shaped islands upon capping. At Ge coverages above ≅6 ML, we found an unexpected thickening of the WL, almost independently of T cap . This finding suggests that the density and the volume of the dome-shaped islands have an upper limit, beyond which excess Ge from the external source again becomes incorporated into the WL. Finally, we compared PL spectra with AFM-based evaluations of the integral island volumes in order to determine in a straightforward manner the average composition of the SiGe islands.

  3. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    International Nuclear Information System (INIS)

    Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel

  4. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)

    2008-07-30

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.

  5. Growth and characterization of Ge nano-structures on Si(113) by adsorbate-mediated epitaxy; Wachstum und Charakterisierung von Ge-Nanostrukturen auf Si(113) durch Adsorbat-modifizierte Epitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Clausen, T.

    2006-11-15

    In the work presented here Ge nano-structures on Si(113) substrates have been grown by adsorbate-mediated epitaxy at sample temperatures between 400 C and 700 C. The Ge nano-islands and nano-layers have been investigated regarding their atomic reconstruction, morphology, strain state, chemical composition and defect structure. Various in-situ and ex-situ experimental techniques have been used, as there are low-energy electron diffraction, low-energy electron microscopy, X-ray photoemission electron microscopy, spot profile analysis low-energy electron diffraction, grazing incidence X-ray diffraction, scanning tunneling microscopy, atomic force microscopy, scanning electron microscopy and transmission electron microscopy. On a clean Si(113) surface Ge preferentially nucleates at surface step edges and forms a wetting layer exhibiting a Ge-(2 x 2) surface reconstruction. With increasing growth temperature the Ge islands are elongated in the [33 anti 2] direction. Simultaneously, the average island size increases with decreasing island density. From the Arrhenius-like behaviour of the island density, a Ge adatom diffusion barrier height of about 0.53 eV is deduced. At 600 C the Si concentration of the islands amounts to about 41% and the residual lattice strain of the islands is found to about 23 %. The adsorption of Gallium on a clean Si(113) substrate leads to the formation of well ordered surface facets in the [1 anti 10] direction with a periodicity of about 43 nm in the [33 anti 2] direction. From reciprocal space maps in different ({kappa} {sub perpendicular} {sub to} -{kappa} {sub parallel}) planes both facet angles are determined to be about 9.8 with respect to the [113] direction. Thus the facet orientations are identified to be (112) and (115), showing (6 x 1) and (4 x 1) surface reconstructions, respectively. Ge deposition on the faceted Si(113) leads to a high density of ordered 3D Ge nano-islands beaded at the surface facets. The size of these islands is

  6. Tailoring the spin polarization in Ge/SiGe multiple quantum wells

    International Nuclear Information System (INIS)

    Giorgioni, Anna; Pezzoli, Fabio; Gatti, Eleonora; Grilli, Emanuele; Guzzi, Mario; Bottegoni, Federico; Cecchi, Stefano; Ciccacci, Franco; Isella, Giovanni; Trivedi, Dhara; Song, Yang; Li, Pengki; Dery, Hanan

    2013-01-01

    We performed spin-resolved photoluminescence measurements on Ge/SiGe multiple quantum wells with different well thickness and using different exciting power densities. The polarization of the direct emission strongly depends on the relative weight of electrons photoexcited from the light and the heavy hole subbands. The study of the polarization as a function of the exciting power highlights the role of the carrier-carrier interactions in determining spin depolarization

  7. Wafer-scale high-throughput ordered arrays of Si and coaxial Si/Si(1-x)Ge(x) wires: fabrication, characterization, and photovoltaic application.

    Science.gov (United States)

    Pan, Caofeng; Luo, Zhixiang; Xu, Chen; Luo, Jun; Liang, Renrong; Zhu, Guang; Wu, Wenzhuo; Guo, Wenxi; Yan, Xingxu; Xu, Jun; Wang, Zhong Lin; Zhu, Jing

    2011-08-23

    We have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-in. wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultrahigh vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate an array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. Inspired by the excellent antireflection properties of the Si/SiGe wire arrays, we built solar cells based on the arrays of these wires containing radial junctions, an example of which exhibits an open circuit voltage (V(oc)) of 650 mV, a short-circuit current density (J(sc)) of 8.38 mA/cm(2), a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion. © 2011 American Chemical Society

  8. Optical and structural investigations of self-assembled Ge/Si bi-layer containing Ge QDs

    Energy Technology Data Exchange (ETDEWEB)

    Samavati, Alireza, E-mail: alireza.samavati@yahoo.com [Ibn Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia); Othaman, Z., E-mail: zulothaman@gmail.com [Ibn Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia); Ghoshal, S.K.; Dousti, M.R. [Advanced Optical Material Research Group, Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, 81310 UTM Skudai, Johor (Malaysia)

    2014-10-15

    We report the influence of Si spacer thickness variation (10–40 nm) on structural and optical properties of Ge quantum dots (QDs) in Ge/Si(1 0 0) bi-layer grown by radio frequency magnetron sputtering. AFM images reveal the spacer dependent width, height, root mean square roughness and number density of QDs vary in the range of ∼12–25 nm, ∼2–6 nm, ∼1.95–1.05 nm and ∼0.55×10{sup 11}–2.1×10{sup 11} cm{sup −2}, respectively. XRD patterns exhibit the presence of poly-oriented structures of Ge with preferred growth along (1 1 1) direction accompanied by a reduction in strain from 4.9% to 1.2% (estimated from Williamson–Hall plot) due to bi-layering. The room temperature luminescence displays strong blue–violet peak associated with a blue shift as much as 0.05 eV upon increasing the thickness of Si spacer. This shift is attributed to the quantum size effect, the material intermixing and the strain mediation. Raman spectra for both mono and bi-layer samples show intense Ge–Ge optical phonon mode that is shifted towards higher frequency. Furthermore, the first order features of Raman spectra affirm the occurrence of interfacial intermixing and phase formation during deposition. The excellent features of the results suggest that our systematic method may constitute a basis for the tunable growth of Ge QDs suitable in nanophotonics. - Highlights: • High quality bilayered hetero-structure Ge/Si using economic and easy rf magnetron sputtering fabrication method. • The role of phonon-confinement and strain relaxation mechanisms. • Influence of bilayering on evolutionary growth dynamics. • Band gap shift of visible PL upon bilayering.

  9. Influence of the step properties on submonolayer growth of Ge and Si at the Si(111) surface

    Energy Technology Data Exchange (ETDEWEB)

    Romanyuk, Konstantin

    2009-10-21

    The present work describes an experimental investigation of the influence of the step properties on the submonolayer growth at the Si(111) surface. In particular the influence of step properties on the morphology, shape and structural stability of 2D Si/Ge nanostructures was explored. Visualization, morphology and composition measurements of the 2D SiGe nanostructures were carried out by scanning tunneling microscopy (STM). The formation of Ge nanowire arrays on highly ordered kink-free Si stepped surfaces is demonstrated. The crystalline nanowires with minimal kink densities were grown using Bi surfactant mediated epitaxy. The nanowires extend over lengths larger than 1 {mu}m have a width of 4 nm. To achieve the desired growth conditions for the formation of such nanowire arrays, a modified variant of surfactant mediated epitaxy was explored. It was shown that controlling the surfactant coverage at the surface and/or at step edges modifies the growth properties of surface steps in a decisive way. The surfactant coverage at step edges can be associated with Bi passivation of the step edges. The analysis of island size distributions showed that the step edge passivation can be tuned independently by substrate temperature and by Bi rate deposition. The measurements of the island size distributions for Si and Ge in surfactant mediated growth reveal different scaling functions for different Bi deposition rates on Bi terminated Si(111) surface. The scaling function changes also with temperature. The main mechanism, which results in the difference of the scaling functions can be revealed with data of Kinetic Monte-Carlo simulations. According to the data of the Si island size distributions at different growth temperatures and different Bi deposition rates the change of SiGe island shape and preferred step directions were attributed to the change of the step edge passivation. It was shown that the change of the step edge passivation is followed by a change of the

  10. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  11. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  12. Mechanical characterization of poly-SiGe layers for CMOS–MEMS integrated application

    International Nuclear Information System (INIS)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; De Wolf, Ingrid; Puers, Robert

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups

  13. Mechanical characterization of poly-SiGe layers for CMOS-MEMS integrated application

    Science.gov (United States)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; Puers, Robert; De Wolf, Ingrid

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups.

  14. Inhibitive formation of nanocavities by introduction of Si atoms in Ge nanocrystals produced by ion implantation

    Energy Technology Data Exchange (ETDEWEB)

    Cai, R. S.; Shang, L.; Liu, X. H.; Zhang, Y. J. [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Wang, Y. Q., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [The Cultivation Base for State Key Laboratory, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); College of Physics Science, Qingdao University, No. 308 Ningxia Road, Qingdao 266071 (China); Ross, G. G.; Barba, D., E-mail: yqwang@qdu.edu.cn, E-mail: barba@emt.inrs.ca [INRS-Énergie, Matériaux et Télécommunications, 1650 boulevard Lionel-Boulet, Varennes Québec J3X 1S2 (Canada)

    2014-05-28

    Germanium nanocrystals (Ge-nc) were successfully synthesized by co-implantation of Si and Ge ions into a SiO{sub 2} film thermally grown on (100) Si substrate and fused silica (pure SiO{sub 2}), respectively, followed by subsequent annealing at 1150 °C for 1 h. Transmission electron microscopy (TEM) examinations show that nanocavities only exist in the fused silica sample but not in the SiO{sub 2} film on a Si substrate. From the analysis of the high-resolution TEM images and electron energy-loss spectroscopy spectra, it is revealed that the absence of nanocavities in the SiO{sub 2} film/Si substrate is attributed to the presence of Si atoms inside the formed Ge-nc. Because the energy of Si-Ge bonds (301 kJ·mol{sup −1}) are greater than that of Ge-Ge bonds (264 kJ·mol{sup −1}), the introduction of the Si-Ge bonds inside the Ge-nc can inhibit the diffusion of Ge from the Ge-nc during the annealing process. However, for the fused silica sample, no crystalline Si-Ge bonds are detected within the Ge-nc, where strong Ge outdiffusion effects produce a great number of nanocavities. Our results can shed light on the formation mechanism of nanocavities and provide a good way to avoid nanocavities during the process of ion implantation.

  15. Direct growth of Ge quantum dots on a graphene/SiO2/Si structure using ion beam sputtering deposition.

    Science.gov (United States)

    Zhang, Z; Wang, R F; Zhang, J; Li, H S; Zhang, J; Qiu, F; Yang, J; Wang, C; Yang, Y

    2016-07-29

    The growth of Ge quantum dots (QDs) using the ion beam sputtering deposition technique has been successfully conducted directly on single-layer graphene supported by SiO2/Si substrate. The results show that the morphology and size of Ge QDs on graphene can be modulated by tuning the Ge coverage. Charge transfer behavior, i.e. doping effect in graphene has been demonstrated at the interface of Ge/graphene. Compared with that of traditional Ge dots grown on Si substrate, the positions of both corresponding photoluminescence (PL) peaks of Ge QDs/graphene hybrid structure undergo a large red-shift, which can probably be attributed to the lack of atomic intermixing and the existence of surface states in this hybrid material. According to first-principles calculations, the Ge growth on the graphene should follow the so-called Volmer-Weber mode instead of the Stranski-Krastanow one which is observed generally in the traditional Ge QDs/Si system. The calculations also suggest that the interaction between Ge and graphene layer can be enhanced with the decrease of the Ge coverage. Our results may supply a prototype for fabricating novel optoelectronic devices based on a QDs/graphene hybrid nanostructure.

  16. All-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayers fabricated by Sn-induced low-temperature epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kawano, M.; Ikawa, M.; Arima, K.; Yamada, S.; Kanashima, T.; Hamaya, K., E-mail: hamaya@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka 560-8531 (Japan)

    2016-01-28

    We demonstrate low-temperature growth of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures by developing Sn-induced surfactant-mediated molecular beam epitaxy (SMBE) of Ge on Co{sub 2}FeSi. Despite the growth of a semiconductor on a metal, we verify that the inserted Sn monolayers between Ge and Co{sub 2}FeSi enable to promote the 2D epitaxial growth of Ge up to 5 nm at a T{sub G} of 250 °C. An understanding of the mechanism of the Sn-induced SMBE leads to the achievement of all-epitaxial Co{sub 2}FeSi/Ge/Co{sub 2}FeSi trilayer structures with spin-valve-like magnetization reversals. This study will open a way for vertical-type and high-performance Ge-based spintronics devices.

  17. Relaxation of mechanical stresses in Si-Ge/Si structures implanted by carbon ions. Study with optical methods

    International Nuclear Information System (INIS)

    Klyuj, M.Yi.

    1998-01-01

    Optical properties of Si-Ge/Si structures implanted by carbon ions with the energy of 20 keV and at the doses of 5 centre dot 10 15 - 1- 16 cm -2 are studied by spectro ellipsometry and Raman scattering techniques. From the comparison of experimental data with the results of theoretical calculations, it is shown that, as a result of implantation, a partial relaxation of mechanical stresses in the Si 1-x Ge x film due to introduction of carbon atoms with a small covalent radius into the Si-Ge lattice takes place. An elevated implantation temperature allows one to maintain a high structural perfection of the implanted film

  18. Heavy Ion Current Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; Vizkelethy, Gyorgy; McMorrow, Dale; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philipe; Duhanel, Olivier; Phillips, Stanley D.; Sutton, Akil K.; hide

    2009-01-01

    Time-resolved ion beam induced charge reveals heavy ion response of IBM 5AM SiGe HBT: a) Position correlation[ b) Unique response for different bias schemes; c) Similarities to TPA pulsed-laser data. Heavy ion broad-beam transients provide more realistic device response: a) Feedback using microbeam data; b) Overcome issues of LET and ion range with microbeam. Both micro- and broad-beam data sets yield valuable input for TCAD simulations. Uncover detailed mechanisms for SiGe HBTs and other devices fabricated on lightly-doped substrates.

  19. Improvement of photoluminescence from Ge layer with patterned Si3N4 stressors

    International Nuclear Information System (INIS)

    Oda, Katsuya; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-01-01

    Lattice strain applied by patterned Si 3 N 4 stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si 3 N 4 stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si 3 N 4 layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si 3 N 4 stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si 3 N 4 stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si 3 N 4 stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si 3 N 4 stressors. • Photoluminescence (PL) intensity was increased with the Si 3 N 4 stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers

  20. The Leakage Current Improvement of a Ni-Silicided SiGe/Si Junction Using a Si Cap Layer and the PAI Technique

    International Nuclear Information System (INIS)

    Chang Jian-Guang; Wu Chun-Bo; Ji Xiao-Li; Ma Hao-Wen; Yan Feng; Shi Yi; Zhang Rong

    2012-01-01

    We investigate the leakage current of ultra-shallow Ni-silicided SiGe/Si junctions for 45 nm CMOS technology using a Si cap layer and the pre-amorphization implantation (PAI) process. It is found that with the conventional Ni silicide method, the leakage current of a p + (SiGe)—n(Si) junction is large and attributed to band-to-band tunneling and the generation-recombination process. The two leakage contributors can be suppressed quite effectively when a Si cap layer is added in the Ni silicide method. The leakage reduction is about one order of magnitude and could be associated with the suppression of the agglomeration of the Ni germano-silicide film. In addition, the PAI process after the application of a Si cap layer has little effect on improving the junction leakage but reduces the sheet resistance of the silicide film. As a result, the novel Ni silicide method using a Si cap combined with PAI is a promising choice for SiGe junctions in advanced technology. (cross-disciplinary physics and related areas of science and technology)

  1. Comparative study of GeO{sub 2}/Ge and SiO{sub 2}/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Daichi; Kawai, Kentaro; Morita, Mizuho; Arima, Kenta, E-mail: arima@prec.eng.osaka-u.ac.jp [Department of Precision Science and Technology, Graduate School of Engineering, Osaka University, 2-1, Yamada-oka, Suita, Osaka 565-0871 (Japan); Oka, Hiroshi; Hosoi, Takuji; Watanabe, Heiji [Department of Material and Life Science, Graduate School of Engineering, Osaka University, 2-1, Yamada-oka, Suita, Osaka 565-0871 (Japan); Crumlin, Ethan J.; Liu, Zhi [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)

    2016-09-07

    The energy difference between the oxide and bulk peaks in X-ray photoelectron spectroscopy (XPS) spectra was investigated for both GeO{sub 2}/Ge and SiO{sub 2}/Si structures with thickness-controlled water films. This was achieved by obtaining XPS spectra at various values of relative humidity (RH) of up to ∼15%. The increase in the energy shift is more significant for thermal GeO{sub 2} on Ge than for thermal SiO{sub 2} on Si above ∼10{sup −4}% RH, which is due to the larger amount of water molecules that infiltrate into the GeO{sub 2} film to form hydroxyls. Analyzing the origins of this energy shift, we propose that the positive charging of a partially hydroxylated GeO{sub 2} film, which is unrelated to X-ray irradiation, causes the larger energy shift for GeO{sub 2}/Ge than for SiO{sub 2}/Si. A possible microscopic mechanism of this intrinsic positive charging is the emission of electrons from adsorbed water species in the suboxide layer of the GeO{sub 2} film to the Ge bulk, leaving immobile cations or positively charged states in the oxide. This may be related to the reported negative shift of flat band voltages in metal-oxide-semiconductor diodes with an air-exposed GeO{sub 2} layer.

  2. Cryogenic operation of a 24 GHz MMIC SiGe HBT medium power amplifier

    International Nuclear Information System (INIS)

    Qin, Guoxuan; Jiang, Ningyue; Seo, Jung-Hun; Cho, Namki; Van der Weide, Daniel; Ma, Zhenqiang; Ponchak, George E; Ma, Pingxi; Stetson, Scott; Racanelli, Marco

    2010-01-01

    The performance of a SiGe heterojunction bipolar transistor (HBT) millimetre-wave power amplifier (PA) operating at cryogenic temperature was reported and analysed for the first time. A 24 GHz two-stage medium PA employing common-emitter and common-base SiGe power HBTs in the first and the second stage, respectively, showed a significant power gain increase at 77 K in comparison with that measured at room temperature. Detailed analyses indicate that cryogenic operation of SiGe HBT-based PAs mainly affects (improves) the performance of the SiGe HBTs in the circuits due to transconductance enhancement through magnified, favourable changes of SiGe bandgap due to cooling (ΔE g /kT) and minimized thermal effects, with little influence on the passive components of the circuits

  3. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    Science.gov (United States)

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  4. Ge/Si(001) heterostructures with dense arrays of Ge quantum dots: morphology, defects, photo-emf spectra and terahertz conductivity.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V; Storozhevykh, Mikhail S; Chapnin, Valery A; Chizh, Kirill V; Uvarov, Oleg V; Kalinushkin, Victor P; Zhukova, Elena S; Prokhorov, Anatoly S; Spektor, Igor E; Gorshunov, Boris P

    2012-07-23

    : Issues of Ge hut cluster array formation and growth at low temperatures on the Ge/Si(001) wetting layer are discussed on the basis of explorations performed by high resolution STM and in-situ RHEED. Dynamics of the RHEED patterns in the process of Ge hut array formation is investigated at low and high temperatures of Ge deposition. Different dynamics of RHEED patterns during the deposition of Ge atoms in different growth modes is observed, which reflects the difference in adatom mobility and their 'condensation' fluxes from Ge 2D gas on the surface for different modes, which in turn control the nucleation rates and densities of Ge clusters. Data of HRTEM studies of multilayer Ge/Si heterostructures are presented with the focus on low-temperature formation of perfect films.Heteroepitaxial Si p-i-n-diodes with multilayer stacks of Ge/Si(001) quantum dot dense arrays built in intrinsic domains have been investigated and found to exhibit the photo-emf in a wide spectral range from 0.8 to 5 μm. An effect of wide-band irradiation by infrared light on the photo-emf spectra has been observed. Photo-emf in different spectral ranges has been found to be differently affected by the wide-band irradiation. A significant increase in photo-emf is observed in the fundamental absorption range under the wide-band irradiation. The observed phenomena are explained in terms of positive and neutral charge states of the quantum dot layers and the Coulomb potential of the quantum dot ensemble. A new design of quantum dot infrared photodetectors is proposed.By using a coherent source spectrometer, first measurements of terahertz dynamical conductivity (absorptivity) spectra of Ge/Si(001) heterostructures were performed at frequencies ranged from 0.3 to 1.2 THz in the temperature interval from 300 to 5 K. The effective dynamical conductivity of the heterostructures with Ge quantum dots has been discovered to be significantly higher than that of the structure with the same amount of bulk

  5. Synthesis and fundamental properties of stable Ph(3)SnSiH(3) and Ph(3)SnGeH(3) hydrides: model compounds for the design of Si-Ge-Sn photonic alloys.

    Science.gov (United States)

    Tice, Jesse B; Chizmeshya, Andrew V G; Groy, Thomas L; Kouvetakis, John

    2009-07-06

    The compounds Ph(3)SnSiH(3) and Ph(3)SnGeH(3) (Ph = C(6)H(5)) have been synthesized as colorless solids containing Sn-MH(3) (M = Si, Ge) moieties that are stable in air despite the presence of multiple and highly reactive Si-H and Ge-H bonds. These molecules are of interest since they represent potential model compounds for the design of new classes of IR semiconductors in the Si-Ge-Sn system. Their unexpected stability and high solubility also makes them a safe, convenient, and potentially useful delivery source of -SiH(3) and -GeH(3) ligands in molecular synthesis. The structure and composition of both compounds has been determined by chemical analysis and a range of spectroscopic methods including multinuclear NMR. Single crystal X-ray structures were determined and indicated that both compounds condense in a Z = 2 triclinic (P1) space group with lattice parameters (a = 9.7754(4) A, b = 9.8008(4) A, c = 10.4093(5) A, alpha = 73.35(10)(o), beta = 65.39(10)(o), gamma = 73.18(10)(o)) for Ph(3)SnSiH(3) and (a = 9.7927(2) A, b = 9.8005(2) A, c = 10.4224(2) A, alpha = 74.01(3)(o), beta = 65.48(3)(o), gamma = 73.43(3)(o)) for Ph(3)SnGeH(3). First principles density functional theory simulations are used to corroborate the molecular structures of Ph(3)SnSiH(3) and Ph(3)SnGeH(3), gain valuable insight into the relative stability of the two compounds, and provide correlations between the Si-Sn and Ge-Sn bonds in the molecules and those in tetrahedral Si-Ge-Sn solids.

  6. RBS/channeling study of buried Ge quantum dots grown in a Si layer

    International Nuclear Information System (INIS)

    Fonseca, A.; Alves, E.; Barradas, N.P.; Leitao, J.P.; Sobolev, N.A.; Carmo, M.C.; Nikiforov, A.I.; Presting, H.

    2006-01-01

    In last decades we have been assisting to a crescent importance of low dimensional systems for the fabrication of nano- and opto-electronic devices. Ge quantum dots (QDs) are well suited for fulfilling these requirements. In this work we present and discuss Ge/Si multilayer and single layer samples grown by molecular beam epitaxy. RBS/channeling results reveal the evidence for the presence of Ge QD for the thickest (with 1 ML of SiO 2 and 0.9 nm of Ge) single layer sample. On the other hand Ge atoms are fully substitutional incorporated in the Si matrix for the thinner sample, excluding the formation of Ge QDs. Multilayer sample shows an angular deviation of the Ge curve (-0.48 o ) with respect to the Si ones, indicating the compressive strain of the films. A tetragonal distortion of (1.78 ± 0.19%) was calculated

  7. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  8. Extended deep level defects in Ge-condensed SiGe-on-Insulator structures fabricated using proton and helium implantations

    International Nuclear Information System (INIS)

    Kwak, D.W.; Lee, D.W.; Oh, J.S.; Lee, Y.H.; Cho, H.Y.

    2012-01-01

    SiGe-on-Insulator (SGOI) structures were created using the Ge condensation method, where an oxidation process is performed on the SiGe/Si structure. This method involves rapid thermal chemical vapor deposition and H + /He + ion-implantations. Deep level defects in these structures were investigated using deep level transient spectroscopy (DLTS) by varying the pulse injection time. According to the DLTS measurements, a deep level defect induced during the Ge condensation process was found at 0.28 eV above the valence band with a capture cross section of 2.67 × 10 −17 cm 2 , two extended deep levels were also found at 0.54 eV and 0.42 eV above the valence band with capture cross sections of 3.17 × 10 −14 cm 2 and 0.96 × 10 −15 cm 2 , respectively. In the SGOI samples with ion-implantation, the densities of the newly generated defects as well as the existing defects were decreased effectively. Furthermore, the Coulomb barrier heights of the extended deep level defects were drastically reduced. Thus, we suggest that the Ge condensation method with H + ion implantation could reduce deep level defects generated from the condensation and control the electrical properties of the condensed SiGe layers. - Highlights: ► We have fabricated low-defective SiGe-on-Insulator (SGOI) with implantation method. ► H + and He + -ions are used for ion-implantation method. ► We have investigated the deep level defects of SGOI layers. ► Ge condensation method using H + ion implantation could reduce extended defects. ► They could enhance electrical properties.

  9. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  10. Delayed plastic relaxation limit in SiGe islands grown by Ge diffusion from a local source

    Energy Technology Data Exchange (ETDEWEB)

    Vanacore, G. M.; Zani, M.; Tagliaferri, A., E-mail: alberto.tagliaferri@polimi.it [CNISM-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Nicotra, G. [IMM-CNR, Stradale Primosole 50, I-95121 Catania (Italy); Bollani, M. [CNR-IFN, LNESS, Via Anzani 42, I-22100 Como (Italy); Bonera, E.; Montalenti, F.; Picco, A.; Boioli, F. [Dipartimento di Scienza dei Materiali and L-NESS, Università Milano-Bicocca, via Cozzi 53, I-20125 Milano (Italy); Capellini, G. [Department of Sciences at the Università Roma Tre, Via Vasca Navale 79, 00146 Roma (Italy); Isella, G. [CNISM, LNESS, Dipartimento di Fisica, Politecnico di Milano (Polo di Como), Via Anzani 42, I-22100 Como (Italy); Osmond, J. [ICFO–The Institute of Photonic Sciences, Av. Carl Friedrich Gauss, 3, E-08860 Castelldefels (Barcelona) (Spain)

    2015-03-14

    The hetero-epitaxial strain relaxation in nano-scale systems plays a fundamental role in shaping their properties. Here, the elastic and plastic relaxation of self-assembled SiGe islands grown by surface-thermal-diffusion from a local Ge solid source on Si(100) are studied by atomic force and transmission electron microscopies, enabling the simultaneous investigation of the strain relaxation in different dynamical regimes. Islands grown by this technique remain dislocation-free and preserve a structural coherence with the substrate for a base width as large as 350 nm. The results indicate that a delay of the plastic relaxation is promoted by an enhanced Si-Ge intermixing, induced by the surface-thermal-diffusion, which takes place already in the SiGe overlayer before the formation of a critical nucleus. The local entropy of mixing dominates, leading the system toward a thermodynamic equilibrium, where non-dislocated, shallow islands with a low residual stress are energetically stable. These findings elucidate the role of the interface dynamics in modulating the lattice distortion at the nano-scale, and highlight the potential use of our growth strategy to create composition and strain-controlled nano-structures for new-generation devices.

  11. Electronic and magnetic properties of Si substituted Fe{sub 3}Ge

    Energy Technology Data Exchange (ETDEWEB)

    Shanavas, K. V., E-mail: kavungalvees@ornl.gov; McGuire, Michael A.; Parker, David S. [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831-6056 (United States)

    2015-09-28

    Using first principles calculations, we studied the effect of Si substitution in the hexagonal Fe{sub 3}Ge. We find the low temperature magnetic anisotropy in this system to be planar and originating mostly from the spin-orbit coupling in Fe-d states. Reduction of the unitcell volume reduces the magnitude of in-plane magnetic anisotropy, eventually turning it positive which reorients the magnetic moments to the axial direction. Substituting Ge with the smaller Si ions also increases the anisotropy, potentially enhancing the region of stability of the axial magnetization, which is beneficial for magnetic applications such as permanent magnets. Our experimental measurements on samples of Fe{sub 3}Ge{sub 1−x}Si{sub x} confirm these predictions and show that substitution of about 6% of the Ge with Si increases by approximately 35 K the temperature range over which anisotropy is uniaxial.

  12. Boron diffusion in Ge+ premorphized and BF2 implanted Si(001)

    International Nuclear Information System (INIS)

    Zou, L.F.; Acosta-Ortiz, S.E.; Zou, L.X.; Regalado, L.E.; Sun, D.Z.; Wang, Z.G.

    1998-01-01

    The annealing behavior of Si implanted with Ge and then BF 2 has been characterized by double crystal X-ray diffraction (DCXRD) and secondary ion mass spectroscopy (SIMS). The results show that annealing at 600 Centigrade for 60 minutes can only remove a little damage induced by implantation and nearly no redistribution of Ge and B atoms has occurred during the annealing. The initial crystallinity of Si is fully recovered after annealing at 950 Centigrade for 60 minutes and accompanied by Ge diffusion. Very shallow boron junction depth has been formed. When annealing temperature rises to 1050 Centigrade, B diffusion enhances, which leads to a deep diffusion and good distribution of B atoms into the Si substrate. The X-ray diffraction (004) rocking curves from the samples annealed at 1050 Centigrade for 60 minutes display two Si Ge peaks, which may be related to the B concentration profiles. (Author)

  13. Growth Mechanism and Surface Structure of Ge Nanocrystals Prepared by Thermal Annealing of Cosputtered GeSiO Ternary Precursor

    Directory of Open Access Journals (Sweden)

    Bo Zhang

    2014-01-01

    Full Text Available Ge nanocrystals (Ge-ncs embedded in a SiO2 superlattice structure were prepared by magnetron cosputtering and postdeposition annealing. The formation of spherical nanocrystals was confirmed by transmission electron microscopy and their growth process was studied by a combination of spectroscopic techniques. The crystallinity volume fraction of Ge component was found to increase with crystallite size, but its overall low values indicated a coexistence of crystalline and noncrystalline phases. A reduction of Ge-O species was observed in the superlattice during thermal annealing, accompanied by a transition from oxygen-deficient silicon oxide to silicon dioxide. A growth mechanism involving phase separation of Ge suboxides (GeOx was then proposed to explain these findings and supplement the existing growth models for Ge-ncs in SiO2 films. Further analysis of the bonding structure of Ge atoms suggested that Ge-ncs are likely to have a core-shell structure with an amorphous-like surface layer, which is composed of GeSiO ternary complex. The surface layer thickness was extracted to be a few angstroms and equivalent to several atomic layer thicknesses.

  14. Structural evolution of Ge-rich Si1−xGex films deposited by jet-ICPCVD

    Directory of Open Access Journals (Sweden)

    Yu Wang

    2015-11-01

    Full Text Available Amorphous Ge-rich Si1−xGex films with local Ge-clustering were deposited by dual-source jet-type inductively coupled plasma chemical-vapor deposition (jet-ICPCVD. The structural evolution of the deposited films annealed at various temperatures (Ta is investigated. Experimental results indicate that the crystallization occurs to form Ge and Si clusters as Ta = 500 °C. With raising Ta up to 900 °C, Ge clusters percolate together and Si diffuses and redistributes to form a Ge/SiGe core/shell structure, and some Ge atoms partially diffuse to the surface as a result of segregation. The present work will be helpful in understanding the structural evolution process of a hybrid SiGe films and beneficial for further optimizing the microstructure and properties.

  15. Ge-rich graded-index Si1-xGex devices for MID-IR integrated photonics

    Science.gov (United States)

    Ramirez, J. M.; Vakarin, V.; Liu, Q.; Frigerio, J.; Ballabio, A.; Le Roux, X.; Benedikovic, D.; Alonso-Ramos, C.; Isella, G.; Vivien, L.; Marris-Morini, D.

    2018-02-01

    Mid-infrared (mid-IR) silicon photonics is becoming a prominent research with remarkable potential in several applications such as in early medical diagnosis, safe communications, imaging, food safety and many more. In the quest for the best material platform to develop new photonic systems, Si and Ge depart with a notable advantage over other materials due to the high processing maturity accomplished during the last part of the 20th century through the deployment of the CMOS technology. From an optical viewpoint, combining Si with Ge to obtain SiGe alloys with controlled stoichiometry is also of interest for the photonic community since permits to increase the effective refractive index and the nonlinear parameter, providing a fascinating playground to exploit nonlinear effects. Furthermore, using Ge-rich SiGe gives access to a range of deep mid-IR wavelengths otherwise inaccessible (λ 2-20 μm). In this paper, we explore for the first time the limits of this approach by measuring the spectral loss characteristic over a broadband wavelength range spanning from λ = 5.5 μm to 8.5 μm. Three different SiGe waveguide platforms are compared, each one showing higher compactness than the preceding through the engineering of the vertical Ge profile, giving rise to different confinement characteristics to the propagating modes. A flat propagation loss characteristic of 2-3 dB/cm over the entire wavelength span is demonstrated in Ge-rich graded-index SiGe waveguides of only 6 μm thick. Also, the role of the overlap fraction of the confined optical mode with the Si-rich area at the bottom side of the epitaxial SiGe waveguide is put in perspective, revealing a lossy characteristic compared to the other designs were the optical mode is located in the Ge-rich area at the top of the waveguide uniquely. These Ge-rich graded-index SiGe waveguides may pave the way towards a new generation of photonic integrated circuits operating at deep mid-IR wavelengths.

  16. Noise Parameter Analysis of SiGe HBTs for Different Sizes in the Breakdown Region

    Directory of Open Access Journals (Sweden)

    Chie-In Lee

    2016-01-01

    Full Text Available Noise parameters of silicon germanium (SiGe heterojunction bipolar transistors (HBTs for different sizes are investigated in the breakdown region for the first time. When the emitter length of SiGe HBTs shortens, minimum noise figure at breakdown decreases. In addition, narrower emitter width also decreases noise figure of SiGe HBTs in the avalanche region. Reduction of noise performance for smaller emitter length and width of SiGe HBTs at breakdown resulted from the lower noise spectral density resulting from the breakdown mechanism. Good agreement between experimental and simulated noise performance at breakdown is achieved for different sized SiGe HBTs. The presented analysis can benefit the RF circuits operating in the breakdown region.

  17. Enhanced Electrical Activation in In-Implanted Si0.35Ge0.65 by C Co-Doping

    International Nuclear Information System (INIS)

    Feng, Ruixing; Kremer, Felipe; Sprouster, David J.; Mirzaei, Sahar; Decoster, Stefan

    2016-01-01

    In this report, we have achieved a significant increase in the electrically active dopant fraction in Indium (In)-implanted Si 0.35 Ge 0.65 , by co-doping with the isovalent element Carbon (C). Electrical measurements have been correlated with X-ray absorption spectroscopy to determine the electrical properties and the In atom lattice location. With C+In co-doping, the solid solubility of In in Si 0.35 Ge 0.65 was at least tripled from between 0.02 and 0.06 at% to between 0.2 and 0.6 at% as a result of C–In pair formation, which suppressed In metal precipitation. A dramatic improvement of electrical properties was thus attained in the co-doped samples.

  18. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan

    2018-04-03

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  19. Impacts of doping on epitaxial germanium thin film quality and Si-Ge interdiffusion

    KAUST Repository

    Zhou, Guangnan; Lee, Kwang Hong; Anjum, Dalaver H.; Zhang, Qiang; Zhang, Xixiang; Tan, Chuan Seng; Xia, Guangrui

    2018-01-01

    Ge-on-Si structures with three different dopants (P, As and B) and those without intentional doping were grown, annealed and characterized by several different material characterization methods. All samples have a smooth surface (roughness < 1.5 nm), and the Ge films are almost entirely relaxed. B doped Ge films have threading dislocations above 1 × 10 cm, while P and As doping can reduce the threading dislocation density to be less than 10 cm without annealing. The interdiffusion of Si and Ge of different films have been investigated experimentally and theoretically. A quantitative model of Si-Ge interdiffusion under extrinsic conditions across the full x range was established including the dislocationmediated diffusion. The Kirkendall effect has been observed. The results are of technical significance for the structure, doping, and process design of Ge-on-Si based devices, especially for photonic applications.

  20. Kinetics of Si and Ge nanowires growth through electron beam evaporation

    Directory of Open Access Journals (Sweden)

    Artoni Pietro

    2011-01-01

    Full Text Available Abstract Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C, in this study, it is proved that Si and Ge nanowires (NWs growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  1. Kinetics of Si and Ge nanowires growth through electron beam evaporation.

    Science.gov (United States)

    Artoni, Pietro; Pecora, Emanuele Francesco; Irrera, Alessia; Priolo, Francesco

    2011-02-21

    Si and Ge have the same crystalline structure, and although Si-Au and Ge-Au binary alloys are thermodynamically similar (same phase diagram, with the eutectic temperature of about 360°C), in this study, it is proved that Si and Ge nanowires (NWs) growth by electron beam evaporation occurs in very different temperature ranges and fluence regimes. In particular, it is demonstrated that Ge growth occurs just above the eutectic temperature, while Si NWs growth occurs at temperature higher than the eutectic temperature, at about 450°C. Moreover, Si NWs growth requires a higher evaporated fluence before the NWs become to be visible. These differences arise in the different kinetics behaviors of these systems. The authors investigate the microscopic growth mechanisms elucidating the contribution of the adatoms diffusion as a function of the evaporated atoms direct impingement, demonstrating that adatoms play a key role in physical vapor deposition (PVD) NWs growth. The concept of incubation fluence, which is necessary for an interpretation of NWs growth in PVD growth conditions, is highlighted.

  2. Spin-polarized photoemission from SiGe heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ferrari, A.; Bottegoni, F.; Isella, G.; Cecchi, S.; Chrastina, D.; Finazzi, M.; Ciccacci, F. [LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano (Italy)

    2013-12-04

    We apply the principles of Optical Orientation to measure by Mott polarimetry the spin polarization of electrons photoemitted from different group-IV heterostructures. The maximum measured spin polarization, obtained from a Ge/Si{sub 0.31}Ge{sub 0.69} strained film, undoubtedly exceeds the maximum value of 50% attainable in bulk structures. The explanation we give for this result lies in the enhanced band orbital mixing between light hole and split-off valence bands as a consequence of the compressive strain experienced by the thin Ge layer.

  3. Templated self-assembly of SiGe quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Dais, Christian

    2009-08-19

    This PhD thesis reports on the fabrication and characterization of exact aligned SiGe quantum dot structures. In general, SiGe quantum dots which nucleate via the Stranski-Krastanov growth mode exhibit broad size dispersion and nucleate randomly on the surface. However, to tap the full potential of SiGe quantum dots it is necessary to control the positioning and size of the dots on a nanometer length, e.g. for electronically addressing of individual dots. This can be realized by so-called templated self-assembly, which combines top-down lithography with bottom-up selfassembly. In this process the lithographically defined pits serve as pre-defined nucleation points for the epitaxially grown quantum dots. In this thesis, extreme ultraviolet interference lithography at a wavelength of e=13.4 nm is employed for prepatterning of the Si substrates. This technique allows the precise and fast fabrication of high-resolution templates with a high degree of reproducibility. The subsequent epitaxial deposition is either performed by molecular beam epitaxy or low-pressure chemical vapour deposition. It is shown that the dot nucleation on pre-patterned substrates depends strongly on the lithography parameters, e.g. size and periodicity of the pits, as well as on the epitaxy parameters, e.g. growth temperature or material coverage. The interrelations are carefully analyzed by means of scanning force microscopy, transmission electron microscopy and X-ray diffraction measurements. Provided that correct template and overgrowth parameters are chosen, perfectly aligned and uniform SiGe quantum dot arrays of different period, size as well as symmetry are created. In particular, the quantum dot arrays with the so far smallest period (35 nm) and smallest size dispersion are fabricated in this thesis. Furthermore, the strain fields of the underlying quantum dots allow the fabrication of vertically aligned quantum dot stacks. Combining lateral and vertical dot alignment results in three

  4. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  5. Growth of BaSi2 film on Ge(100) by vacuum evaporation and its photoresponse properties

    Science.gov (United States)

    Trinh, Cham Thi; Nakagawa, Yoshihiko; Hara, Kosuke O.; Kurokawa, Yasuyoshi; Takabe, Ryota; Suemasu, Takashi; Usami, Noritaka

    2017-05-01

    We have successfully grown a polycrystalline orthorhombic BaSi2 film on a Ge(100) substrate by an evaporation method. Deposition of an amorphous Si (a-Si) film on the Ge substrate prior to BaSi2 evaporation plays a critical role in obtaining a high-quality BaSi2 film. By controlling substrate temperature and the thickness of the a-Si film, a crack-free and single-phase polycrystalline orthorhombic BaSi2 film with a long carrier lifetime of 1.5 µs was obtained on Ge substrates. The photoresponse property of the ITO/BaSi2/Ge/Al structure was clearly observed, and photoresponsivity was found to increase with increasing substrate temperature during deposition of a-Si. Furthermore, the BaSi2 film grown on Ge showed a higher photoresponsivity than that grown on Si, indicating the potential application of evaporated BaSi2 on Ge to thin-film solar cells.

  6. Tuning ZrFe{sub 4}Si{sub 2} by Ge and Y substitution

    Energy Technology Data Exchange (ETDEWEB)

    Weber, Katharina [Max Planck Institute for Chemical Physics of Solids, Dresden (Germany); Institute of Solid State Physics, TU Dresden (Germany); Mufti, Nandang; Bergmann, Christoph; Rosner, Helge; Geibel, Christoph [Max Planck Institute for Chemical Physics of Solids, Dresden (Germany); Goltz, Til; Klauss, Hans-Henning [Institute of Solid State Physics, TU Dresden (Germany); Woike, Theo [Institute for Structural Physics, TU Dresden (Germany)

    2016-07-01

    The intermetallic compound series AFe{sub 4}X{sub 2} (A = Y, Lu, Zr; X = Si, Ge) presents a rare case of magnetic frustrated metallic systems. In particular ZrFe{sub 4}Si{sub 2} is of strong interest because our results indicate this system to be very close to a quantum critical point (QCP) where Fe magnetic order disappears. To get a deeper insight into its ground state, we performed a detailed study of Ge and Y substituted ZrFe{sub 4}Si{sub 2}. The isovalent substitution of Ge for Si induces a negative chemical pressure as Ge is larger than Si. As expected from this, the substitution results in the formation of a well-defined antiferromagnetic order with Neel temperatures increasing up to 25 K at 40 % Ge. This confirms ZrFe{sub 4}Si{sub 2} to be extremely close to the QCP, just on the magnetic side of it. With the second substitution series Y{sub x}Zr{sub 1-x}Fe{sub 4}Si{sub 2} we investigate the development from the highly reduced antiferromagnetic order in ZrFe{sub 4}Si{sub 2} towards the two magnetic transitions at 56 K and 76 K, which we see in YFe{sub 4}Si{sub 2}.

  7. SiGe Integrated Circuit Developments for SQUID/TES Readout

    Science.gov (United States)

    Prêle, D.; Voisin, F.; Beillimaz, C.; Chen, S.; Piat, M.; Goldwurm, A.; Laurent, P.

    2018-03-01

    SiGe integrated circuits dedicated to the readout of superconducting bolometer arrays for astrophysics have been developed since more than 10 years at APC. Whether for Cosmic Microwave Background (CMB) observations with the QUBIC ground-based experiment (Aumont et al. in astro-ph.IM, 2016. arXiv:1609.04372) or for the Hot and Energetic Universe science theme with the X-IFU instrument on-board of the ATHENA space mission (Barret et al. in SPIE 9905, space telescopes & instrumentation 2016: UV to γ Ray, 2016. https://doi.org/10.1117/12.2232432), several kinds of Transition Edge Sensor (TES) (Irwin and Hilton, in ENSS (ed) Cryogenic particle detection, Springer, Berlin, 2005) arrays have been investigated. To readout such superconducting detector arrays, we use time or frequency domain multiplexers (TDM, FDM) (Prêle in JINST 10:C08015, 2016. https://doi.org/10.1088/1748-0221/10/08/C08015) with Superconducting QUantum Interference Devices (SQUID). In addition to the SQUID devices, low-noise biasing and amplification are needed. These last functions can be obtained by using BiCMOS SiGe technology in an Application Specific Integrated Circuit (ASIC). ASIC technology allows integration of highly optimised circuits specifically designed for a unique application. Moreover, we could reach very low-noise and wide band amplification using SiGe bipolar transistor either at room or cryogenic temperatures (Cressler in J Phys IV 04(C6):C6-101, 1994. https://doi.org/10.1051/jp4:1994616). This paper discusses the use of SiGe integrated circuits for SQUID/TES readout and gives an update of the last developments dedicated to the QUBIC telescope and to the X-IFU instrument. Both ASIC called SQmux128 and AwaXe are described showing the interest of such SiGe technology for SQUID multiplexer controls.

  8. Photonic metasurface made of array of lens-like SiGe Mie resonators formed on (100) Si substrate via dewetting

    Science.gov (United States)

    Poborchii, Vladimir; Shklyaev, Alexander; Bolotov, Leonid; Uchida, Noriyuki; Tada, Tetsuya; Utegulov, Zhandos N.

    2017-12-01

    Metasurfaces consisting of arrays of high-index Mie resonators concentrating/redirecting light are important for integrated optics, photodetectors, and solar cells. Herein, we report the optical properties of low-Ge-content SiGe lens-like Mie resonator island arrays fabricated via dewetting during Ge deposition on a Si(100) surface at approximately 900 °C. We observe enhancement of the Si interaction with light owing to the efficient island-induced light concentration in the submicron-depth Si layer, which is mediated by both near-field Mie resonance leaking into the substrate and far-field light focusing. Such metasurfaces can improve the Si photodetector and solar-cell performance.

  9. Study of Ge loss during Ge condensation process

    International Nuclear Information System (INIS)

    Xue, Z.Y.; Di, Z.F.; Ye, L.; Mu, Z.Q.; Chen, D.; Wei, X.; Zhang, M.; Wang, X.

    2014-01-01

    Ge loss during Ge condensation process was investigated by transmission electron microscopy, Raman spectroscopy, secondary ion mass spectrometry and Rutherford backscattering spectrometry. This work reveals that Ge loss can be attributed to the Ge oxidation at SiO 2 /SiGe interface, Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface. During Ge condensation process, with the increase of the Ge content, the Si atoms become insufficient for selective oxidation at the oxide/SiGe interface. Consequently, the Si and Ge are oxidized simultaneously. When the Ge composition in SiGe layer increases further and approaches 100%, the Ge atoms begin to diffuse into the top SiO 2 layer and buried SiO 2 layer. However, the X-ray photoelectron spectrometry analysis manifests that the chemical states of the Ge in top SiO 2 layer are different from those in buried SiO 2 layer, as the Ge atoms diffused into top SiO 2 layer are oxidized to form GeO 2 in the subsequent oxidation step. With the increase of the diffusion time, a quantity of Ge atoms diffuse through buried SiO 2 layer and pile up at buried SiO 2 /Si interface due to the interfacial trapping. The SiO 2 /Si interface acts like a pump, absorbing Ge from a Ge layer continuously through a pipe-buried SiO 2 layer. With the progress of Ge condensation process, the quantity of Ge accumulated at SiO 2 /Si interface increases remarkably. - Highlights: • Ge loss during Ge condensation process is attributed to the Ge oxidation at SiO 2 /SiGe interface. • Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface • When Ge content in SiGe layer approaches 100%, Ge diffusion into the SiO 2 layer is observed. • Ge then gradually diffuses through buried SiO 2 layer and pile up at SiO 2 /Si interface

  10. Nitride passivation of the interface between high-k dielectrics and SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, California 92093-0411 (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, California 92093-0411 (United States); Tang, Kechao; McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States); Madisetti, Shailesh; Oktyabrsky, Serge [Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York 12222 (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES US, Inc., Albany, New York 12203 (United States); Yoshida, Naomi; Kachian, Jessica; Dong, Lin [Applied Materials, Inc., Santa Clara, California 95054 (United States); Fruhberger, Bernd [California Institute for Telecommunications and Information Technology, University of California San Diego, La Jolla, California 92093-0436 (United States); Kummel, Andrew C., E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States)

    2016-01-04

    In-situ direct ammonia (NH{sub 3}) plasma nitridation has been used to passivate the Al{sub 2}O{sub 3}/SiGe interfaces with Si nitride and oxynitride. X-ray photoelectron spectroscopy of the buried Al{sub 2}O{sub 3}/SiGe interface shows that NH{sub 3} plasma pre-treatment should be performed at high temperatures (300 °C) to fully prevent Ge nitride and oxynitride formation at the interface and Ge out-diffusion into the oxide. C-V and I-V spectroscopy results show a lower density of interface traps and smaller gate leakage for samples with plasma nitridation at 300 °C.

  11. Ordered Arrays of SiGe Islands from Low-Energy PECVD

    Directory of Open Access Journals (Sweden)

    Chrastina D

    2010-01-01

    Full Text Available Abstract SiGe islands have been proposed for applications in the fields of microelectronics, optoelectronics and thermoelectrics. Although most of the works in literature are based on MBE, one of the possible advantages of low-energy plasma-enhanced chemical vapor deposition (LEPECVD is a wider range of deposition rates, which in turn results in the possibility of growing islands with a high Ge concentration. We will show that LEPECVD can be effectively used for the controlled growth of ordered arrays of SiGe islands. In order to control the nucleation of the islands, patterned Si (001 substrates were obtained by e-beam lithography (EBL and dry etching. We realized periodic circular pits with diameters ranging from 80 to 300 nm and depths from 65 to 75 nm. Subsequently, thin films (0.8–3.2 nm of pure Ge were deposited by LEPECVD, resulting in regular and uniform arrays of Ge-rich islands. LEPECVD allowed the use of a wide range of growth rates (0.01–0.1 nm s−1 and substrates temperatures (600–750°C, so that the Ge content of the islands could be varied. Island morphology was characterized by AFM, while μ-Raman was used to analyze the Ge content inside the islands and the composition differences between islands on patterned and unpatterned areas of the substrate.

  12. Quantum-confined Stark effect at 1.3 μm in Ge/Si(0.35)Ge(0.65) quantum-well structure.

    Science.gov (United States)

    Rouifed, Mohamed Said; Chaisakul, Papichaya; Marris-Morini, Delphine; Frigerio, Jacopo; Isella, Giovanni; Chrastina, Daniel; Edmond, Samson; Le Roux, Xavier; Coudevylle, Jean-René; Vivien, Laurent

    2012-10-01

    Room-temperature quantum-confined Stark effect in a Ge/SiGe quantum-well structure is reported at the wavelength of 1.3 μm. The operating wavelength is tuned by the use of strain engineering. Low-energy plasma-enhanced chemical vapor deposition is used to grow 20 periods of strain-compensated quantum wells (8 nm Ge well and 12 nm Si(0.35)Ge(0.65) barrier) on Si(0.21)Ge(0.79) virtual substrate. The fraction of light absorbed per well allows for a strong modulation around 1.3 μm. The half-width at half-maximum of the excitonic peak of only 12 meV allows for a discussion on physical mechanisms limiting the performances of such devices.

  13. Generation of uniaxial tensile strain of over 1% on a Ge substrate for short-channel strained Ge n-type Metal–Insulator–Semiconductor Field-Effect Transistors with SiGe stressors

    International Nuclear Information System (INIS)

    Moriyama, Yoshihiko; Kamimuta, Yuuichi; Ikeda, Keiji; Tezuka, Tsutomu

    2012-01-01

    Tensile strain of over 1% in Ge stripes sandwiched between a pair of SiGe source-drain stressors was demonstrated. The Metal–Oxide–Semiconductor Field-Effect Transistor (MOSFET)-like structures were fabricated on a (001)-Ge substrate having SiO 2 dummy-gate stripes with widths down to 26 nm. Recess-regions adjacent to the dummy-gate stripes were formed by an anisotropic wet etching technique. A damage-free and well-controlled anisotropic wet etching process is developed in order to avoid plasma-induced damage during a conventional Reactive-ion Etching process. The SiGe stressors were epitaxially grown on the recesses to simulate strained Ge n-channel Metal–Insulator–Semiconductor Field-Effect Transistors (MISFETs) having high electron mobility. A micro-Raman spectroscopy measurement revealed tensile strain in the narrow Ge regions which became higher for narrower regions. Tensile strain of up to 1.2% was evaluated from the measurement under an assumption of uniaxial strain configuration. These results strongly suggest that higher electron mobility than the upper limit for a Si-MOSFET is obtainable in short-channel strained Ge-nMISFETs with the embedded SiGe stressors.

  14. New members of the A2 M ‧ M2″ structure family (A=Ca, Sr, Yb, La; M ‧ = In , Sn , Pb; M ″ = Si , Ge)

    Science.gov (United States)

    Jehle, Michael; Dürr, Ines; Fink, Saskia; Lang, Britta; Langenmaier, Michael; Steckhan, Julia; Röhr, Caroline

    2015-01-01

    The new mixed tetrelides Sr2PbGe2 and Yb2SnGe2, several mixed Ca/Sr (AII) germanides A2II (Sn, Pb)Ge2 and two polymorphs of La2 InSi2 represent new members of the general structure family of ternary alkaline-earth/lanthanoid main group silicides/germanides A2 M ‧ M2″ (M ‧ = In , Sn , Pb ; M ″ = Si , Ge). All compounds were synthesized from melts of the elements and their crystal structures have been determined by means of single crystal X-ray diffraction. Sr2PbGe2 (Cmmm, a=402.36(11), b=1542.3(4), c=463.27(10) pm) crystallizes with the Mn2AlB2 -type structure. In exhibiting infinite planar Ge zig-zag chains, it represents one border of the compound series. The other borderline case, where only [Ge2 ] dumbbells are left as Ge building units, is represented by the Ca/Yb tin germanides Ca2SnGe2 and Yb2SnGe2 (Mo2FeB2 -type; P4/mbm, a=748.58(13)/740.27(7), c=445.59(8)/435.26(5) pm). In between these two border structures compounds with variable Si/Ge chain lengths could be obtained by varying the averaged size of the AII cations: Ca0.45Sr1.55PbGe2 (new structure type; Pbam, a=791.64(5), b=2311.2(2), c=458.53(3) pm) contains planar six-membered chain segments [Ge6 ]. Tetrameric pieces [Ge4 ] are the conspicuous structure elements in Ca1.16Sr0.84SnGe2 and La2 InSi2 (La2InNi2 -type; Pbam, a=781.01(2)/762.01(13), b=1477.95(3)/1494.38(6), c=457.004(9)/442.1(3) pm). The tetragonal form of 'La2 In Si2‧ (exact composition: La2In1.07Si1.93, P4/mbm, a=1309.11(12), c=443.32(4) pm) also crystallizes in a new structure type, containing only [Si3 ] trimers as cutouts of the planar chains. In all structures the Si/Ge zig-zag chains/chain segments are connected by In/Sn/Pb atoms to form planar M layers, which are separated by pure A layers. Band structure calculations within the FP-LAPW DFT approach together with the Zintl formalism, extended by the presence of hypervalent bonding of the heavier M ‧ elements, give insight into the chemical bonding of this series of p

  15. Electron-electron interaction in p-SiGe/Ge quantum wells

    International Nuclear Information System (INIS)

    Roessner, Benjamin; Kaenel, Hans von; Chrastina, Daniel; Isella, Giovanni; Batlogg, Bertram

    2005-01-01

    The temperature dependent magnetoresistance of high mobility p-SiGe/Ge quantum wells is studied with hole densities ranging from 1.7 to 5.9 x 10 11 cm -2 . At magnetic fields below the onset of quantum oscillations that reflect the high mobility values (up to 75000 cm 2 /Vs), we observe the clear signatures of electron-electron interaction. We compare our experiment with the theory of electron-electron interaction including the Zeeman band splitting. The observed magnetoresistance is well explained as a superposition of band structure induced positive magnetoresistance and the negative magntoresistance due to the electron-electron interaction effect

  16. Mode analysis and structure parameter optimization of a novel SiGe-OI rib optical waveguide

    Energy Technology Data Exchange (ETDEWEB)

    Feng Song; Gao Yong; Yang Yuan [Department of Electronic Engineering, Xi' an University of Technology, Xi' an 710048 (China); Feng Yuchun, E-mail: vonfs@yahoo.com.c [Key Laboratories of Optoelectronic Devices and Systems, Shenzhen University, Shenzhen 518060 (China)

    2009-08-15

    The mode of a novel SiGe-OI optical waveguide is analyzed, and its single-mode conditions are derived. The Ge content and structure parameters of SiGe-OI optical waveguides are respectively optimized. Under an operation wavelength of 1300 nm, the structures of SiGe-OI rib optical waveguides are built and analyzed with Optiwave software, and the optical field and transmission losses of the SiGe-OI rib optical waveguides are analyzed. The optimization results show that when the structure parameters H, h, W are respectively 500 nm, 250 nm, 500 nm and the Ge content is 5%, the total power loss of SiGe-OI rib waveguides is 0.3683 dB/cm considering the loss of radiation outside the waveguides and materials, which is less than the traditional value of 0.5 dB/cm. The analytical technique for SiGe-OI optical waveguides and structure parameters computed by this paper are proved to be accurate and computationally efficient compared with the beam propagation method (BPM) and the experimental results. (semiconductor devices)

  17. Theoretical calculation of performance enhancement in lattice-matched SiGeSn/GeSn p-channel tunneling field-effect transistor with type-II staggered tunneling junction

    Science.gov (United States)

    Wang, Hongjuan; Han, Genquan; Wang, Yibo; Peng, Yue; Liu, Yan; Zhang, Chunfu; Zhang, Jincheng; Hu, Shengdong; Hao, Yue

    2016-04-01

    In this work, a lattice-matched SiGeSn/GeSn heterostructure p-channel tunneling field-effect transistor (hetero-PTFET) with a type-II staggered tunneling junction (TJ) is investigated theoretically. Lattice matching and type-II band alignment at the Γ-point is obtained at the SiGeSn/GeSn interface by tuning Sn and Si compositions. A steeper subthreshold swing (SS) and a higher on state current (I ON) are demonstrated in SiGeSn/GeSn hetero-PTFET than in GeSn homo-PTFET. Si0.31Ge0.49Sn0.20/Ge0.88Sn0.12 hetero-PTFET achieves a 2.3-fold higher I ON than Ge0.88Sn0.12 homo-PTFET at V DD of 0.3 V. Hetero-PTFET achieves a more abrupt hole profile and a higher carrier density near TJ than the homo-PTFET, which contributes to the significantly enhanced band-to-band tunneling (BTBT) rate and tunneling current in hetero-PTFET.

  18. Intermixing between HfO2 and GeO2 films deposited on Ge(001) and Si(001): Role of the substrate

    International Nuclear Information System (INIS)

    Soares, G. V.; Krug, C.; Miotti, L.; Bastos, K. P.; Lucovsky, G.; Baumvol, I. J. R.; Radtke, C.

    2011-01-01

    Thermally driven atomic transport in HfO 2 /GeO 2 /substrate structures on Ge(001) and Si(001) was investigated in N 2 ambient as function of annealing temperature and time. As-deposited stacks showed no detectable intermixing and no instabilities were observed on Si. On Ge, loss of O and Ge was detected in all annealed samples, presumably due to evolution of GeO from the GeO 2 /Ge interface. In addition, hafnium germanate is formed at 600 deg. C. Our data indicate that at 500 deg. C and above HfO 2 /GeO 2 stacks are stable only if isolated from the Ge substrate.

  19. N-Heterocyclic Carbene Coinage Metal Complexes of the Germanium-Rich Metalloid Clusters [Ge9R3]− and [Ge9RI2]2− with R = Si(iPr3 and RI = Si(TMS3

    Directory of Open Access Journals (Sweden)

    Felix S. Geitner

    2017-07-01

    Full Text Available We report on the synthesis of novel coinage metal NHC (N-heterocyclic carbene compounds of the germanium-rich metalloid clusters [Ge9R3]− and [Ge9RI2]2− with R = Si(iPr3 and RI = Si(TMS3. NHCDippCu{η3Ge9R3} with R = Si(iPr3 (1 represents a less bulky silyl group-substituted derivative of the known analogous compounds with R = Si(iBu3 or Si(TMS3. The coordination of the [NHCDippCu]+ moiety to the cluster unit occurs via one triangular face of the tri-capped trigonal prismatic [Ge9] cluster. Furthermore, a series of novel Zintl cluster coinage metal NHC compounds of the type (NHCM2{η3Ge9RI2} (RI = Si(TMS3 M = Cu, Ag and Au; NHC = NHCDipp or NHCMes is presented. These novel compounds represent a new class of neutral dinuclear Zintl cluster coinage metal NHC compounds, which are obtained either by the stepwise reaction of a suspension of K12Ge17 with Si(TMS3Cl and the coinage metal carbene complexes NHCMCl (M = Cu, Ag, Au, or via a homogenous reaction using the preformed bis-silylated cluster K2[Ge9(Si(TMS32] and the corresponding NHCMCl (M = Cu, Ag, Au complex. The molecular structures of NHCDippCu{η3Ge9(Si(iPr33} (1 and (NHCDippCu2{η3-Ge9(Si(TMS32} (2 were determined by single crystal X-ray diffraction methods. In 2, the coordination of the [NHCDippCu]+ moieties to the cluster unit takes place via both open triangular faces of the [Ge9] entity. Furthermore, all compounds were characterized by means of NMR spectroscopy (1H, 13C, 29Si and ESI-MS.

  20. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  1. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  2. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  3. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  4. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  5. Strain-free Ge/GeSiSn Quantum Cascade Lasers Based on L-Valley Intersubband Transitions

    National Research Council Canada - National Science Library

    Soret, R. A; Sun, G; Cheng, H; Menendez, J; Khurgin, J

    2007-01-01

    The authors propose a Ge/Ge0.76Si0.19Sn0.05 quantum cascade laser using intersubband transitions at L valleys of the conduction band which has a clean offset of 150 meV situated below other energy valleys Gamma and X...

  6. Oxidation kinetics of Si and SiGe by dry rapid thermal oxidation, in-situ steam generation oxidation and dry furnace oxidation

    Science.gov (United States)

    Rozé, Fabien; Gourhant, Olivier; Blanquet, Elisabeth; Bertin, François; Juhel, Marc; Abbate, Francesco; Pribat, Clément; Duru, Romain

    2017-06-01

    The fabrication of ultrathin compressively strained SiGe-On-Insulator layers by the condensation technique is likely a key milestone towards low-power and high performances FD-SOI logic devices. However, the SiGe condensation technique still requires challenges to be solved for an optimized use in an industrial environment. SiGe oxidation kinetics, upon which the condensation technique is founded, has still not reached a consensus in spite of various studies which gave insights into the matter. This paper aims to bridge the gaps between these studies by covering various oxidation processes relevant to today's technological needs with a new and quantitative analysis methodology. We thus address oxidation kinetics of SiGe with three Ge concentrations (0%, 10%, and 30%) by means of dry rapid thermal oxidation, in-situ steam generation oxidation, and dry furnace oxidation. Oxide thicknesses in the 50 Å to 150 Å range grown with oxidation temperatures between 850 and 1100 °C were targeted. The present work shows first that for all investigated processes, oxidation follows a parabolic regime even for thin oxides, which indicates a diffusion-limited oxidation regime. We also observe that, for all investigated processes, the SiGe oxidation rate is systematically higher than that of Si. The amplitude of the variation of oxidation kinetics of SiGe with respect to Si is found to be strongly dependent on the process type. Second, a new quantitative analysis methodology of oxidation kinetics is introduced. This methodology allows us to highlight the dependence of oxidation kinetics on the Ge concentration at the oxidation interface, which is modulated by the pile-up mechanism. Our results show that the oxidation rate increases with the Ge concentration at the oxidation interface.

  7. Total dose hardness of a commercial SiGe BiCMOS technology

    International Nuclear Information System (INIS)

    Van Vonno, N.; Lucas, R.; Thornberry, D.

    1999-01-01

    Over the past decade SiGe HBT technology has progress from the laboratory to actual commercial applications. When integrated into a BiMOS process, this technology has applications in low-cost space systems. In this paper, we report results of total dose testing of a SiGe/CMOS process accessible through a commercial foundry. (authors)

  8. Thermoelectric energy conversion in layered structures with strained Ge quantum dots grown on Si surfaces

    Science.gov (United States)

    Korotchenkov, Oleg; Nadtochiy, Andriy; Kuryliuk, Vasyl; Wang, Chin-Chi; Li, Pei-Wen; Cantarero, Andres

    2014-03-01

    The efficiency of the energy conversion devices depends in many ways on the materials used and various emerging cost-effective nanomaterials have promised huge potentials in highly efficient energy conversion. Here we show that thermoelectric voltage can be enhanced by a factor of 3 using layer-cake growth of Ge quantum dots through thermal oxidation of SiGe layers stacked in SiO2/Si3N4 multilayer structure. The key to achieving this behavior has been to strain the Ge/Si interface by Ge dots migrating to Si substrate. Calculations taking into account the carrier trapping in the dot with a quantum transmission into the neighboring dot show satisfactory agreement with experiments above ≈200 K. The results may be of interest for improving the functionality of thermoelectric devices based on Ge/Si.

  9. Single-shot readout of accumulation mode Si/SiGe spin qubits using RF reflectometry

    Science.gov (United States)

    Volk, Christian; Martins, Frederico; Malinowski, Filip; Marcus, Charles M.; Kuemmeth, Ferdinand

    Spin qubits based on gate-defined quantum dots are promising systems for realizing quantum computation. Due to their low concentration of nuclear-spin-carrying isotopes, Si/SiGe heterostructures are of particular interest. While high fidelities have been reported for single-qubit and two-qubit gate operations, qubit initialization and measurement times are relatively slow. In order to develop fast read-out techniques compatible with the operation of spin qubits, we characterize double and triple quantum dots confined in undoped Si/Si0.7Ge0.3 heterostructures using accumulation and depletion gates and a nearby RF charge sensor dot. We implement a RF reflectometry technique that allows single-shot charge read-out at integration times on the order of a few μs. We show our recent advancement towards implementing spin qubits in these structures, including spin-selective single-shot read-out.

  10. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    Directory of Open Access Journals (Sweden)

    B. Pivac

    2018-01-01

    Full Text Available The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction and self-organization (in horizontal direction. The formed films produce intensive photoluminescence (PL with a peak at 500 nm. The explored dynamics of the PL decay show the existence of a very rapid process similar to the one found at Ge/SiO2 defected interface layers.

  11. Fabrication of Coaxial Si(1-x)Ge(x) Heterostructure Nanowires by O(2) Flow-Induced Bifurcate Reactions.

    Science.gov (United States)

    Kim, Ilsoo; Lee, Ki-Young; Kim, Ungkil; Park, Yong-Hee; Park, Tae-Eon; Choi, Heon-Jin

    2010-06-17

    We report on bifurcate reactions on the surface of well-aligned Si(1-x)Ge(x) nanowires that enable fabrication of two different coaxial heterostructure nanowires. The Si(1-x)Ge(x) nanowires were grown in a chemical vapor transport process using SiCl(4) gas and Ge powder as a source. After the growth of nanowires, SiCl(4) flow was terminated while O(2) gas flow was introduced under vacuum. On the surface of nanowires was deposited Ge by the vapor from the Ge powder or oxidized into SiO(2) by the O(2) gas. The transition from deposition to oxidation occurred abruptly at 2 torr of O(2) pressure without any intermediate region and enables selectively fabricated Ge/Si(1-x)Ge(x) or SiO(2)/Si(1-x)Ge(x) coaxial heterostructure nanowires. The rate of deposition and oxidation was dominated by interfacial reaction and diffusion of oxygen through the oxide layer, respectively.

  12. SiGe Based Low Temperature Electronics for Lunar Surface Applications

    Science.gov (United States)

    Mojarradi, Mohammad M.; Kolawa, Elizabeth; Blalock, Benjamin; Cressler, John

    2012-01-01

    The temperature at the permanently shadowed regions of the moon's surface is approximately -240 C. Other areas of the lunar surface experience temperatures that vary between 120 C and -180 C during the day and night respectively. To protect against the large temperature variations of the moon surface, traditional electronics used in lunar robotics systems are placed inside a thermally controlled housing which is bulky, consumes power and adds complexity to the integration and test. SiGe Based electronics have the capability to operate over wide temperature range like that of the lunar surface. Deploying low temperature SiGe electronics in a lander platform can minimize the need for the central thermal protection system and enable the development of a new generation of landers and mobility platforms with highly efficient distributed architecture. For the past five years a team consisting of NASA, university and industry researchers has been examining the low temperature and wide temperature characteristic of SiGe based transistors for developing electronics for wide temperature needs of NASA environments such as the Moon, Titan, Mars and Europa. This presentation reports on the status of the development of wide temperature SiGe based electronics for the landers and lunar surface mobility systems.

  13. Electronic structure of O-doped SiGe calculated by DFT + U method

    Science.gov (United States)

    Zhao, Zong-Yan; Yang, Wen; Yang, Pei-Zhi

    2016-12-01

    To more in depth understand the doping effects of oxygen on SiGe alloys, both the micro-structure and properties of O-doped SiGe (including: bulk, (001) surface, and (110) surface) are calculated by DFT + U method in the present work. The calculated results are as follows. (i) The (110) surface is the main exposing surface of SiGe, in which O impurity prefers to occupy the surface vacancy sites. (ii) For O interstitial doping on SiGe (110) surface, the existences of energy states caused by O doping in the band gap not only enhance the infrared light absorption, but also improve the behaviors of photo-generated carriers. (iii) The finding about decreased surface work function of O-doped SiGe (110) surface can confirm previous experimental observations. (iv) In all cases, O doing mainly induces the electronic structures near the band gap to vary, but is not directly involved in these variations. Therefore, these findings in the present work not only can provide further explanation and analysis for the corresponding underlying mechanism for some of the experimental findings reported in the literature, but also conduce to the development of μc-SiGe-based solar cells in the future. Project supported by the Natural Science Foundation of Yunnan Province, China (Grant No. 2015FB123), the 18th Yunnan Province Young Academic and Technical Leaders Reserve Talent Project, China (Grant No. 2015HB015), and the National Natural Science Foundation of China (Grant No. U1037604).

  14. Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations.

    Science.gov (United States)

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun

    2017-08-08

    The structural, mechanical, elastic anisotropic, and electronic properties of Pbca -XN (X = C, Si, Ge) are investigated in this work using the Perdew-Burke-Ernzerhof (PBE) functional, Perdew-Burke-Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA-PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca -CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca -XN (X = C, Si, Ge) show that Pbca -SiN and Pbca -GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca -XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson's ratio, bulk modulus, shear modulus, Young's modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca -CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young's modulus than the (100) plane.

  15. Substrate Effects in Wideband SiGe HBT Mixer Circuits

    DEFF Research Database (Denmark)

    Johansen, Tom Keinicke; Vidkjær, Jens; Krozer, Viktor

    2005-01-01

    are also applied to predict short distance substrate coupling effects. Simulation results using extracted equivalent circuit models and substrate coupling networks are compared with experimental results obtained on a wideband mixer circuit implemented in a 0.35 μm, 60 GHz ft SiGe HBT BiCMOS process.......In this paper, the influence from substrate effects on the performance of wideband SiGe HBT mixer circuits is investigated. Equivalent circuit models including substrate networks are extracted from on-wafer test structures and compared with electromagnetic simulations. Electromagnetic simulations...

  16. Surfactant-mediated growth of ultrathin Ge and Si films and their interfaces: Interference-enhanced Raman study

    OpenAIRE

    Kanakaraju, S; Sood, AK; Mohan, S

    2000-01-01

    We report on the growth and interfaces of ultrathin polycrystalline Ge and Si films when they are grown on each other using ion beam sputter deposition with and without surfactant at different growth temperatures, studied using interference enhanced Raman spectroscopy. Ge films grown on Si without surfactant show Ge segregation at the interfaces forming an alloy of GexSi1-x as indicated by the Ge-Si Raman mode. However, use of Sb as surfactant strongly suppresses the intermixing. Also Si film...

  17. Crystal growth kinetics in undercooled melts of pure Ge, Si and Ge-Si alloys

    Science.gov (United States)

    Herlach, Dieter M.; Simons, Daniel; Pichon, Pierre-Yves

    2018-01-01

    We report on measurements of crystal growth dynamics in semiconducting pure Ge and pure Si melts and in Ge100-xSix (x = 25, 50, 75) alloy melts as a function of undercooling. Electromagnetic levitation techniques are applied to undercool the samples in a containerless way. The growth velocity is measured by the utilization of a high-speed camera technique over an extended range of undercooling. Solidified samples are examined with respect to their microstructure by scanning electron microscopic investigations. We analyse the experimental results of crystal growth kinetics as a function of undercooling within the sharp interface theory developed by Peter Galenko. Transitions of the atomic attachment kinetics are found at large undercoolings, from faceted growth to dendrite growth. This article is part of the theme issue `From atomistic interfaces to dendritic patterns'.

  18. Comparison of band-to-band tunneling models in Si and Si—Ge junctions

    International Nuclear Information System (INIS)

    Jiao Yipeng; Wang Taihuan; Wei Kangliang; Du Gang; Liu Xiaoyan

    2013-01-01

    We compared several different band-to-band tunneling (BTBT) models with both Sentaurus and the two-dimensional full-band Monte Carlo simulator in Si homo-junctions and Si—Ge hetero-junctions. It was shown that in Si homo-junctions, different models could achieve similar results. However, in the Si—Ge hetero-junctions, there were significant differences among these models at high reverse biases (over 2 V). Compared to the nonlocal model, the local models in Sentaurus underrated the BTBT rate distinctly, and the Monte Carlo method was shown to give a better approximation. Additionally, it was found that in the Si region near the interface of the Si—Ge hetero-junctions, the direct tunneling rates increased largely due to the interaction of the band structures of Si and Ge. (semiconductor physics)

  19. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  20. Forbidden energy band gap in diluted a-Ge{sub 1-x}Si{sub x}:N films

    Energy Technology Data Exchange (ETDEWEB)

    Guarneros, C.; Rebollo-Plata, B. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Lozada-Morales, R., E-mail: rlozada@fcfm.buap.mx [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Espinosa-Rosales, J.E. [Posgrado en Fisica Aplicada, Facultad de Ciencias Fisico-Matematicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Portillo-Moreno, J. [Facultad de Ciencias Quimicas, Benemerita Universidad Autonoma de Puebla, Blvd. 14 Sur 6301, Col. San Manuel, 72570, Puebla (Mexico); Zelaya-Angel, O. [Departamento de Fisica, Centro de Investigacion y de Estudios Avanzados del IPN, PO Box 14-740, Mexico 07360 D.F. (Mexico)

    2012-06-01

    By means of electron gun evaporation Ge{sub 1-x}Si{sub x}:N thin films, in the entire range 0 {<=} x {<=} 1, were prepared on Si (100) and glass substrates. The initial vacuum reached was 6.6 Multiplication-Sign 10{sup -4} Pa, then a pressure of 2.7 Multiplication-Sign 10{sup -2} Pa of high purity N{sub 2} was introduced into the chamber. The deposition time was 4 min. Crucible-substrate distance was 18 cm. X-ray diffraction patterns indicate that all the films were amorphous (a-Ge{sub 1-x}Si{sub x}:N). The nitrogen concentration was of the order of 1 at% for all the films. From optical absorption spectra data and by using the Tauc method the energy band gap (E{sub g}) was calculated. The Raman spectra only reveal the presence of Si-Si, Ge-Ge, and Si-Ge bonds. Nevertheless, infrared spectra demonstrate the existence of Si-N and Ge-N bonds. The forbidden energy band gap (E{sub g}) as a function of x in the entire range 0 {<=} x {<=} 1 shows two well defined regions: 0 {<=} x {<=} 0.67 and 0.67 {<=} x {<=} 1, due to two different behaviors of the band gap, where for x > 0.67 exists an abruptly change of E{sub g}(x). In this case E{sub g}(x) versus x is different to the variation of E{sub g} in a-Ge{sub 1-x}Si{sub x} and a-Ge{sub 1-x}Si{sub x}:H. This fact can be related to the formation of Ge{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} when x {<=} 0.67, and to the formation of Si{sub 3}N{sub 4} and GeSi{sub 2}N{sub 4} for 0.67 {<=} x. - Highlights: Black-Right-Pointing-Pointer Nitrogen doped amorphous Ge{sub 1-x}Si{sub x} thin films are grown by electron gun technique. Black-Right-Pointing-Pointer Nitrogen atoms on E{sub g} of the a-Ge{sub 1-x}Si{sub x} films in the 0 Pound-Sign x Pound-Sign 1 range are analyzed. Black-Right-Pointing-Pointer Variation in 0 Pound-Sign x Pound-Sign 1 range shows a warped change of E{sub g} in 1.0 - 3.6 eV range. Black-Right-Pointing-Pointer The change in E{sub g}(x) behavior when x {approx} 0.67 was associated with Ge{sub 2}SiN{sub 4

  1. Group IVA Element (Si, Ge, Sn)-Based Alloying/Dealloying Anodes as Negative Electrodes for Full-Cell Lithium-Ion Batteries.

    Science.gov (United States)

    Liu, Dequan; Liu, Zheng Jiao; Li, Xiuwan; Xie, Wenhe; Wang, Qi; Liu, Qiming; Fu, Yujun; He, Deyan

    2017-12-01

    To satisfy the increasing energy demands of portable electronics, electric vehicles, and miniaturized energy storage devices, improvements to lithium-ion batteries (LIBs) are required to provide higher energy/power densities and longer cycle lives. Group IVA element (Si, Ge, Sn)-based alloying/dealloying anodes are promising candidates for use as electrodes in next-generation LIBs owing to their extremely high gravimetric and volumetric capacities, low working voltages, and natural abundances. However, due to the violent volume changes that occur during lithium-ion insertion/extraction and the formation of an unstable solid electrolyte interface, the use of Group IVA element-based anodes in commercial LIBs is still a great challenge. Evaluating the electrochemical performance of an anode in a full-cell configuration is a key step in investigating the possible application of the active material in LIBs. In this regard, the recent progress and important approaches to overcoming and alleviating the drawbacks of Group IVA element-based anode materials are reviewed, such as the severe volume variations during cycling and the relatively brittle electrode/electrolyte interface in full-cell LIBs. Finally, perspectives and future challenges in achieving the practical application of Group IVA element-based anodes in high-energy and high-power-density LIB systems are proposed. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Model-independent determination of the strain distribution for a SiGe/Si superlattice using X-ray diffractometry data

    International Nuclear Information System (INIS)

    Nikulin, A.Y.; Stevenson, A.W.; Hashizume, H.

    1996-01-01

    The strain distribution in a Si 0.9 Ge 0.l/Si superlattice is determined from x-ray diffractometry data with a 25 Angstroms depth resolution. A logarithmic dispersion relation is used to determine the phase of the structure factor with information available a priori on the sample structure. Phase information is obtained from the observed reflection intensity via a logarithmic Hilbert transform and the a priori information is used to select the zeros to be included in the solution. The reconstructed lattice strain profile clearly resolves SiGe and Si layers of 90 - 160 Angstroms thickness alternately stacked on a silicon substrate. The SiGe layer is found to have a lattice spacing in the surface-normal direction significantly smaller than predicted by Vegard's law. The result is supported by very good agreement of the simulated rocking curve profile with the observation. 18 refs., 1 tab., 5 figs

  3. Effect of Synthesis Procedure on Thermoelectric Property of SiGe Alloy

    Science.gov (United States)

    Li, Jing; Han, Jun; Jiang, Tao; Luo, Lili; Xiang, Yongchun

    2018-05-01

    SiGe thermoelectric material has been synthesized by ball milling combined with hot pressing (HP) or spark plasma sintering (SPS). Effects of ball milling time, powder to ball weight ratio and sintering method on microstructure and thermoelectric properties of SiGe are studied. The results show that longer ball milling time leads to decreased density and worse electrical properties. In the sintering process, SPS results in much larger density and better electrical properties than HP. The Si0.795Ge0.2B0.005 sample prepared by 2 h ball milling combined with SPS obtains a maximum power factor of 3.0 mW m-1 K-2 at 860 K and ZT of 0.95 at 1000 K.

  4. Improvement of photoluminescence from Ge layer with patterned Si{sub 3}N{sub 4} stressors

    Energy Technology Data Exchange (ETDEWEB)

    Oda, Katsuya, E-mail: Katsuya.Oda.cb@hitachi.com; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-04-30

    Lattice strain applied by patterned Si{sub 3}N{sub 4} stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si{sub 3}N{sub 4} stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si{sub 3}N{sub 4} layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si{sub 3}N{sub 4} stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si{sub 3}N{sub 4} stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si{sub 3}N{sub 4} stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si{sub 3}N{sub 4} stressors. • Photoluminescence (PL) intensity was increased with the Si{sub 3}N{sub 4} stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers.

  5. Analysis of Strain and Intermixing in a Single Layer Ge/Si dots using polarized Raman Spectroscopy

    OpenAIRE

    PEROVA, TANIA; MOORE, ROBERT

    2006-01-01

    PUBLISHED The built-in strain and composition of as-grown and Si-capped single layers of Ge?Si dots grown at various temperatures (460?800 ?C) are studied by a comparative analysis of the Ge-Ge and Si-Ge modes in the polarized Raman spectra of the dots. A pronounced reduction of the strain and Ge content in the dots after deposition of the cap layer at low temperatures is observed, indicating that strain-induced Si diffusion from the cap layer is occurring. For large dots grown at 700?800...

  6. Improving the opto-microwave performance of SiGe/Si phototransistor through edge-illuminated structure

    Science.gov (United States)

    Tegegne, Z. G.; Viana, C.; Polleux, J. L.; Grzeskowiak, M.; Richalot, E.

    2016-03-01

    This paper demonstrates the experimental study of edge and top illuminated SiGe phototransistors (HPT) implemented using the existing industrial SiGe2RF Telefunken GmbH BiCMOS technology for opto-microwave (OM) applications using 850nm Multi-Mode Fibers (MMF). Its technology and structure are described. Two different optical window size HPTs with top illumination (5x5μm2, 10x10μm2) and an edge illuminated HPTs having 5μm x5μm size are presented and compared. A two-step post fabrication process was used to create an optical access on the edge of the HPT for lateral illumination with a lensed MMF through simple polishing and dicing techniques. We perform Opto-microwave Scanning Near-field Optical Microscopy (OM-SNOM) analysis on edge and top illuminated HPTs in order to observe the fastest and the highest sensitive regions of the HPTs. This analysis also allows understanding the parasitic effect from the substrate, and thus draws a conclusion on the design aspect of SiGe/Si HPT. A low frequency OM responsivity of 0.45A/W and a cutoff frequency, f-3dB, of 890MHz were measured for edge illuminated HPT. Compared to the top illuminated HPT of the same size, the edge illuminated HPT improves the f-3dB by a factor of more than two and also improves the low frequency responsivity by a factor of more than four. These results demonstrate that a simple etched HPT is still enough to achieve performance improvements compared to the top illuminated HPT without requiring a complex coupling structure. Indeed, it also proves the potential of edge coupled SiGe HPT in the ultra-low-cost silicon based optoelectronics circuits with a new approach of the optical packaging and system integration to 850nm MMF.

  7. Influence of the crystallization process on the luminescence of multilayers of SiGe nanocrystals embedded in SiO2

    International Nuclear Information System (INIS)

    Avella, M.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.; Ortiz, M.I.; Ballesteros, C.

    2008-01-01

    Multilayers of SiGe nanocrystals embedded in an oxide matrix have been fabricated by low-pressure chemical vapor deposition of SiGe and SiO 2 onto Si wafers (in a single run at 390 deg. C and 50 mTorr, using GeH 4 , Si 2 H 6 and O 2 ) followed by a rapid thermal annealing treatment to crystallize the SiGe nanoparticles. The main emission band is located at 400 nm in both cathodoluminescence and photoluminescence experiments at 80 K and also at room temperature. The annealing conditions (temperatures ranging from 700 to 1000 deg. C and for times of 30 and 60 s) have been investigated in samples with different diameter of the nanoparticles (from ∼3 to ≥5 nm) and oxide interlayer thickness (15 and 35 nm) in order to establish a correlation between the crystallization of the nanoparticles, the degradation of their composition by Ge diffusion and the intensity of the luminescence emission band. Structures with small nanoparticles (3-4.5 nm) separated by thick oxide barriers (∼35 nm) annealed at 900 deg. C for 60 s yield the maximum intensity of the luminescence. An additional treatment at 450 deg. C in forming gas for dangling-bond passivation increases the intensity of the luminescence band by 25-30%

  8. Stable and low contact resistance electrical contacts for high temperature SiGe thermoelectric generators

    KAUST Repository

    Zhang, Bo; Zheng, Tao; Wang, Qingxiao; Guo, Zaibing; Kim, Moon J.; Alshareef, Husam N.; Gnade, Bruce E.

    2018-01-01

    that no interdiffusion takes place between TaAlN and SiGe. A specific contact resistivity of (2.1±1.3)×10−6Ω-cm2 for p-type SiGe and (2.8±1.6)×10−5 Ω-cm2 for n-type SiGe is demonstrated after the high temperature annealing. These results show that TaAlN is a promising

  9. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  10. Carrier confinement in Ge/Si quantum dots grown with an intermediate ultrathin oxide layer

    Science.gov (United States)

    Kuryliuk, V.; Korotchenkov, O.; Cantarero, A.

    2012-02-01

    We present computational results for strain effects on charge carrier confinement in GexSi1-x quantum dots (QDs) grown on an oxidized Si surface. The strain and free carrier probability density distributions are obtained using the continuum elasticity theory and the effective-mass approximation implemented by a finite-element modeling scheme. Using realistic parameters and conditions for hemisphere and pyramid QDs, it is pointed out that an uncapped hemisphere dot deposited on the Si surface with an intermediate ultrathin oxide layer offers advantageous electron-hole separation distances with respect to a square-based pyramid grown directly on Si. The enhanced separation is associated with a larger electron localization depth in the Si substrate for uncapped hemisphere dots. Thus, for dot diameters smaller than 15-20 nm and surface density of the dots (nQD) ranging from about 1010 to 1012 cm-2, the localization depth may be enhanced from about 8 nm for a pyramid to 38 nm for a hemisphere dot. We find that the effect in a hemisphere dot is very sensitive to the dot density and size, whereas the localization depth is not significantly affected by the variation of the Ge fraction x in GexSi1-x and the aspect ratio of the dot. We also calculate the effect of the fixed oxide charge (Qox) with densities ranging from 10-9 to 10-7 C/cm2 for 10-Ωcm p-type Si wafers on the carrier confinement. Although the confinement potential can be strongly perturbed by the charge at nQD less than ≈4×1011 cm-2, it is not very sensitive to the value of Qox at higher nQD. Since, to our knowledge, there are no data on carrier confinement for Ge QDs deposited on oxidized Si surfaces, these results might be applicable to functional devices utilizing separated electrons and holes such as photovoltaic devices, spin transistors, and quantum computing components. The use of hemisphere QDs placed on oxidized Si rather than pyramid dots grown on bare Si may help to confine charge carriers deeper

  11. Electron Spin Coherence Times in Si/SiGe Quantum Dots

    Science.gov (United States)

    Jock, R. M.; He, Jianhua; Tyryshkin, A. M.; Lyon, S. A.; Lee, C.-H.; Huang, S.-H.; Liu, C. W.

    2014-03-01

    Single electron spin states in silicon have shown a great deal of promise as qubits due to their long spin relaxation (T1) and coherence (T2) times. Recent results exhibit a T2 of 250 us for electrons confined in Si/SiGe quantum dots at 350 mK. These experiments used conventional X-band (10 GHz) pulsed Electron Spin Resonance on a large area (3.5 mm x 20 mm), dual-gated, undoped Si/SiGe heterostructure quantum dots. These dots are induced in a natural Si quantum well by e-beam defined gates having a lithographic radius of 150 nm and pitch of 700 nm. The relatively large size of these dots led to closely spaced energy levels and long T2's could only be measured at sub-Kelvin temperatures. At 2K confined electrons displayed a 3 us T2, which is comparable to that of 2D electrons at that temperature. Decreasing the quantum dot size increases the electron confinement and reduces the effects of valley-splitting and spin-orbit coupling on the electron spin coherence times. We will report results on dots with 80 nm lithographic radii and a 375 nm pitch. This device displays an extended electron coherence time of 30 us at 2K, suggesting tighter confinement of electrons. Further measurements at lower temperatures are in progress. This work was supported in part by NSF through the Materials World Network program (DMR-1107606) and the Princeton MRSEC (DMR-0819860), and in part by the U.S. Army Research Office (W911NF-13-1-0179).

  12. Thermally oxidized formation of new Ge dots over as-grown Ge dots in the Si capping layer

    International Nuclear Information System (INIS)

    Nie Tianxiao; Lin Jinhui; Shao Yuanmin; Wu Yueqin; Yang Xinju; Fan Yongliang; Jiang Zuimin; Chen Zhigang; Zou Jin

    2011-01-01

    A Si-capped Ge quantum dot sample was self-assembly grown via Stranski-Krastanov mode in a molecular beam epitaxy system with the Si capping layer deposited at 300 deg. C. After annealing the sample in an oxygen atmosphere at 1000 deg. C, a structure, namely two layers of quantum dots, was formed with the newly formed Ge-rich quantum dots embedded in the oxidized matrix with the position accurately located upon the as-grown quantum dots. It has been found that the formation of such nanostructures strongly depends upon the growth temperature and oxygen atmosphere. A growth mechanism was proposed to explain the formation of the nanostructure based on the Ge diffusion from the as-grown quantum dots, Ge segregation from the growing oxide, and subsequent migration/agglomeration.

  13. Self-Ordered Voids Formation in SiO2 Matrix by Ge Outdiffusion

    OpenAIRE

    B. Pivac; P. Dubček; J. Dasović; H. Zorc; S. Bernstorff; J. Zavašnik; B. Vlahovic

    2018-01-01

    The annealing behavior of very thin SiO2/Ge multilayers deposited on Si substrate by e-gun deposition in high vacuum was explored. It is shown that, after annealing at moderate temperatures (800°C) in inert atmosphere, Ge is completely outdiffused from the SiO2 matrix leaving small (about 3 nm) spherical voids embedded in the SiO2 matrix. These voids are very well correlated and formed at distances governed by the preexisting multilayer structure (in vertical direction) and self-organization ...

  14. Effects of carbon on phosphorus diffusion in SiGe:C and the implications on phosphorus diffusion mechanisms

    International Nuclear Information System (INIS)

    Lin, Yiheng; Xia, Guangrui; Yasuda, Hiroshi; Wise, Rick; Schiekofer, Manfred; Benna, Bernhard

    2014-01-01

    The use of carbon (C) in SiGe base layers is an important approach to control the base layer dopant phosphorus (P) diffusion and thus enhance PNP heterojunction bipolar transistor (HBT) performance. This work quantitatively investigated the carbon impacts on P diffusion in Si 0.82 Ge 0.18 :C and Si:C under rapid thermal anneal conditions. The carbon molar fraction is up to 0.32%. The results showed that the carbon retardation effect on P diffusion is less effective for Si 0.82 Ge 0.18 :C than for Si:C. In Si 0.82 Ge 0.18 :C, there is an optimum carbon content at around 0.05% to 0.1%, beyond which more carbon incorporation does not retard P diffusion any more. This behavior is different from the P diffusion behavior in Si:C and the B in Si:C and low Ge SiGe:C, which can be explained by the decreased interstitial-mediated diffusion fraction f I P, SiGe to 95% as Ge content increases to 18%. Empirical models were established to calculate the time-averaged point defect concentrations and effective diffusivities as a function of carbon and was shown to agree with previous studies on boron, phosphorus, arsenic and antimony diffusion with carbon.

  15. SiGe HBTs Optimization for Wireless Power Amplifier Applications

    Directory of Open Access Journals (Sweden)

    Pierre-Marie Mans

    2010-01-01

    Full Text Available This paper deals with SiGe HBTs optimization for power amplifier applications dedicated to wireless communications. In this work, we investigate the fT-BVCEO tradeoff by various collector optimization schemes such as epilayer thickness and dopant concentration, and SIC and CAP characteristics. Furthermore, a new trapezoidal base Germanium (Ge profile is proposed. Thanks to this profile, precise control of Ge content at the metallurgical emitter-base junction is obtained. Gain stability is obtained for a wide range of temperatures through tuning the emitter-base junction Ge percent. Finally, a comprehensive investigation of Ge introduction into the collector (backside Ge profile is conducted in order to improve the fT values at high injection levels.

  16. The low-lying quartet electronic states of group 14 diatomic borides XB (X = C, Si, Ge, Sn, Pb)

    Science.gov (United States)

    Pontes, Marcelo A. P.; de Oliveira, Marcos H.; Fernandes, Gabriel F. S.; Da Motta Neto, Joaquim D.; Ferrão, Luiz F. A.; Machado, Francisco B. C.

    2018-04-01

    The present work focuses in the characterization of the low-lying quartet electronic and spin-orbit states of diatomic borides XB, in which X is an element of group 14 (C, Si, Ge, Sn, PB). The wavefunction was obtained at the CASSCF/MRCI level with a quintuple-ζ quality basis set. Scalar relativistic effects were also taken into account. A systematic and comparative analysis of the spectroscopic properties for the title molecular series was carried out, showing that the (1)4Π→X4Σ- transition band is expected to be measurable by emission spectroscopy to the GeB, SnB and PbB molecules, as already observed for the lighter CB and SiB species.

  17. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  18. Formation of Ge dot or film in Ge/Si heterostructure by using sub-monolayer carbon deposition on top and in-situ post annealing

    Energy Technology Data Exchange (ETDEWEB)

    Itoh, Yuhki, E-mail: itoh.yuhki@ecei.tohoku.ac.jp; Hatakeyama, Shinji; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    Effects of carbon (C) atoms on solid-phase epitaxial growth of Ge on Si(100) have been studied. C and Ge layers were deposited on Si(100) substrates at low temperature (150–300 °C) by using solid-source molecular beam epitaxy (MBE) system and subsequently annealed at 650 °C in the MBE chamber. The surface morphology after annealing changed depending on deposited amounts of C and deposition temperature of Ge. Ge dots were formed for small amounts of C while smooth Ge films were formed by large amounts of C varying with the Ge deposition temperature. The surface morphology after annealing was also affected by the as-deposited Ge crystallinity. The change in surface morphology depending on the amounts of deposited C was considered to be affected by the formation of Ge–C bonds which relieved the misfit strain between Ge and Si. The crystallinity of Ge deteriorated with increasing C coverage due to the incorporation of insoluble C atoms in the shape of both dots and films. - Highlights: • Effects of carbon on solid-phase epitaxy of C/Ge/Si(100) were studied. • Surface morphology changed depending on C amounts and Ge deposition temperature. • Solid-phase growth of Ge changed from large dots to smooth films with C coverage. • Transition of surface morphology was affected by the formation of Ge–C bonds.

  19. Quantum confinement in Si and Ge nanostructures: Theory and experiment

    International Nuclear Information System (INIS)

    Barbagiovanni, Eric G.; Lockwood, David J.; Simpson, Peter J.; Goncharova, Lyudmila V.

    2014-01-01

    The role of quantum confinement (QC) in Si and Ge nanostructures (NSs) including quantum dots, quantum wires, and quantum wells is assessed under a wide variety of fabrication methods in terms of both their structural and optical properties. Structural properties include interface states, defect states in a matrix material, and stress, all of which alter the electronic states and hence the measured optical properties. We demonstrate how variations in the fabrication method lead to differences in the NS properties, where the most relevant parameters for each type of fabrication method are highlighted. Si embedded in, or layered between, SiO 2 , and the role of the sub-oxide interface states embodies much of the discussion. Other matrix materials include Si 3 N 4 and Al 2 O 3 . Si NSs exhibit a complicated optical spectrum, because the coupling between the interface states and the confined carriers manifests with varying magnitude depending on the dimension of confinement. Ge NSs do not produce well-defined luminescence due to confined carriers, because of the strong influence from oxygen vacancy defect states. Variations in Si and Ge NS properties are considered in terms of different theoretical models of QC (effective mass approximation, tight binding method, and pseudopotential method). For each theoretical model, we discuss the treatment of the relevant experimental parameters

  20. Mechanical, Anisotropic, and Electronic Properties of XN (X = C, Si, Ge): Theoretical Investigations

    Science.gov (United States)

    Ma, Zhenyang; Liu, Xuhong; Yu, Xinhai; Shi, Chunlei; Wang, Dayun

    2017-01-01

    The structural, mechanical, elastic anisotropic, and electronic properties of Pbca-XN (X = C, Si, Ge) are investigated in this work using the Perdew–Burke–Ernzerhof (PBE) functional, Perdew–Burke–Ernzerhof for solids (PBEsol) functional, and Ceperly and Alder, parameterized by Perdew and Zunger (CA–PZ) functional in the framework of density functional theory. The achieved results for the lattice parameters and band gap of Pbca-CN with the PBE functional in this research are in good accordance with other theoretical results. The band structures of Pbca-XN (X = C, Si, Ge) show that Pbca-SiN and Pbca-GeN are both direct band gap semiconductor materials with a band gap of 3.39 eV and 2.22 eV, respectively. Pbca-XN (X = C, Si, Ge) exhibits varying degrees of mechanical anisotropic properties with respect to the Poisson’s ratio, bulk modulus, shear modulus, Young’s modulus, and universal anisotropic index. The (001) plane and (010) plane of Pbca-CN/SiN/GeN both exhibit greater elastic anisotropy in the bulk modulus and Young’s modulus than the (100) plane. PMID:28786960

  1. Si0.85Ge0.15 oxynitridation in nitric oxide/nitrous oxide ambient

    International Nuclear Information System (INIS)

    Dasgupta, Anindya; Takoudis, Christos G.; Lei Yuanyuan; Browning, Nigel D.

    2003-01-01

    Low temperature, nitric oxide (NO)/nitrous oxide (N 2 O) aided, sub-35 Aa Si 0.85 Ge 0.15 oxynitrides have been grown at 550 and 650 deg. C, while the oxynitridation feed gases have been preheated to 900 and 1000 deg. C, respectively, before entering the reaction zone. X-ray photoelectron spectroscopy and secondary ion mass spectroscopy (SIMS) data suggest that NO-assisted oxynitridation incorporates more nitrogen than the N 2 O-assisted one, while there is minimal Ge segregation towards the dielectric/substrate interface in both oxynitridation processes. Moreover, SIMS results suggest that nitrogen is distributed throughout the film in contrast to high temperature Si oxynitridation, where nitrogen incorporation takes place near the dielectric/substrate interface. Z-contrast imaging with scanning transmission electron microscopy shows that the oxynitride grown in NO at 650 degree sign C has a sharp interface with the bulk Si 0.85 Ge 0.15 , while the roughness of the dielectric/Si 0.85 Ge 0.15 substrate interface is less than 2 Aa. These results are discussed in the context of an overall mechanism of SiGe oxynitridation

  2. Hole spin coherence in a Ge/Si heterostructure nanowire

    DEFF Research Database (Denmark)

    Higginbotham, Andrew P; Larsen, Thorvald Wadum; Yao, Jun

    2014-01-01

    Relaxation and dephasing of hole spins are measured in a gate-defined Ge/Si nanowire double quantum dot using a fast pulsed-gate method and dispersive readout. An inhomogeneous dephasing time T2(*)≈ 0.18 μs exceeds corresponding measurements in III-V semiconductors by more than an order of magnit......Relaxation and dephasing of hole spins are measured in a gate-defined Ge/Si nanowire double quantum dot using a fast pulsed-gate method and dispersive readout. An inhomogeneous dephasing time T2(*)≈ 0.18 μs exceeds corresponding measurements in III-V semiconductors by more than an order...

  3. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  4. Formation Mechanism of Ge Nanocrystals Embedded in SiO2 Studied by Fluorescence X-Ray Absorption Fine Structure

    International Nuclear Information System (INIS)

    Yan Wensheng; Li Zhongrui; Sun Zhihu; Wei Shiqiang; Kolobov, A. V.

    2007-01-01

    The formation mechanism of Ge nanocrystals for Ge (60 mol%) embedded in a SiO2 matrix grown on Si(001) and quartz-glass substrates was studied by fluorescence x-ray absorption fine structure (XAFS). It was found that the formation of Ge nanocrystals strongly depends on the properties of the substrate materials. In the as-prepared samples, Ge atoms exist in amorphous Ge and GeO2 phases. At the annealing temperature of 1073 K, on the quartz-glass substrate, Ge nanocrystals are only formed predominantly from the amorphous Ge phase in the as-prepared sample. However, on the Si(100) substrate the Ge nanocrystals are generated partly from amorphous Ge, and partly from GeO2 phases through the permutation reaction with Si substrate. Quantitative analysis revealed that about 10% of GeO2 in as-prepared sample permutated with Si in the wafer and formed Ge nanocrystals

  5. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  6. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  7. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  8. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  9. Full-Field Strain Mapping at a Ge/Si Heterostructure Interface

    Directory of Open Access Journals (Sweden)

    Buwen Cheng

    2013-05-01

    Full Text Available The misfit dislocations and strain fields at a Ge/Si heterostructure interface were investigated experimentally using a combination of high-resolution transmission electron microscopy and quantitative electron micrograph analysis methods. The type of misfit dislocation at the interface was determined to be 60° dislocation and 90° full-edge dislocation. The full-field strains at the Ge/Si heterostructure interface were mapped by using the geometric phase analysis (GPA and peak pairs analysis (PPA, respectively. The effect of the mask size on the GPA and PPA results was analyzed in detail. For comparison, the theoretical strain fields of the misfit dislocations were also calculated by the Peierls-Nabarro and Foreman dislocation models. The results showed that the optimal mask sizes in GPA and PPA were approximately three tenths and one-tenth of the reciprocal lattice vector, respectively. The Foreman dislocation model with an alterable factor a = 4 can best describe the strain field of the misfit dislocation at the Ge/Si heterostructure interface.

  10. Sulfur passivation for the formation of Si-terminated Al{sub 2}O{sub 3/}SiGe(0 0 1) interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, CA (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, CA (United States); Tang, Kechao [Department of Materials Science and Engineering, Stanford University, CA (United States); Park, Sangwook; Kim, Hyonwoong [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, CA (United States); Madisetti, Shailesh [Department of Nanoscale Science and Engineering, University at Albany—State University of New York, Albany, NY (United States); McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, CA (United States); Oktyabrsky, Serge [Department of Nanoscale Science and Engineering, University at Albany—State University of New York, Albany, NY (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES USA, Inc., Albany, NY (United States); Yoshida, Noami; Kachian, Jessica [Applied Materials, Inc., Santa Clara, CA (United States); Kummel, Andrew, E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, CA (United States)

    2016-03-15

    Graphical abstract: - Highlights: • Effect of wet sulfur passivation on the electrical properties of Al{sub 2}O{sub 3}/SiGe(0 0 1) interfaces has been determined. • EOT of 2.1 nm has been achieved for ALD Al{sub 2}O{sub 3} deposited directly on SiGe(0 0 1) surfaces. • Sulfur passivation has been found to passivate the Al{sub 2}O{sub 3} interface with Si−O−Al bonds. • Sulfur passivation is found to significantly reduce the GeO{sub x} or Ge−O−Al content at the Al{sub 2}O{sub 3}/SiGe interface therefore improving the reliability. • Sulfur passivation extends the surface stability prior to oxide ALD to up to an hour with no dramatic change in D{sub it}, C{sub ox} or V{sub FB} of the resulting devices. - Abstract: Sulfur passivation is used to electrically and chemically passivate the silicon–germanium (SiGe) surfaces before and during the atomic layer deposition (ALD) of aluminum oxide (Al{sub 2}O{sub 3}). The electrical properties of the interfaces were examined by variable frequency capacitance–voltage (C–V) spectroscopy. Interface compositions were determined by angle-resolved X-ray photoelectron spectroscopy (AR-XPS). The sulfur adsorbs to a large fraction of surface sites on the SiGe(0 0 1) surface, protecting the surface from deleterious surface reactions during processing. Sulfur passivation (a) improved the air stability of the cleaned surfaces prior to ALD, (b) increased the stability of the surface during high-temperature deposition, and (c) increased the Al{sub 2}O{sub 3} ALD nucleation density on SiGe, thereby lowering the leakage current. S passivation suppressed formation of Ge−O bonds at the interface, leaving the majority of the Al{sub 2}O{sub 3}–SiGe interface terminated with direct Si−O−Al bonding.

  11. Enhanced photoluminescence of multilayer Ge quantum dots on Si(001) substrates by increased overgrowth temperature.

    Science.gov (United States)

    Liu, Zhi; Cheng, Buwen; Hu, Weixuan; Su, Shaojian; Li, Chuanbo; Wang, Qiming

    2012-07-11

    Four-bilayer Ge quantum dots (QDs) with Si spacers were grown on Si(001) substrates by ultrahigh vacuum chemical vapor deposition. In three samples, all Ge QDs were grown at 520 °C, while Si spacers were grown at various temperatures (520 °C, 550 °C, and 580 °C). Enhancement and redshift of room temperature photoluminescence (PL) were observed from the samples in which Si spacers were grown at a higher temperature. The enhancement of PL is explained by higher effective electrons capturing in the larger size Ge QDs. Quantum confinement of the Ge QDs is responsible for the redshift of PL spectra. The Ge QDs' size and content were investigated by atomic force microscopy and Raman scattering measurements.

  12. Atomic-scale Ge diffusion in strained Si revealed by quantitative scanning transmission electron microscopy

    Science.gov (United States)

    Radtke, G.; Favre, L.; Couillard, M.; Amiard, G.; Berbezier, I.; Botton, G. A.

    2013-05-01

    Aberration-corrected scanning transmission electron microscopy is employed to investigate the local chemistry in the vicinity of a Si0.8Ge0.2/Si interface grown by molecular-beam epitaxy. Atomic-resolution high-angle annular dark field contrast reveals the presence of a nonuniform diffusion of Ge from the substrate into the strained Si thin film. On the basis of multislice calculations, a model is proposed to quantify the experimental contrast, showing that the Ge concentration in the thin film reaches about 4% at the interface and decreases monotonically on a typical length scale of 10 nm. Diffusion occurring during the growth process itself therefore appears as a major factor limiting the abruptness of interfaces in the Si-Ge system.

  13. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  14. Ge/Si core/multi shell heterostructure FETs

    Energy Technology Data Exchange (ETDEWEB)

    Picraux, Samuel T [Los Alamos National Laboratory; Dayeh, Shadi A [Los Alamos National Laboratory

    2010-01-01

    Concentric heterostructured materials provide numerous design opportunities for engineering strain and interfaces, as well as tailoring energy band-edge combinations for optimal device performance. Key to the realization of such novel device concepts is the complete understanding and full control over their growth, crystal structure, and hetero-epitaxy. We report here on a new route for synthesizing Ge/Si core/multi-shell heterostructure nanowires that eliminate Au seed diffusion on the nanowire sidewalls by engineering the interface energy density difference. We show that such control over core/shell synthesis enable experimental realization of heterostructure FET devices beyond those available in the literature with enhanced transport characteristics. We provide a side-by-side comparison on the transport properties of Ge/Si core/multi-shell nanowires grown with and without Au diffusion and demonstrate heterostructure FETs with drive currents that are {approx} 2X higher than record results for p-type FETs.

  15. Site preference of metal atoms in Gd_5_-_xM_xTt_4 (M = Zr, Hf; Tt = Si, Ge)

    International Nuclear Information System (INIS)

    Yao, Jinlei; Mozharivskyj, Yurij

    2011-01-01

    Zirconium and hafnium were incorporated into the Gd_5Ge_4 and Gd_5Si_4 parent compounds in order to study the metal-site occupation in the M_5X_4 magnetocaloric phases (M = metals; X = p elements) family. The Gd_5_-_xZr_xGe_4 phases adopt the orthorhombic Sm_5Ge_4-type (space group Pnma) structure for x ≤ 1.49 and the tetragonal Zr_5Si_4-type (P4_12_12) structure for x ≥ 1.77. The Gd_5_-_xHf_xSi_4 compounds crystallize in the orthorhombic Gd_5Si_4-type (Pnma) structure for x ≤ 0.41 and the Zr_5Si_4-type structure for x ≥ 0.7. In both systems, single-crystal X-ray diffraction reveals that the Zr/Hf atoms preferentially occupy the slab-surface M2 and slab-center M3 sites, both of which have a significantly larger Zr/Hf population than the slab-surface M1 site. The metal-site preference, i.e. the coloring problem on the three metal sites, is discussed considering geometric and electronic effects of the local coordination environments. The analysis of the metal-site occupation in Gd_5_-_xZr_xGe_4 and Gd_5_-_xHf_xSi_4 as well as other metal-substituted M_5X_4 systems suggests that both geometric and electronic effects can be used to explain the metal-site occupation. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  17. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  18. The Relationship between Nanocluster Precipitation and Thermal Conductivity in Si/Ge Amorphous Multilayer Films: Effects of Cu Addition

    Directory of Open Access Journals (Sweden)

    Ahmad Ehsan Mohd Tamidi

    2016-01-01

    Full Text Available We have used a molecular dynamics technique to simulate the relationship between nanocluster precipitation and thermal conductivity in Si/Ge amorphous multilayer films, with and without Cu addition. In the study, the Green-Kubo equation was used to calculate thermal conductivity in these materials. Five specimens were prepared: Si/Ge layers, Si/(Ge + Cu layers, (Si + Cu/(Ge + Cu layers, Si/Cu/Ge/Cu layers, and Si/Cu/Ge layers. The number of precipitated nanoclusters in these specimens, which is defined as the number of four-coordinate atoms, was counted along the lateral direction of the specimens. The observed results of precipitate formation were considered in relation to the thermal conductivity results. Enhancement of precipitation of nanoclusters by Cu addition, that is, densification of four-coordinate atoms, can prevent the increment of thermal conductivity. Cu dopant increases the thermal conductivity of these materials. Combining these two points, we concluded that Si/Cu/Ge is the best structure to improve the conversion efficiency of the Si/Ge amorphous multilayer films.

  19. Electronic structure of LaFe{sub 2}X{sub 2} (X = Si,Ge)

    Energy Technology Data Exchange (ETDEWEB)

    Hase, I., E-mail: i.hase@aist.go.jp [Electronics and Photonics Research Institute, AIST, Tsukuba, Ibaraki 305-8568 (Japan); Yanagisawa, T. [Electronics and Photonics Research Institute, AIST, Tsukuba, Ibaraki 305-8568 (Japan)

    2011-11-15

    We have calculated the electronic structure of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} from first-principles. The obtained Fermi surfaces of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} resemble those of LaRu{sub 2}Ge{sub 2}, which well explains the result of the dHvA experiments of CeRu{sub 2}Ge{sub 2}. Their density of states curves show the common feature with CaFe{sub 2}As{sub 2}. D(E{sub F}) strongly depends on the distortion of the FeX{sub 4} tetrahedra and/or the height of the X atom, as also found in iron-pnictide system. Recently found iron-pnictide superconductor (Ba,K)Fe{sub 2}As{sub 2} and the heavy-fermion superconductor CeCu{sub 2}Si{sub 2} both have the same crystal structure. In this paper we have calculated the electronic structure of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} from first-principles. These compounds also have the same crystal structure and closely related to both of (Ba,K)Fe{sub 2}As{sub 2} and CeRu{sub 2}Ge{sub 2}. The obtained Fermi surfaces of LaFe{sub 2}Si{sub 2} and LaFe{sub 2}Ge{sub 2} resemble those of LaRu{sub 2}Ge{sub 2}, which are already found that they well explain the results of the dHvA experiments of CeRu{sub 2}Ge{sub 2}. Their density of states curves show the common feature with CaFe{sub 2}As{sub 2}. The density of states at the Fermi level strongly depends on the distortion of the FeX{sub 4} tetrahedra and/or the height of the X atom from the two-dimensional Fe plane, as also found in iron-pnictide system. The electronic specific heat coefficient is 11.8 mJ/mol K{sup 2} for LaFe{sub 2}Si{sub 2} and 12.5 mJ/mol K{sup 2} for LaFe{sub 2}Ge{sub 2}, which is about 1/3 and 1/2 of experimental results, respectively.

  20. The hidden secrets of the E-center in Si and Ge

    International Nuclear Information System (INIS)

    Larsen, Arne Nylandsted; Mesli, Abdelmadjid

    2007-01-01

    The group- V vacancy pair, the so-called E-center, has recently been demonstrated to have, both in Si and Ge, more complicated energy-level schemes in the energy gap than were previously assumed. The E-center in silicon has, in addition to its well-established single-acceptor level in the upper half of the band gap, also a donor level in the lower half of the band gap; this donor level has lain hidden for more than 40 years. The E-center in Ge has an even more complicated level scheme as it induces, in addition to two levels analogous to those found in Si, also a double-acceptor level in the upper half of the band gap. Thus the E-center in Si can exist in three charge states and the E-center in Ge in four

  1. Characterization of Si sub 1 sub - sub x Ge sub x thin films prepared by sputtering

    CERN Document Server

    Noguchi, T

    2000-01-01

    By bombarding solid targets, we deposited Si sub 1 sub - sub x Ge sub x thin films by sputtering without using inflammable CVD (chemical vapor deposition) gases. After the B sup + -implanted Si sub 1 sub - sub x Ge sub x films were thermally annealed, they were characterized. As the content of Ge increased, the refractive index increased and the band edge narrowed. The higher the annealing temperature, the lower the resistivity. For Si sub 1 sub - sub x Ge sub x films with a high Ge content (X approx 0.5), the flat-band voltage of the gate deduced from C-V curve was adjusted to the middle point between p sup + and n sup + polySi gates. Boron-doped SiGe films are promising gate materials for MOS (metal oxide semiconductor) and SOI (silicon on insulator) transistors driven at low driving voltage.

  2. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian

    2017-03-01

    In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N+ pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.

  3. Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate.

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian

    2017-12-01

    In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N + pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g  = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.

  4. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  5. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  6. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  7. Step-driven surface segregation and ordering during Si-Ge MBE growth

    International Nuclear Information System (INIS)

    Jesson, D.E.; Pennycook, S.J.; Baribeau, J.M.; Houghton, D.C.

    1992-06-01

    An important role of type S B step edges in determining the as-grown microstructure of Si-Ge superlattices and alloys is implicated from direct Z-contrast images of as-grown structures. A variety of different ordered phase variants can arise at each Si on Ge interface as a result of vertical segregation during superlattice growth. A new monoclinic-ordered structure is predicted to arise as a result of lateral segregation during alloy growth

  8. A self-ordered, body-centered tetragonal superlattice of SiGe nanodot growth by reduced pressure CVD

    Science.gov (United States)

    Yamamoto, Yuji; Zaumseil, Peter; Capellini, Giovanni; Schubert, Markus Andreas; Hesse, Anne; Albani, Marco; Bergamaschini, Roberto; Montalenti, Francesco; Schroeder, Thomas; Tillack, Bernd

    2017-12-01

    Self-ordered three-dimensional body-centered tetragonal (BCT) SiGe nanodot structures are fabricated by depositing SiGe/Si superlattice layer stacks using reduced pressure chemical vapor deposition. For high enough Ge content in the island (>30%) and deposition temperature of the Si spacer layers (T > 700 °C), we observe the formation of an ordered array with islands arranged in staggered position in adjacent layers. The in plane periodicity of the islands can be selected by a suitable choice of the annealing temperature before the Si spacer layer growth and of the SiGe dot volume, while only a weak influence of the Ge concentration is observed. Phase-field simulations are used to clarify the driving force determining the observed BCT ordering, shedding light on the competition between heteroepitaxial strain and surface-energy minimization in the presence of a non-negligible surface roughness.

  9. Large-area selective CVD epitaxial growth of Ge on Si substrates

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Nanver, L.K.

    2011-01-01

    Selective epitaxial growth of crystalline Ge on Si in a standard ASM Epsilon 2000 CVD reactor is investigated for the fabrication of Ge p+n diodes. At the deposition temperature of 700?C, most of the lattice mismatch-defects are trapped within first 300nm of Ge growth and good quality single crystal

  10. Single photon detection in a waveguide-coupled Ge-on-Si lateral avalanche photodiode.

    Science.gov (United States)

    Martinez, Nicholas J D; Gehl, Michael; Derose, Christopher T; Starbuck, Andrew L; Pomerene, Andrew T; Lentine, Anthony L; Trotter, Douglas C; Davids, Paul S

    2017-07-10

    We examine gated-Geiger mode operation of an integrated waveguide-coupled Ge-on-Si lateral avalanche photodiode (APD) and demonstrate single photon detection at low dark count for this mode of operation. Our integrated waveguide-coupled APD is fabricated using a selective epitaxial Ge-on-Si growth process resulting in a separate absorption and charge multiplication (SACM) design compatible with our silicon photonics platform. Single photon detection efficiency and dark count rate is measured as a function of temperature in order to understand and optimize performance characteristics in this device. We report single photon detection of 5.27% at 1310 nm and a dark count rate of 534 kHz at 80 K for a Ge-on-Si single photon avalanche diode. Dark count rate is the lowest for a Ge-on-Si single photon detector in this range of temperatures while maintaining competitive detection efficiency. A jitter of 105 ps was measured for this device.

  11. Ge incorporation inside 4H-SiC during Homoepitaxial growth by chemical vapor deposition

    OpenAIRE

    Alassaad, Kassem; Soulière, Véronique; Cauwet, François; Peyre, Hervé; Carole, Davy; Kwasnicki, Pawel; Juillaguet, Sandrine; Kups, Thomas; Pezoldt, Jörg; Ferro, Gabriel

    2014-01-01

    8 pages; International audience; In this work, we report on the addition of GeH4 gas during homoepitaxial growth of 4H-SiC by chemical vapour deposition. Ge introduction does not affect dramatically the surface morphology and defect density though it is accompanied with Ge droplets accumulation at the surface. The Ge incorporation level inside the 4H-SiC matrix, ranging from few 1017 to few 1018 at.cm-3, was found to be mainly affected by the growth temperature and GeH4 flux. Other growth par...

  12. GeAs and SiAs monolayers: Novel 2D semiconductors with suitable band structures

    Science.gov (United States)

    Zhou, Liqin; Guo, Yu; Zhao, Jijun

    2018-01-01

    Two dimensional (2D) materials provide a versatile platform for nanoelectronics, optoelectronics and clean energy conversion. Based on first-principles calculations, we propose a novel kind of 2D materials - GeAs and SiAs monolayers and investigate their atomic structure, thermodynamic stability, and electronic properties. The calculations show that monolayer GeAs and SiAs sheets are energetically and dynamically stable. Their small interlayer cohesion energies (0.191 eV/atom for GeAs and 0.178 eV/atom for SiAs) suggest easy exfoliation from the bulk solids that exist in nature. As 2D semiconductors, GeAs and SiAs monolayers possess band gap of 2.06 eV and 2.50 eV from HSE06 calculations, respectively, while their band gap can be further engineered by the number of layers. The relatively small and anisotropic carrier effective masses imply fast electric transport in these 2D semiconductors. In particular, monolayer SiAs is a direct gap semiconductor and a potential photocatalyst for water splitting. These theoretical results shine light on utilization of monolayer or few-layer GeAs and SiAs materials for the next-generation 2D electronics and optoelectronics with high performance and satisfactory stability.

  13. Induced electrostatic confinement of electron gas in W-designed strain-compensated Si/Si1-x Ge x /Si type-II quantum wells

    International Nuclear Information System (INIS)

    Sfina, N.; Lazzari, J.-L.; Christol, P.; Cuminal, Y.; Said, M.

    2006-01-01

    We present a numerical modeling of the conduction- and the valence-band diagrams of W designed Si/Si 0.4 Ge 0.6 /Si type II quantum wells. These W structures, strain-compensated on relaxed Si 0.75 Ge 0.25 pseudo-substrates, are potentially interesting for emission and photo-detection around a 1.55μm wavelength. Two main features have been extrapolated by solving self-consistently Schroedinger and Poisson equations, taking into account the electrostatic attraction induced by carrier injection: (i) Coulomb attraction strongly modifies the band profiles and increases the electron probability density at the quantum well interfaces. (ii) The injected carrier concentration enhances the in-plane oscillator strength and the electron-hole wave-function overlap

  14. MAX phase – Alumina composites via elemental and exchange reactions in the Ti{sub n+1}AC{sub n} systems (A=Al, Si, Ga, Ge, In and Sn)

    Energy Technology Data Exchange (ETDEWEB)

    Cuskelly, Dylan, E-mail: dylan.cuskelly@uon.edu.au; Richards, Erin; Kisi, Erich, E-mail: Erich.Kisi@newcastle.edu.au

    2016-05-15

    Extension of the aluminothermal exchange reaction synthesis of M{sub n+1}AX{sub n} phases to systems where the element ‘A’ is not the reducing agent was investigated in systems TiO{sub 2}–A–Al–C for A=Al, Si, Ga, Ge, In and Sn as well as Cr{sub 2}O{sub 3}–Ga–Al–C. MAX phase-Al{sub 2}O{sub 3} composites were made in all systems except those with A=Ga or In. The effectiveness of conversion to MAX phases was generally in the range 63–96% without optimisation of starting ratios. Optimisation in the Ti–Si–C system gave a MAX phase component with >98% Ti{sub 3}SiC{sub 2}. - Graphical abstract: A range of Ti{sub n+1}AX{sub n} phases with different A elements were synthesised directly from the M oxide via exchange reactions. The process has now been shown to be general in all the systems marked in green in the table. - Highlights: • Ti{sub n+1}AC{sub n} phases were produced via a single step exchange reaction. • 3 MAX phase systems were successful via this method for the first time. • Cr{sub 2}GeC was also able to be produced via an exchange reaction. • The interconversion reaction in MAX phases is more general than previously thought.

  15. Gas cluster ion beam assisted NiPt germano-silicide formation on SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Ozcan, Ahmet S., E-mail: asozcan@us.ibm.com [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States); Lavoie, Christian; Jordan-Sweet, Jean [IBM T. J. Watson Research Center, 1101 Kitchawan Road, Yorktown Heights, New York 10598 (United States); Alptekin, Emre; Zhu, Frank [IBM Semiconductor Research and Development Center, 2070 Route 52, Hopewell Junction, New York 12533 (United States); Leith, Allen; Pfeifer, Brian D.; LaRose, J. D.; Russell, N. M. [TEL Epion Inc., 900 Middlesex Turnpike, Bldg. 6, Billerica, Massachusetts 01821 (United States)

    2016-04-21

    We report the formation of very uniform and smooth Ni(Pt)Si on epitaxially grown SiGe using Si gas cluster ion beam treatment after metal-rich silicide formation. The gas cluster ion implantation process was optimized to infuse Si into the metal-rich silicide layer and lowered the NiSi nucleation temperature significantly according to in situ X-ray diffraction measurements. This novel method which leads to more uniform films can also be used to control silicide depth in ultra-shallow junctions, especially for high Ge containing devices, where silicidation is problematic as it leads to much rougher interfaces.

  16. Premonochromator characteristics of Si and Ge crystals for nuclear Bragg scattering

    International Nuclear Information System (INIS)

    Harami, Taikan

    1990-07-01

    The use of monochromator plays an important role as monochromatizing the photon from a facility having an electron storage ring to a narrow band width about the wavelength determined by the Bragg condition. This paper describes the dynamical diffraction formulae and collects the characteristics data of premonochromators of Si and Ge crystals for nuclear Bragg scattering. The numerical studies show the following data. (1) Reflectivity, Bragg reflection width, energy resolution and integral reflecting power for the various reflections of Si and Ge crystals at the photon with the resonance excitation energy of the Moessbauer nuclei of 181 Tm(6.21 keV), 169 Tm(8.42 keV), 57 Fe(14.41 keV), 119 Sn(23.87 keV) and 238 U(44.70 keV). (2) Tables of susceptibilities and figures of rocking curves for the various reflections of Si and Ge crystals. (author)

  17. Numerical simulation of microstructure of the GeSi alloy

    Energy Technology Data Exchange (ETDEWEB)

    Rasin, I.

    2006-09-08

    The goal of this work is to investigate pattern formation processes on the solid-liquid interface during the crystal growth of GeSi. GeSi crystals with cellular structure have great potential for applications in -ray and neutron optics. The interface patterns induce small quasi-periodic distortions of the microstructure called mosaicity. Existence and properties of this mosaicity are important for the application of the crystals. The properties depend on many factors; this dependence, is currently not known even not qualitatively. A better understanding of the physics near the crystal surface is therefore required, in order to optimise the growth process. There are three main physical processes in this system: phase-transition, diffusion and melt flow. Every process is described by its own set of equations. Finite difference methods and lattice kinetic methods are taken for solving these governing equations. We have developed a modification of the kinetic methods for the advectiondiffusion and extended this method for simulations of non-linear reaction diffusion equations. The phase-field method was chosen as a tool for describing the phase-transition. There are numerous works applied for different metallic alloys. An attempt to apply the method directly to simulation GeSi crystal growth showed that this method is unstable. This instability has not been observed in previous works due to the much smaller scale of simulations. We introduced a modified phase-field scheme, which enables to simulate pattern formation with the scale observed in experiment. A flow in the melt was taken in to account in the numerical model. The developed numerical model allows us to investigate pattern formation in GeSi crystals. Modelling shows that the flow near the crystal surface has impact on the patterns. The obtained patterns reproduce qualitatively and in some cases quantitatively the experimental results. (orig.)

  18. Effects of germane flow rate in electrical properties of a-SiGe:H films for ambipolar thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Dominguez, Miguel, E-mail: madominguezj@gmail.com [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Rosales, Pedro, E-mail: prosales@inaoep.mx [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Torres, Alfonso [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Flores, Francisco [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Molina, Joel; Moreno, Mario [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Luna, Jose [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Orduña, Abdu [Centro de Investigación en Biotecnología Aplicada (CIBA), IPN, Tlaxcala, Tlaxcala 72197 (Mexico)

    2014-07-01

    In this work, the study of germane flow rate in electrical properties of a-SiGe:H films is presented. The a-SiGe:H films deposited by low frequency plasma-enhanced chemical vapor deposition at 300 °C were characterized by Fourier transform infrared spectroscopy, measurements of temperature dependence of conductivity and UV–visible spectroscopic ellipsometry. After finding the optimum germane flow rate conditions, a-SiGe:H films were deposited at 200 °C and analyzed. The use of a-SiGe:H films at 200 °C as active layer of low-temperature ambipolar thin-film transistors (TFTs) was demonstrated. The inverted staggered a-SiGe:H TFTs with Spin-On Glass as gate insulator were fabricated. These results suggest that there is an optimal Ge content in the a-SiGe:H films that improves its electrical properties. - Highlights: • As the GeH{sub 4} flow rate increases the content of oxygen decreases. • Ge-H bonds show the highest value in a-SiGe:H films with GeH{sub 4} flow of 105 sccm. • Films with GeH{sub 4} flow of 105 sccm show the highest activation energy. • An optimum incorporation of germanium is obtained with GeH{sub 4} flow rate of 105 sccm. • At 200 °C the optimum condition of the a-SiGe:H films remain with no changes.

  19. A new CMOS SiGeC avalanche photo-diode pixel for IR sensing

    Science.gov (United States)

    Augusto, Carlos; Forester, Lynn; Diniz, Pedro C.

    2009-05-01

    Near-infra-red sensing with silicon is limited by the bandgap of silicon, corresponding to a maximum wavelength of absorption of 1.1 μm. A new type of CMOS sensor is presented, which uses a SiGeC epitaxial film in conjunction with novel device architecture to extend absorption into the infra-red. The SiGeC film composition and thickness determine the spectrum of absorption; in particular for SiGeC superlattices, the layer ordering to create pseudo direct bandgaps is the critical parameter. In this new device architecture, the p-type SiGeC film is grown on an active region surrounded by STI, linked to the S/D region of an adjacent NMOS, under the STI by a floating N-Well. On a n-type active, a P-I-N device is formed, and on a p-type active, a P-I-P device is formed, each sensing different regions of the spectrum. The SiGeC films can be biased for avalanche operation, as the required vertical electric field is confined to the region near the heterojunction interface, thereby not affecting the gate oxide of the adjacent NMOS. With suitable heterojunction and doping profiles, the avalanche region can also be bandgap engineered, allowing for avalanche breakdown voltages that are compatible with CMOS devices.

  20. Measurement of strain and strain relaxation in free-standing Si membranes by convergent beam electron diffraction and finite element method

    International Nuclear Information System (INIS)

    Gao, H.; Ikeda, K.; Hata, S.; Nakashima, H.; Wang, D.; Nakashima, H.

    2011-01-01

    Bridge-shaped free-standing Si membranes (FSSM), strained by low-pressure (LP) Si x N y , plasma-enhanced (PE) Si x N y and Si x Ge 1-x stressors, were measured by convergent beam electron diffraction (CBED) and the finite element method (FEM). The results of CBED show that, while the strain along the length of the FSSM is compressive in an LPSi x N y /Si sample, those along the length of the FSSM are tensile in PESi x N y /Si and Si x Ge 1-x /Si samples. The average absolute values of strains are different in FSSM with LPSi x N y , PESi x N y and Si x Ge 1-x as stressors. The FEM was used to compensate the results of CBED taking into account the strain relaxation in transmission electron microscopy (TEM) sample preparation. The FEM results give the strain properties in three dimensions, and are in good agreement with the results of CBED. There is approximately no strain relaxation along the length of FSSM, and the elastic strains along the other two axes in FSSM are partially relaxed by thinning down for the preparation of TEM samples.

  1. Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers

    Science.gov (United States)

    Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko

    2017-04-01

    Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.

  2. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    Science.gov (United States)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  3. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  4. Atomistic simulation of the thermal conductivity in amorphous SiO2 matrix/Ge nanocrystal composites

    Science.gov (United States)

    Kuryliuk, Vasyl V.; Korotchenkov, Oleg A.

    2017-04-01

    We use nonequilibrium molecular dynamics computer simulations with the Tersoff potential aiming to provide a comprehensive picture of the thermal conductivity of amorphous SiO2 (a-SiO2) matrix with embedded Ge nanocrystals (nc-Ge). The modelling predicts the a-SiO2 matrix thermal conductivity in a temperature range of 50 fair agreement with experiment at around room temperature. It is worth noticing that the predicted room-temperature thermal conductivity in a-SiO2 is in very good agreement with the experimental result, which is in marked contrast with the thermal conductivity calculated employing the widely used van Beest-Kramer-van Santen (BKS) potential. We show that the thermal conductivity of composite nc-Ge/a-SiO2 systems decreases steadily with increasing the volume fraction of Ge inclusions, indicative of enhanced interface scattering of phonons imposed by embedded Ge nanocrystals. We also observe that increasing the volume fractions above a certain threshold value results in a progressively increased thermal conductivity of the nanocomposite, which can be explained by increasing volume fraction of a better thermally conducting Ge. Finally, non-equilibrium molecular dynamics simulations with the Tersoff potential are promising for computing the thermal conductivity of nanocomposites based on amorphous SiO2 and can be readily scaled to more complex composite structures with embedded nanoparticles, which thus help design nanocomposites with desired thermal properties.

  5. Regrowth of Si and Ge under laser irradiation

    International Nuclear Information System (INIS)

    Bertolotti, M.; Vitali, G.

    1979-01-01

    The effects of pulsed laser irradiation on amorphous layers of Si and Ge obtained via ion implantation are considered. Amorphous-polycrystalline, amorphous-single crystal and polycrystalline-single crystal transitions have been obtained. Residual disorder and mechanical damage are considered. (author)

  6. Magnetic ordering in the monoclinic structure of Nd5Si1.45Ge2.55 and Pr5Si1.5Ge2.5 studied by means of neutron powder diffraction

    International Nuclear Information System (INIS)

    Magen, C; Ritter, C; Morellon, L; Algarabel, P A; Ibarra, M R

    2004-01-01

    The compounds Nd 5 Si 1.45 Ge 2.55 and Pr 5 Si 1.5 Ge 2.5 have been investigated by means of magnetization measurements and neutron powder diffraction techniques. These alloys present a room-temperature monoclinic Gd 5 Si 2 Ge 2 -type crystallographic structure and, on cooling, both systems order ferromagnetically, at T C = 56 and 32 K, respectively, from a high-temperature paramagnetic to a low-temperature complex canted ferromagnetic state. The monoclinic crystallographic structure remains unchanged upon cooling down to 4 K, demonstrating the existence of a monoclinic ferromagnetic phase, and the possibility of a full decoupling of magnetic and crystallographic degrees of freedom in the 5:4 lanthanide intermetallic compounds

  7. Surface and interfacial structural characterization of MBE grown Si/Ge multilayers

    International Nuclear Information System (INIS)

    Saha, Biswajit; Sharma, Manjula; Sarma, Abhisakh; Rath, Ashutosh; Satyam, P.V.; Chakraborty, Purushottam; Sanyal, Milan K.

    2009-01-01

    Si/Ge multilayer structures have been grown by solid source molecular beam epitaxy (MBE) on Si (1 1 1) and (1 0 0) substrates and were characterized by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), high-depth-resolution secondary ion mass spectroscopy (SIMS) and cross-section high-resolution transmission electron microscopy (HRTEM). A reasonably good agreement has been obtained for layer thickness, interfacial structure and diffusion between SIMS and HRTEM measurements. Epitaxial growth and crystalline nature of the individual layer have been probed using cross-sectional HRTEM and XRD measurements. Surface and interface morphological studies by AFM and HRTEM show island-like growth of both Si and Ge nanostructures.

  8. Half-metallicity and ferromagnetism of TcX (X=C, Si and Ge) in zinc blende structure

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [College of Science, Yanshan University, Qinhuangdao 066004 (China); Physics Department, Brock University, St. Catharines, ON, Canada L2S 3A1 (Canada); Xing, Yue [College of Science, Yanshan University, Qinhuangdao 066004 (China); Bose, S.K., E-mail: sbose@brocku.ca [Physics Department, Brock University, St. Catharines, ON, Canada L2S 3A1 (Canada); Zhao, Yong-Hong [Department of Physics, Sichuan Normal University, Chengdu 610068 (China)

    2013-02-15

    We report results of a first-principles density-functional study of three binary transition-metal compounds TcX (X=C, Si and Ge) in the hypothetical cubic zinc blende (ZB) structure. Our calculations are based on the full potential linear augmented plane wave (FP-LAPW) plus local orbitals method, together with generalized gradient approximation for the exchange-correlation potential. Half-metallic (HM) ferromagnetism is observed in these binary compounds for their optimized cell volumes. In the HM state, these compounds possess an integer magnetic moment (1.000{mu}{sub B}) per formula unit, which is one of the important characteristics of half-metallic ferromagnets (HMFs). The ferromagnetic (FM) state is found to be stable for ZB TcC, TcSi and TcGe against the nonmagnetic (NM) and antiferromagnetic (AFM) states. Calculations show that half-metallicity can be maintained for a wide range of lattice constants in these binary compounds. Density functional calculations of exchange interactions and the Curie temperatures reveal similar trends for the three compounds with respect to the lattice parameter. These compounds are compatible with the traditional semiconductors, and could be useful in spin-electronics and other applications. The most important aspect of this work is to explore the possibility of not only magnetism, but HM ferromagnetism in compounds involving NM elements and 4d transition element Tc. - Highlights: Black-Right-Pointing-Pointer We study magnetism of the compounds TcSi, TcC, and TcGe. Black-Right-Pointing-Pointer These compounds, with nonmagnetic constituents, are found to be ferromagnetic. Black-Right-Pointing-Pointer They show robust half-metallicity in zinc blende structure. Black-Right-Pointing-Pointer Estimated Curie temperatures suggest that synthesis of these compounds is worth pursuing.

  9. Improved Si0.5Ge0.5/Si interface quality achieved by the process of low energy hydrogen plasma cleaning and investigation of interface quality with positron annihilation spectroscopy

    Science.gov (United States)

    Liao, M.-H.; Chen, C.-H.

    2013-04-01

    The Positron Annihilation Spectra (PAS), Raman, and Photoluminescence spectroscopy reveal that Si0.5Ge0.5/Si interface quality can be significantly improved by the low energy plasma cleaning process using hydrogen. In the PAS, the particularly small value of lifetime and intensity near the Si0.5Ge0.5/Si interface in the sample with the treatment indicate that the defect concentration is successfully reduced 2.25 times, respectively. Fewer defects existed in the Si0.5Ge0.5/Si interface result in the high compressive strain about 0.36% in the top epi-Si0.5Ge0.5 layer, which can be observed in Raman spectra and stronger radiative recombination rate about 1.39 times for the infrared emission, which can be observed in the photoluminescence spectra. With better Si0.5Ge0.5/Si interface quality, the SiGe-based devices can have better optical and electrical characteristics for more applications in the industry. The PAS is also demonstrated that it is the useful methodology tool to quantify the defect information in the SiGe-based material.

  10. Magnetism and electronic structure of CoFeCrX (X = Si, Ge) Heusler alloys

    International Nuclear Information System (INIS)

    Jin, Y.; O'Connell, A.; Kharel, P.; Lukashev, P.; Staten, B.; Tutic, I.; Valloppilly, S.; Herran, J.; Mitrakumar, M.; Bhusal, B.; Huh, Y.; Yang, K.; Skomski, R.; Sellmyer, D. J.

    2016-01-01

    The structural, electronic, and magnetic properties of CoFeCrX (X = Si, Ge) Heusler alloys have been investigated. Experimentally, the alloys were synthesized in the cubic L2 1 structure with small disorder. The cubic phase of CoFeCrSi was found to be highly stable against heat treatment, but CoFeCrGe disintegrated into other new compounds when the temperature reached 402 °C (675 K). Although the first-principle calculation predicted the possibility of tetragonal phase in CoFeCrGe, the tetragonal phase could not be stabilized experimentally. Both CoFeCrSi and CoFeCrGe compounds showed ferrimagnetic spin order at room temperature and have Curie temperatures (T C ) significantly above room temperature. The measured T C for CoFeCrSi is 790 K but that of CoFeCrGe could not be measured due to its dissociation into new compounds at 675 K. The saturation magnetizations of CoFeCrSi and CoFeCrGe are 2.82 μ B /f.u. and 2.78 μ B /f.u., respectively, which are close to the theoretically predicted value of 3 μ B /f.u. for their half-metallic phases. The calculated band gaps for CoFeCrSi and CoFeCrGe are, respectively, 1 eV and 0.5 eV. These materials have potential for spintronic device applications, as they exhibit half-metallic electronic structures with large band gaps, and Curie temperatures significantly above room temperature.

  11. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  12. Investigation of high mobility pseudomorphic SiGe p-channels in Si MOSFETS at low and high electric fields

    International Nuclear Information System (INIS)

    Palmer, Martin John

    2001-01-01

    Silicon Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) for high speed, high current applications are rapidly approaching the physical and financial limits of the technology. This opens opportunities for the incorporation of materials with intrinsically better transport characteristics. An alloy of silicon and germanium is one such material that is gaining much recognition as the active component of MOSFETs and as the secondary structures (such as the gate electrode). This work examines a batch of buried channel Si 0.64 Ge 0.36 p-MOSFETs, with a minimum effective length of 0.35 μm, under different bias conditions and at different temperatures. High current and transconductance enhancements are apparent at long gate lengths. The carrier mobility is up to a factor of 2.5 times that of silicon at room temperature and 7.5 times at 4 K. A clear trend of decreasing peak mobility with decreasing silicon cap thickness is evident. Simulations show that scattering caused by the roughness of the SiO 2 /Si interface dominates, rather than alloy scattering or Si/SiGe roughness, even for a buried channel. This scattering increases with the proximity of the carriers to the interface. An increase of interface trap density with decreasing cap thickness, demonstrates that segregated germanium exists some distance into the cap and interferes with the oxidation process. This will increase scattering through increased SiO 2 /Si roughness and increased trapped charge. The short channel, high field results are comparable or slightly worse than those of silicon due to lower saturation drift velocity. However, fitting to a drift-diffusion model shows an apparent increase in saturation velocity for short channels, especially at low temperatures. This effect correlates with the low field mobility and is greater for devices containing SiGe. This is an indication of velocity overshoot, which may enhance the performance of SiGe MOSFETs at deep submicron gate lengths. (author)

  13. Diffusion of $^{56}$Co in GaAs and SiGe alloys

    CERN Multimedia

    Koskelo, O K

    2007-01-01

    Following our previous diffusion studies performed with the modified radiotracer technique, we propose to determine the diffusion of cobalt in GaAs and SiGe alloys under intrinsic conditions. In the literature only three previous studies for Co diffusion in GaAs may be found and the results differ by over four orders of magnitude from each other. For Co diffusion in SiGe alloys no previous data is available in the literature. For Co diffusion in Ge one study may be found but the results have been obtained with material having increased dislocation density. For dislocation-free material no previous measurements are available. For such experiments we ask for two runs of 3 shifts (total of 6 shifts) with $^{56}$Co$^{+}$ ion beam.

  14. SiGe BiCMOS manufacturing platform for mmWave applications

    Science.gov (United States)

    Kar-Roy, Arjun; Howard, David; Preisler, Edward; Racanelli, Marco; Chaudhry, Samir; Blaschke, Volker

    2010-10-01

    TowerJazz offers high volume manufacturable commercial SiGe BiCMOS technology platforms to address the mmWave market. In this paper, first, the SiGe BiCMOS process technology platforms such as SBC18 and SBC13 are described. These manufacturing platforms integrate 200 GHz fT/fMAX SiGe NPN with deep trench isolation into 0.18μm and 0.13μm node CMOS processes along with high density 5.6fF/μm2 stacked MIM capacitors, high value polysilicon resistors, high-Q metal resistors, lateral PNP transistors, and triple well isolation using deep n-well for mixed-signal integration, and, multiple varactors and compact high-Q inductors for RF needs. Second, design enablement tools that maximize performance and lowers costs and time to market such as scalable PSP and HICUM models, statistical and Xsigma models, reliability modeling tools, process control model tools, inductor toolbox and transmission line models are described. Finally, demonstrations in silicon for mmWave applications in the areas of optical networking, mobile broadband, phased array radar, collision avoidance radar and W-band imaging are listed.

  15. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  16. Strain characterization of fin-shaped field effect transistors with SiGe stressors using nanobeam electron diffraction

    International Nuclear Information System (INIS)

    Kim, Sun-Wook; Byeon, Dae-Seop; Jang, Hyunchul; Koo, Sang-Mo; Ko, Dae-Hong; Lee, Hoo-Jeong

    2014-01-01

    This study undertook strain analysis on fin-shaped field effect transistor structures with epitaxial Si 1−x Ge x stressors, using nano-beam electron diffraction and finite elements method. Combining the two methods disclosed dynamic strain distribution in the source/drain and channel region of the fin structure, and the effects of dimensional factors such as the stressor thickness and fin width, offering valuable information for device design.

  17. Strain characterization of fin-shaped field effect transistors with SiGe stressors using nanobeam electron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun-Wook; Byeon, Dae-Seop; Jang, Hyunchul; Koo, Sang-Mo; Ko, Dae-Hong, E-mail: dhko@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Lee, Hoo-Jeong, E-mail: hlee@skku.edu [Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-08-25

    This study undertook strain analysis on fin-shaped field effect transistor structures with epitaxial Si{sub 1−x}Ge{sub x} stressors, using nano-beam electron diffraction and finite elements method. Combining the two methods disclosed dynamic strain distribution in the source/drain and channel region of the fin structure, and the effects of dimensional factors such as the stressor thickness and fin width, offering valuable information for device design.

  18. Phonon-assisted relaxation and decoherence of singlet-triplet qubits in Si/SiGe quantum dots

    Directory of Open Access Journals (Sweden)

    Viktoriia Kornich

    2018-05-01

    Full Text Available We study theoretically the phonon-induced relaxation and decoherence of spin states of two electrons in a lateral double quantum dot in a SiGe/Si/SiGe heterostructure. We consider two types of singlet-triplet spin qubits and calculate their relaxation and decoherence times, in particular as a function of level hybridization, temperature, magnetic field, spin orbit interaction, and detuning between the quantum dots, using Bloch-Redfield theory. We show that the magnetic field gradient, which is usually applied to operate the spin qubit, may reduce the relaxation time by more than an order of magnitude. Using this insight, we identify an optimal regime where the magnetic field gradient does not affect the relaxation time significantly, and we propose regimes of longest decay times. We take into account the effects of one-phonon and two-phonon processes and suggest how our theory can be tested experimentally. The spin lifetimes we find here for Si-based quantum dots are significantly longer than the ones reported for their GaAs counterparts.

  19. Growth and relaxation processes in Ge nanocrystals on free-standing Si(001) nanopillars.

    Science.gov (United States)

    Kozlowski, G; Zaumseil, P; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-03-23

    We study the growth and relaxation processes of Ge crystals selectively grown by chemical vapour deposition on free-standing 90 nm wide Si(001) nanopillars. Epi-Ge with thickness ranging from 4 to 80 nm was characterized by synchrotron based x-ray diffraction and transmission electron microscopy. We found that the strain in Ge nanostructures is plastically released by nucleation of misfit dislocations, leading to degrees of relaxation ranging from 50 to 100%. The growth of Ge nanocrystals follows the equilibrium crystal shape terminated by low surface energy (001) and {113} facets. Although the volumes of Ge nanocrystals are homogeneous, their shape is not uniform and the crystal quality is limited by volume defects on {111} planes. This is not the case for the Ge/Si nanostructures subjected to thermal treatment. Here, improved structure quality together with high levels of uniformity of the size and shape is observed.

  20. Parameter-free calculation of K alpha chemical shifts for Al, Si, and Ge oxides

    DEFF Research Database (Denmark)

    Lægsgaard, Jesper

    2001-01-01

    The chemical shifts of the K alpha radiation line from Al, Si, and Ge ions between their elemental and oxide forms are calculated within the framework of density functional theory using ultrasoft pseudopotentials. It is demonstrated that this theoretical approach yields quantitatively accurate...... results fur the systems investigated, provided that relaxations of the valence electrons upon the core-hole transition are properly accounted for. Therefore, such calculations provide a powerful tool for identification of impurity states based on x-ray fluorescence data. Results for an Al impurity...

  1. Amorphous Ge quantum dots embedded in SiO2 formed by low energy ion implantation

    International Nuclear Information System (INIS)

    Zhao, J. P.; Huang, D. X.; Jacobson, A. J.; Chen, Z. Y.; Makarenkov, B.; Chu, W. K.; Bahrim, B.; Rabalais, J. W.

    2008-01-01

    Under ultrahigh vacuum conditions, extremely small Ge nanodots embedded in SiO 2 , i.e., Ge-SiO 2 quantum dot composites, have been formed by ion implantation of 74 Ge + isotope into (0001) Z-cut quartz at a low kinetic energy of 9 keV using varying implantation temperatures. Transmission electron microscopy (TEM) images and micro-Raman scattering show that amorphous Ge nanodots are formed at all temperatures. The formation of amorphous Ge nanodots is different from reported crystalline Ge nanodot formation by high energy ion implantation followed by a necessary high temperature annealing process. At room temperature, a confined spatial distribution of the amorphous Ge nanodots can be obtained. Ge inward diffusion was found to be significantly enhanced by a synergetic effect of high implantation temperature and preferential sputtering of surface oxygen, which induced a much wider and deeper Ge nanodot distribution at elevated implantation temperature. The bimodal size distribution that is often observed in high energy implantation was not observed in the present study. Cross-sectional TEM observation and the depth profile of Ge atoms in SiO 2 obtained from x-ray photoelectron spectra revealed a critical Ge concentration for observable amorphous nanodot formation. The mechanism of formation of amorphous Ge nanodots and the change in spatial distribution with implantation temperature are discussed

  2. Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane

    Energy Technology Data Exchange (ETDEWEB)

    Abedin, A., E-mail: aabedin@kth.se; Moeen, M.; Cappetta, C.; Östling, M.; Radamson, H.H., E-mail: rad@kth.se

    2016-08-31

    This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layers was examined by quantifying noise parameter, K{sub 1/f} obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16 mPa inside and in the interface of the layers. - Highlights: • SiGe layers were grown using trisilane and germane. • Effect of HCl flow on Ge content and growth rate was investigated. • O{sub 2} partial pressures up to 4.3 mPa did not affect x-ray diffraction pattern. • O{sub 2} partial pressures as low as 0.16 mPa increased the noise level. • HCl increased metal contaminations of the layers and the noise level consequently.

  3. Measurement of strain and strain relaxation in free-standing Si membranes by convergent beam electron diffraction and finite element method

    Energy Technology Data Exchange (ETDEWEB)

    Gao, H., E-mail: hongye18@mm.kyushu-u.ac.jp [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Ikeda, K.; Hata, S.; Nakashima, H. [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Wang, D.; Nakashima, H. [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2011-04-15

    Bridge-shaped free-standing Si membranes (FSSM), strained by low-pressure (LP) Si{sub x}N{sub y}, plasma-enhanced (PE) Si{sub x}N{sub y} and Si{sub x}Ge{sub 1-x} stressors, were measured by convergent beam electron diffraction (CBED) and the finite element method (FEM). The results of CBED show that, while the strain along the length of the FSSM is compressive in an LPSi{sub x}N{sub y}/Si sample, those along the length of the FSSM are tensile in PESi{sub x}N{sub y}/Si and Si{sub x}Ge{sub 1-x}/Si samples. The average absolute values of strains are different in FSSM with LPSi{sub x}N{sub y}, PESi{sub x}N{sub y} and Si{sub x}Ge{sub 1-x} as stressors. The FEM was used to compensate the results of CBED taking into account the strain relaxation in transmission electron microscopy (TEM) sample preparation. The FEM results give the strain properties in three dimensions, and are in good agreement with the results of CBED. There is approximately no strain relaxation along the length of FSSM, and the elastic strains along the other two axes in FSSM are partially relaxed by thinning down for the preparation of TEM samples.

  4. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    International Nuclear Information System (INIS)

    Leonhardt, Darin; Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S.; Han, Sang M.

    2010-01-01

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10 7 /cm 2 in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H 2 O 2 and H 2 SO 4 . Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10 11 /cm 2 . Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO 2 in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10 7 /cm 2 . Additionally, coalescence results in films of 3 μm thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H 2 O 2 results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO 2 interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the subsequent growth morphology of GaAs deposited by metal-organic chemical vapor

  5. O-band quantum-confined Stark effect optical modulator from Ge/Si0.15Ge0.85 quantum wells by well thickness tuning

    International Nuclear Information System (INIS)

    Chaisakul, Papichaya; Marris-Morini, Delphine; Vakarin, Vladyslav; Vivien, Laurent; Frigerio, Jacopo; Chrastina, Daniel; Isella, Giovanni

    2014-01-01

    We report an O-band optical modulator from a Ge/Si 0.15 Ge 0.85 multiple quantum well (MQW). Strong O-band optical modulation in devices commonly operating within E-band wavelength range can be achieved by simply decreasing the quantum well thickness. Both spectral photocurrent and optical transmission studies are performed to evaluate material characteristics and device performance from a surface-illuminated diode and a waveguide modulator, respectively. These results demonstrate the potential of using Ge/Si 0.15 Ge 0.85 MQWs for the realization of future on-chip wavelength-division multiplexing systems with optical modulators operating at different wavelengths over a wide spectral range

  6. Heteroepitaxy of Ge on Si(001) with pits and windows transferred from free-standing porous alumina mask

    International Nuclear Information System (INIS)

    Huangfu, Yourui; Zhan Wenbo; Hong Xia; Fang Xu; Ye Hui; Ding Guqiao

    2013-01-01

    This paper reports the use of ultrathin free-standing porous alumina membrane (PAM) in pattern transferring for selective epitaxial growth (SEG) of Ge dots and films on Si. PAM, as a large-scale, controllable and lithography-free mask, can transfer nanopatterns onto Si without introducing any contaminants. High-density Ge dots are achievable with Ge adatoms confined in Si pits transferred from PAM. High-quality Ge films can also be grown on Si substrates through SiO 2 nano-windows. In this work, 80 and 60 nm pore sizes of PAM were transferred to 70, 50 and 20 nm windows for comparison. For the former two sizes, over-etching of Si beneath every SiO 2 window forms epi-seeds to improve intermixing of Ge–Si. No threading dislocations can be observed emanating from the epi-seeds due to the decreased lattice mismatch. An innovative shadow-etching technique utilizing the aspect ratio of PAM further decreased the lateral dimension of patterns from 60 to 20 nm. Cross-sectional transmission electron microscopy images show that the selective epitaxial Ge films grown from a 20 nm-width interface are defect free, which is attributed to the exponential decay of strain energy as well as Ge–Si intermixing. (paper)

  7. The Effectiveness of HCl and HF Cleaning of Si0.85Ge0.15 Surface

    International Nuclear Information System (INIS)

    Sun, Y

    2008-01-01

    The cleaning of Si 0.85 Ge 0.15 surfaces using HCl and HF solutions is studied using synchrotron radiation photoelectron spectroscopy. The HF solution is found to be effective in removing both the Si oxide and the Ge oxide while the HCl solution can only remove part of the Ge oxide. For samples treated with HF, four spectral components are needed to fit the Ge 3d photoemission spectra. One is the bulk component and the other three are attributed to the surface Ge atoms with mono-hydride, di-hydride and tri-hydride terminations, respectively

  8. Self-assembly of Ge quantum dots on periodically corrugated Si surfaces

    International Nuclear Information System (INIS)

    Buljan, M.; Jerčinović, M.; Radić, N.; Facsko, S.; Baehtz, C.; Muecklich, A.; Grenzer, J.; Delač Marion, I.; Mikšić Trontl, V.; Kralj, M.; Holý, V.

    2015-01-01

    The fabrication of regularly ordered Ge quantum dot arrays on Si surfaces usually requires extensive preparation processing, ensuring clean and atomically ordered substrates, while the ordering parameters are quite limited by the surface properties of the substrate. Here, we demonstrate a simple method for fabrication of ordered Ge quantum dots with highly tunable ordering parameters on rippled Si surfaces. The ordering is achieved by magnetron sputter deposition, followed by an annealing in high vacuum. We show that the type of ordering and lattice vector parameters of the formed Ge quantum dot lattice are determined by the crystallographic properties of the ripples, i.e., by their shape and orientation. Moreover, the ordering is achieved regardless the initial amorphisation of the ripples surface and the presence of a thin oxide layer

  9. Electronic and geometric structures of Ge{sub n}{sup -} and Ge{sub n}{sup +} (n=5-10) clusters in comparison with corresponding Si{sub n} ions

    Energy Technology Data Exchange (ETDEWEB)

    Li Baoxing; Cao Peilin; Song Bin; Ye Zhezhen

    2003-02-10

    Using full-potential linear-muffin-tin-orbital molecular-dynamics (FP-LMTO-MD) method, we have studied the geometric and electronic structures of ionic Ge{sub 5-10} clusters. Our calculations show that the ground state structures of some Ge cluster ions are different from those of their corresponding neutral Ge clusters. Furthermore, the positive Ge ions have more severe structural distortion than the negative Ge ions due to Jahn-Teller distortion. In addition, there are differences between the ground state structures of Ge ions and Si ions, although most of the Ge ions have similar geometrical configurations to their corresponding Si ions.

  10. Scattering mechanisms in shallow undoped Si/SiGe quantum wells

    Directory of Open Access Journals (Sweden)

    D. Laroche

    2015-10-01

    Full Text Available We report the magneto-transport study and scattering mechanism analysis of a series of increasingly shallow Si/SiGe quantum wells with depth ranging from ∼ 100 nm to ∼ 10 nm away from the heterostructure surface. The peak mobility increases with depth, suggesting that charge centers near the oxide/semiconductor interface are the dominant scattering source. The power-law exponent of the electron mobility versus density curve, μ ∝ nα, is extracted as a function of the depth of the Si quantum well. At intermediate densities, the power-law dependence is characterized by α ∼ 2.3. At the highest achievable densities in the quantum wells buried at intermediate depth, an exponent α ∼ 5 is observed. We propose and show by simulations that this increase in the mobility dependence on the density can be explained by a non-equilibrium model where trapped electrons smooth out the potential landscape seen by the two-dimensional electron gas.

  11. $^{31}$Si Self-Diffusion in Si-Ge Alloys and Si-(B-)C-N Ceramics and Diffusion Studies for Al and Si Beam Developments

    CERN Multimedia

    Nylandsted larsen, A; Voss, T L; Strohm, A

    2002-01-01

    An invaluable method for studying diffusion in solids is the radiotracer technique. However, its applicability had been restricted to radiotracer atoms with half-lives $t_{1/2}$ of about 1~d or longer. Within the framework of IS372 a facility was developed in which short-lived radiotracer atoms ( 5min $\\scriptstyle{\\lesssim}$ $t_{1/2}\\scriptstyle{\\lesssim}$1 d ) can be used. For the implantation of the short-lived tracers the facility is flanged to the ISOLDE beamline, and all post-implantation steps required in the radiotracer technique are done in situ.\\\\ After successful application of this novel technique in diffusion studies of $^{11}$C ($t_{1/2}$ = 20.3 min), this experiment aims at performing self-diffusion studies of $^{31}$Si ($t_{1/2}$ = 2.6~h) in Si--Ge alloys and in amorphous Si--(B--)C--N ceramics.\\\\ Our motivation for measuring diffusion in Si--Ge alloys is their recent technological renaissance as well as the purpose to test the prediction that in these alloys the self-diffusion mechanism chang...

  12. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  13. The formal combination of three singlet biradicaloid entities to a singlet hexaradicaloid metalloid Ge14[Si(SiMe3)3]5[Li(THF)2]3 cluster.

    Science.gov (United States)

    Schenk, Christian; Kracke, Andreas; Fink, Karin; Kubas, Adam; Klopper, Wim; Neumaier, Marco; Schnöckel, Hansgeorg; Schnepf, Andreas

    2011-03-02

    The reaction of GeBr with LiSi(SiMe(3))(3) leads to the metalloid cluster compound [(THF)(2)Li](3)Ge(14)[Si(SiMe(3))(3)](5) (1). After the introduction of a first cluster of this type, in which 14 germanium atoms form an empty polyhedron, [(THF)(2)Li](3)Ge(14)[Ge(SiMe(3))(3)](5) (2), we present here further investigations on 1 to obtain preliminary insight into its chemical and bonding properties. The molecular structure of 1 is determined via X-ray crystal structure solution using synchrotron radiation. The electronic structure of the Ge(14) polyhedron is further examined by quantum chemical calculations, which indicate that three singlet biradicaloid entities formally combine to yield the singlet hexaradicaloid character of 1. Moreover, the initial reactions of 1 after elimination of the [Li(THF)(2)](+) groups by chelating ligands (e.g., TMEDA or 12-crown-4) are presented. Collision induced dissociation experiments in the gas phase, employing FT-ICR mass spectrometry, lead to the elimination of the singlet biradicaloid Ge(5)H(2)[Si(SiMe(3))(3)](2) cluster. The unique multiradicaloid bonding character of the metalloid cluster 1 might be used as a model for reactions and properties in the field of surface science and nanotechnology.

  14. Type II band alignment in Ge1-x-ySixSny/Ge1-α-βSiαSnβ heterojunctions

    Science.gov (United States)

    Dey, Swagata; Mukhopadhyay, Bratati; Sen, Gopa; Basu, P. K.

    2018-02-01

    We have examined type II band alignment in Ge1-x-ySixSny/Ge1-α-βSiαSβ heterojunctions grown on virtual substrates in Si platform. It is found that, for different values of x, y, α and β, direct band gap type II band line up can be achieved for both tensile and compressive strains. The calculated band gap energy corresponds to the mid infrared to far infrared regions in the electromagnetic spectrum.

  15. Ge clusters and wetting layers forming from granular films on the Si(001) surface

    International Nuclear Information System (INIS)

    Storozhevykh, M S; Arapkina, L V; Yuryev, V A

    2016-01-01

    The report studies the transformation of a Ge granular film deposited on the Si(001) surface at room temperature into a Ge/Si(001) heterostructure as a result of rapid heating and annealing at 600 °C. As a result of the short-term annealing at 600 °C in conditions of a closed system, the Ge granular film transforms into a usual wetting layer and Ge clusters with multimodal size distribution and Ge oval drops having the highest number density. After the long-term thermal treatment of the Ge film at the same temperature, Ge drops disappear; the large clusters increase their sizes at the expense of the smaller ones. The total density of Ge clusters on the surface drastically decreases. The wetting layer mixed c(4 x 2) + p(2 x 2) reconstruction transforms into a single c(4 x 2) one which is likely to be thermodynamically favoured. Pyramids or domes are not observed on the surface after any annealing. (paper)

  16. Design of electro-absorption modulator with tapered-mode coupler on the GeSi layer

    International Nuclear Information System (INIS)

    Li, Ym; Cheng, Bw

    2013-01-01

    A tapered-mode coupler integrated GeSi electro-absorption (EA) modulator is investigated theoretically. To improve the parameter insensitivity and modulation efficiency of the GeSi EA modulator based on evanescent coupling, a tapered coupler on the GeSi layer is introduced in our design. The two coupling mechanisms in our suggested structure are compared. Both the beam propagation method (BPM) calculation and coupling mode theory show almost 100% power transfer from the bottom rib waveguide to the GeSi layer. After a series of designs of the tapered coupler, we get a modulator with the advantages of both evanescent-coupling modulators (Feng et al 2011 Opt. Express 19 7062–7, Feng et al 2012 Opt. Express 20 22224–32, Liu et al 2008 Nature Photon. 2 433–7, Liu et al 2007 Opt. Express 15 623–8) and butt-coupling modulators (Lim et al 2011 Opt. Express 19 5040–6), that are ease of fabrication, low coupling loss, performance stability and high modulation efficiency. (paper)

  17. RF plasma deposition of thin Si{sub x}Ge{sub y}C{sub z}:H films using a combination of organometallic source materials

    Energy Technology Data Exchange (ETDEWEB)

    Rapiejko, C. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Gazicki-Lipman, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)]. E-mail: gazickim@p.lodz.pl; Klimek, L. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Szymanowski, H. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland); Strojek, M. [Institute for Materials Science and Engineering, Technical University of LodzLz Stefanowskiego 1, 90-924 Lodz (Poland)

    2004-12-22

    Elements of the IV group of periodic table have been strongly present in the fast development of PECVD techniques for the last two decades at least. As a result, deposition technologies of such materials as a-Si:H, a-C:H, m{mu}-C:H or DLC have been successfully established. What has followed is an ever growing interest in binary systems of the A{sub x}(IV)B{sub y}(IV):H kind. One possible way to deposit such systems is to use organosilicon compounds (to deposit Si{sub x}C{sub y}:H films) or organogermanium compounds (to deposit Ge{sub x}C{sub y}:H films), as source substances. The present paper reports on a RF plasma deposition of a Si{sub x}Ge{sub y}C{sub z}:H ternary system, using a combination of organosilicon and organogermanium compounds. Thin Si/Ge/C films have been fabricated in a small volume (ca. 2 dm{sup 3}) parallel plate RF plasma reactor using, as a source material, a combination of tetramethylsilane (TMS) and tetramethylgermanium (TMG) vapours carried by argon. SEM investigations reveal a continuous compact character of the coatings and their uniform thickness. The elemental composition of the films has been studied using EDX analysis. The results of the analysis show that the elemental composition of the films can be controlled by both the TMG/TMS ratio of the initial mixture and the RF power input. Ellipsometric measurements show good homogeneity of these materials. Chemical bonding in the films has been studied using the FTIR technique. Bandgap calculations have been carried out using ellipsometric data and by applying both the Tauc law and the Moss approach.

  18. PECVD Tekniği ile Büyütülmüş İnce Filmlerde Oluşan Ge ve SiGe Nanokristallerin Geçirgen Elektron Mikroskobu (TEM) ,Raman ve Fotoışıma Spektroskopisi Teknikleri ile İncelenmesi

    OpenAIRE

    Şahin, Bünyamin; Ağan, Sedat

    2009-01-01

    We report an experimental study, optical properties of Ge and SiGe nanocrystals in SiOx structures are investigated by using Transmission Electron Microscopy (TEM), Raman and Photlüminescence Spectroscopy techniques. Ge nanocrystals in silicon oxide thin films have been grown with different annealing time by Plasma Enhanced Chemical Vapor Deposition (PECVD) technique. The aim of our work is to determine size and size distiributions Ge, SiGe nanocrystals in SiOx martix due to annealing process...

  19. Rational design of monocrystalline (InP)(y)Ge(5-2y)/Ge/Si(100) semiconductors: synthesis and optical properties.

    Science.gov (United States)

    Sims, Patrick E; Chizmeshya, Andrew V G; Jiang, Liying; Beeler, Richard T; Poweleit, Christian D; Gallagher, James; Smith, David J; Menéndez, José; Kouvetakis, John

    2013-08-21

    In this work, we extend our strategy previously developed to synthesize functional, crystalline Si(5-2y)(AlX)y {X = N,P,As} semiconductors to a new class of Ge-III-V hybrid compounds, leading to the creation of (InP)(y)Ge(5-2y) analogues. The compounds are grown directly on Ge-buffered Si(100) substrates using gas source MBE by tuning the interaction between Ge-based P(GeH3)3 precursors and In atoms to yield nanoscale "In-P-Ge3" building blocks, which then confer their molecular structure and composition to form the target solids via complete elimination of H2. The collateral production of reactive germylene (GeH2), via partial decomposition of P(GeH3)3, is achieved by simple adjustment of the deposition conditions, leading to controlled Ge enrichment of the solid product relative to the stoichiometric InPGe3 composition. High resolution XRD, XTEM, EDX, and RBS indicate that the resultant monocrystalline (InP)(y)Ge(5-2y) alloys with y = 0.3-0.7 are tetragonally strained and fully coherent with the substrate and possess a cubic diamond-like structure. Molecular and solid-state ab initio density functional theory (DFT) simulations support the viability of "In-P-Ge3" building-block assembly of the proposed crystal structures, which consist of a Ge parent crystal in which the P atoms form a third-nearest-neighbor sublattice and "In-P" dimers are oriented to exclude energetically unfavorable In-In bonding. The observed InP concentration dependence of the lattice constant is closely reproduced by DFT simulation of these model structures. Raman spectroscopy and ellipsometry are also consistent with the "In-P-Ge3" building-block interpretation of the crystal structure, while the observation of photoluminescence suggests that (InP)(y)Ge(5-2y) may have important optoelectronic applications.

  20. Effect of Silicon on Activity Coefficients of Siderophile Elements (P, Au, Pd, As, Ge, Sb, and In) in Liquid Fe, with Application to Core Formation

    Science.gov (United States)

    Righter, K.; Pando, K.; Danielson, L. R.; Humayun, M.; Righter, M.; Lapen, T.; Boujibar, A.

    2016-01-01

    Earth's core contains approximately 10 percent light elements that are likely a combination of S, C, Si, and O, with Si possibly being the most abundant. Si dissolved into Fe liquids can have a large effect on the magnitude of the activity coefficient of siderophile elements (SE) in Fe liquids, and thus the partitioning behavior of those elements between core and mantle. The effect of Si can be small such as for Ni and Co, or large such as for Mo, Ge, Sb, As. The effect of Si on many siderophile elements is unknown yet could be an important, and as yet unquantified, influence on the core-mantle partitioning of SE. Here we report new experiments designed to quantify the effect of Si on the partitioning of P, Au, Pd, and many other SE between metal and silicate melt. The results will be applied to Earth, for which we have excellent constraints on the mantle siderophile element concentrations.

  1. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  2. Pulsed ion-beam induced nucleation and growth of Ge nanocrystals on SiO2

    International Nuclear Information System (INIS)

    Stepina, N. P.; Dvurechenskii, A. V.; Armbrister, V. A.; Kesler, V. G.; Novikov, P. L.; Gutakovskii, A. K.; Kirienko, V. V.; Smagina, Zh. V.; Groetzschel, R.

    2007-01-01

    Pulsed low-energy (200 eV) ion-beam induced nucleation during Ge deposition on thin SiO 2 film was used to form dense homogeneous arrays of Ge nanocrystals. The ion-beam action is shown to stimulate the nucleation of Ge nanocrystals when being applied after thin Ge layer deposition. Temperature and flux variation was used to optimize the nanocrystal size and array density required for memory device. Kinetic Monte Carlo simulation shows that ion impacts open an additional channel of atom displacement from a nanocrystal onto SiO 2 surface. This results both in a decrease in the average nanocrystal size and in an increase in nanocrystal density

  3. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  4. Self-Passivation by Fluorine Plasma Treatment and Low-Temperature Annealing in SiGe Nano wires for Biochemical Sensors

    International Nuclear Information System (INIS)

    Chang, K.; Chen, C.; Kuo, P.; Chen, Y.; Chang, T.; Lai, C.; Whang, A. J.; Lai, Y.; Chen, H.; Hsieh, I.

    2014-01-01

    Nano wires are widely used as highly sensitive sensors for electrical detection of biological and chemical species. Modifying the band structure of strained-Si metal-oxide-semiconductor field-effect transistors by applying the in-plane tensile strain reportedly improves electron and hole mobility. The oxidation-induced Ge condensation increases the Ge fraction in a SiGe-on-insulator (SGOI) and substantially increases hole mobility. However, oxidation increases the number of surface states, resulting in hole mobility degradation. In this work, 3-aminopropyltrimethoxysilane (APTMS) was used as a biochemical reagent. The hydroxyl molecule on the oxide surface was replaced by the methoxy groups of the APTMS molecule. We proposed a surface plasma treatment to improve the electrical properties of SiGe nano wires. Fluorine plasma treatment can result in enhanced rates of thermal oxidation and speed up the formation of a self-passivation oxide layer. Like a capping oxide layer, the self-passivation oxide layer reduces the rate of follow-up oxidation. Pre oxidation treatment also improved the sensitivity of SiGe nano wires because the Si-F binding was held at a more stable interface state compared to bare nano wire on the SiGe surface. Additionally, the sensitivity can be further improved by either the N 2 plasma posttreatment or the low-temperature post annealing due to the suppression of out diffusion of Ge and F atoms from the SiGe nano wire surface.

  5. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO2 for non-volatile memory device

    International Nuclear Information System (INIS)

    Stepina, N.P.; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V.

    2008-01-01

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO 2 , have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO 2 /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots

  6. Ge{sub 1−x}Si{sub x} on Ge-based n-type metal–oxide semiconductor field-effect transistors by device simulation combined with high-order stress–piezoresistive relationships

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang-Chun, E-mail: changchunlee@cycu.edu.tw [Department of Mechanical Engineering, Chung Yuan Christian University 200, Chung Pei Rd., Chungli City, Taoyuan County 32023, Taiwan, ROC (China); Hsieh, Chia-Ping [Department of Mechanical Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan, ROC (China); Huang, Pei-Chen; Cheng, Sen-Wen [Department of Mechanical Engineering, Chung Yuan Christian University 200, Chung Pei Rd., Chungli City, Taoyuan County 32023, Taiwan, ROC (China); Liao, Ming-Han [Department of Mechanical Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 10617, Taiwan, ROC (China)

    2016-03-01

    The considerably high carrier mobility of Ge makes Ge-based channels a promising candidate for enhancing the performance of next-generation devices. The n-type metal–oxide semiconductor field-effect transistor (nMOSFET) is fabricated by introducing the epitaxial growth of high-quality Ge-rich Ge{sub 1−x}Si{sub x} alloys in source/drain (S/D) regions. However, the short channel effect is rarely considered in the performance analysis of Ge-based devices. In this study, the gate-width dependence of a 20 nm Ge-based nMOSFET on electron mobility is investigated. This investigation uses simulated fabrication procedures combined with the relationship of the interaction between stress components and piezoresistive coefficients at high-order terms. Ge{sub 1−x}Si{sub x} alloys, namely, Ge{sub 0.96}Si{sub 0.04}, Ge{sub 0.93}Si{sub 0.07}, and Ge{sub 0.86}Si{sub 0.14}, are individually tested and embedded into the S/D region of the proposed device layout and are used in the model of stress estimation. Moreover, a 1.0 GPa tensile contact etching stop layer (CESL) is induced to explore the effect of bi-axial stress on device geometry and subsequent mobility variation. Gate widths ranging from 30 nm to 4 μm are examined. Results show a significant change in stress when the width is < 300 nm. This phenomenon becomes notable when the Si in the Ge{sub 1−x}Si{sub x} alloy is increased. The stress contours of the Ge channel confirm the high stress components induced by the Ge{sub 0.86}Si{sub 0.14} stressor within the device channel. Furthermore, the stresses (S{sub yy}) of the channel in the transverse direction become tensile when CESL is introduced. Furthermore, when pure S/D Ge{sub 1−x}Si{sub x} alloys are used, a maximum mobility gain of 28.6% occurs with an ~ 70 nm gate width. A 58.4% increase in mobility gain is obtained when a 1.0 GPa CESL is loaded. However, results indicate that gate width is extended to 200 nm at this point. - Highlights: • A 20 nm Ge-based n

  7. Nanoscale interfacial engineering to grow Ge on Si as virtual substrates and subsequent integration of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States); Sheng, Josephine; Cederberg, Jeffrey G.; Li Qiming; Carroll, Malcolm S. [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Han, Sang M., E-mail: meister@unm.ed [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, NM 87131 (United States)

    2010-08-31

    We have demonstrated the scalability of a process previously dubbed as Ge 'touchdown' on Si to substantially reduce threading dislocations below 10{sup 7}/cm{sup 2} in a Ge film grown on a 2 inch-diameter chemically oxidized Si substrate. This study also elucidates the overall mechanism of the touchdown process. The 1.4 nm thick chemical oxide is first formed by immersing Si substrates in a solution of H{sub 2}O{sub 2} and H{sub 2}SO{sub 4}. Subsequent exposure to Ge flux creates 3 to 7 nm-diameter voids in the oxide at a density greater than 10{sup 11}/cm{sup 2}. Comparison of data taken from many previous studies and ours shows an exponential dependence between oxide thickness and inverse temperature of void formation. Additionally, exposure to a Ge or Si atom flux decreases the temperature at which voids begin to form in the oxide. These results strongly suggest that Ge actively participates in the reaction with SiO{sub 2} in the void formation process. Once voids are created in the oxide under a Ge flux, Ge islands selectively nucleate within the void openings on the newly exposed Si. Island nucleation and growth then compete with the void growth reaction. At substrate temperatures between 823 and 1053 K, nanometer size Ge islands that nucleate within the voids continue to grow and coalesce into a continuous film over the remaining oxide. Coalescence of the Ge islands is believed to result in the creation of stacking faults in the Ge film at a density of 5 x 10{sup 7}/cm{sup 2}. Additionally, coalescence results in films of 3 {mu}m thickness having a root-mean-square roughness of 8 to 10 nm. We have found that polishing the films with dilute H{sub 2}O{sub 2} results in roughness values below 0.5 nm. However, stacking faults originating at the Ge-SiO{sub 2} interface and terminating at the Ge surface are polished at a slightly reduced rate, and show up as 1 to 2 nm raised lines on the polished Ge surface. These lines are then transferred into the

  8. Strain-induced formation of fourfold symmetric SiGe quantum dot molecules.

    Science.gov (United States)

    Zinovyev, V A; Dvurechenskii, A V; Kuchinskaya, P A; Armbrister, V A

    2013-12-27

    The strain field distribution at the surface of a multilayer structure with disklike SiGe nanomounds formed by heteroepitaxy is exploited to arrange the symmetric quantum dot molecules typically consisting of four elongated quantum dots ordered along the [010] and [100] directions. The morphological transition from fourfold quantum dot molecules to continuous fortresslike quantum rings with an increasing amount of deposited Ge is revealed. We examine key mechanisms underlying the formation of lateral quantum dot molecules by using scanning tunneling microscopy and numerical calculations of the strain energy distribution on the top of disklike SiGe nanomounds. Experimental data are well described by a simple thermodynamic model based on the accurate evaluation of the strain dependent part of the surface chemical potential. The spatial arrangement of quantum dots inside molecules is attributed to the effect of elastic property anisotropy.

  9. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  10. Effect of p-Layer and i-Layer Properties on the Electrical Behaviour of Advanced a-Si:H/a-SiGe:H Thin Film Solar Cell from Numerical Modeling Prospect

    Directory of Open Access Journals (Sweden)

    Peyman Jelodarian

    2012-01-01

    Full Text Available The effect of p-layer and i-layer characteristics such as thickness and doping concentration on the electrical behaviors of the a-Si:H/a-SiGe:H thin film heterostructure solar cells such as electric field, photogeneration rate, and recombination rate through the cell is investigated. Introducing Ge atoms to the Si lattice in Si-based solar cells is an effective approach in improving their characteristics. In particular, current density of the cell can be enhanced without deteriorating its open-circuit voltage. Optimization shows that for an appropriate Ge concentration, the efficiency of a-Si:H/a-SiGe solar cell is improved by about 6% compared with the traditional a-Si:H solar cell. This work presents a novel numerical evaluation and optimization of amorphous silicon double-junction (a-Si:H/a-SiGe:H thin film solar cells and focuses on optimization of a-SiGe:H midgap single-junction solar cell based on the optimization of the doping concentration of the p-layer, thicknesses of the p-layer and i-layer, and Ge content in the film. Maximum efficiency of 23.5%, with short-circuit current density of 267 A/m2 and open-circuit voltage of 1.13 V for double-junction solar cell has been achieved.

  11. Exploration of R2XM2 (R=Sc, Y, Ti, Zr, Hf, rare earth; X=main group element; M=transition metal, Si, Ge): Structural Motifs, the novel Compound Gd2AlGe2 and Analysis of the U3Si2 and Zr3Al2 Structure Types

    Energy Technology Data Exchange (ETDEWEB)

    McWhorter, Sean William [Iowa State Univ., Ames, IA (United States)

    2006-01-01

    In the process of exploring and understanding the influence of crystal structure on the system of compounds with the composition Gd5(SixGe1-x)4 several new compounds were synthesized with different crystal structures, but similar structural features. In Gd5(SixGe1-x)4, the main feature of interest is the magnetocaloric effect (MCE), which allows the material to be useful in magnetic refrigeration applications. The MCE is based on the magnetic interactions of the Gd atoms in the crystal structure, which varies with x (the amount of Si in the compound). The crystal structure of Gd5(SixGe1-x)4 can be thought of as being formed from two 32434 nets of Gd atoms, with additional Gd atoms in the cubic voids and Si/Ge atoms in the trigonal prismatic voids. Attempts were made to substitute nonmagnetic atoms for magnetic Gd using In, Mg and Al. Gd2MgGe2 and Gd2InGe2 both possess the same 32434 nets of Gd atoms as Gd5(SixGe1-x)4, but these nets are connected differently, forming the Mo2FeB2 crystal structure. A search of the literature revealed that compounds with the composition R2XM2 (R=Sc, Y, Ti, Zr, Hf, rare earth; X=main group element; M=transition metal, Si, Ge) crystallize in one of four crystal structures: the Mo2FeB2, Zr3Al2, Mn2AlB2 and W2CoB2 crystal structures. These crystal structures are described, and the relationships between them are highlighted. Gd2AlGe2 forms an entirely new crystal structure, and the details of its synthesis and characterization are given. Electronic structure calculations are performed to understand the nature of bonding in this compound and how

  12. Simultaneous ultra-long data retention and low power based on Ge10Sb90/SiO2 multilayer thin films

    Science.gov (United States)

    You, Haipeng; Hu, Yifeng; Zhu, Xiaoqin; Zou, Hua; Song, Sannian; Song, Zhitang

    2018-02-01

    In this article, Ge10Sb90/SiO2 multilayer thin films were prepared to improve thermal stability and data retention for phase change memory. Compared with Ge10Sb90 monolayer thin film, Ge10Sb90 (1 nm)/SiO2 (9 nm) multilayer thin film had higher crystallization temperature and resistance contrast between amorphous and crystalline states. Annealed Ge10Sb90 (1 nm)/SiO2 (9 nm) had uniform grain with the size of 15.71 nm. After annealing, the root-mean-square surface roughness for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film increased slightly from 0.45 to 0.53 nm. The amorphization time for Ge10Sb90 (1 nm)/SiO2 (9 nm) thin film (2.29 ns) is shorter than Ge2Sb2Te5 (3.56 ns). The threshold voltage of a cell based on Ge10Sb90 (1 nm)/SiO2 (9 nm) (3.57 V) was smaller than GST (4.18 V). The results indicated that Ge10Sb90/SiO2 was a promising phase change thin film with high thermal ability and low power consumption for phase change memory application.

  13. Magnetic phase transitions and magnetocaloric effect of MnCoGe{sub 1−x}Si{sub x}

    Energy Technology Data Exchange (ETDEWEB)

    Lai, J.W.; Zheng, Z.G.; Montemayor, R.; Zhong, X.C.; Liu, Z.W.; Zeng, D.C., E-mail: medczeng@scut.edu.cn

    2014-12-15

    Here a cheaper alternative magnetic refrigeration material using MnCoGe{sub 1−x}Si{sub x} (x=0, 0.1, 0.3, and 0.5) alloys were investigated. The polycrystalline MnCoGe{sub 1−x}Si{sub x} alloys were prepared by arc-melting followed by annealing at 1123 K for 72 h. At a 0.5 at% Si substitution, the Curie temperatures increased by 10% to 374 K, the magnetic entropy change (|ΔS{sub M}|) values decreased by 32% to 2.1 J kg{sup −1} K{sup −1} in an applied field from 0 to 2 T and by 24% to 4.4 J kg{sup −1} K{sup −1} in an applied field from 0 to 5 T. However, the refrigeration capacity (RC{sub FWHM}) of this MnCoGe{sub 0.5}Si{sub 0.5} alloy improved by 27% to 282 J kg{sup −1} and the operation temperature range (δT{sub FWHM}) improved by 92% to 96 K in an applied field at 5 T. The MnCoGe{sub 0.5}Si{sub 0.5} alloy can compete with some of the MCE properties of the MnCo{sub 0.95}Ni{sub 0.05}Ge, LaFe{sub 11}Al{sub 2}C{sub 0.5}, (Fe{sub 85}Co{sub 5}Cr{sub 10}){sub 91}Zr{sub 7}B{sub 2}, and even the Gd{sub 5}Si{sub 2}Ge{sub 2} alloys. The broad operation temperature range (96 K) at a higher Curie temperature (374 K) suggests that the MnCoGe{sub 0.5}Si{sub 0.5} alloy can become a cheaper alternative MCE material (costing 70% less than a MnCoGe alloy). - Highlights: • The economic Si substitutes the noble metal Ge and broadens temperature span(96 K). • The T{sub C} arises when increasing Si in higher room temperature range, 340–374 K. • High thermal stability make them attractive for service temperature of above 300 K. • When Si increases, ΔS{sub M} decreases slightly due to the spontaneous magnetization M{sub S}. • The superior refrigerant capacity indicates that Si substitution benefits the MCE.

  14. InGaP solar cell on Ge-on-Si virtual substrate for novel solar power conversion

    Science.gov (United States)

    Kim, T. W.; Albert, B. R.; Kimerling, L. C.; Michel, J.

    2018-02-01

    InGaP single-junction solar cells are grown on lattice-matched Ge-on-Si virtual substrates using metal-organic chemical vapor deposition. Optoelectronic simulation results indicate that the optimal collection length for InGaP single-junction solar cells with a carrier lifetime range of 2-5 ns is wider than approximately 1 μm. Electron beam-induced current measurements reveal that the threading dislocation density (TDD) of InGaP solar cells fabricated on Ge and Ge-on-Si substrates is in the range of 104-3 × 107 cm-2. We demonstrate that the open circuit voltage (Voc) of InGaP solar cells is not significantly influenced by TDDs less than 2 × 106 cm-2. Fabricated InGaP solar cells grown on a Ge-on-Si virtual substrate and a Ge substrate exhibit Voc in the range of 0.96 to 1.43 V under an equivalent illumination in the range of ˜0.5 Sun. The estimated efficiency of the InGaP solar cell fabricated on the Ge-on-Si virtual substrate (Ge substrate) at room temperature for the limited incident spectrum spanning the photon energy range of 1.9-2.4 eV varies from 16.6% to 34.3%.

  15. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  16. Gate length scaling trends of drive current enhancement in CMOSFETs with dual stress overlayers and embedded-SiGe

    International Nuclear Information System (INIS)

    Flachowsky, S.; Wei, A.; Herrmann, T.; Illgen, R.; Horstmann, M.; Richter, R.; Salz, H.; Klix, W.; Stenzel, R.

    2008-01-01

    Strain engineering in MOSFETs using tensile nitride overlayer (TOL) films, compressive nitride overlayer (COL) films, and embedded-SiGe (eSiGe) is studied by extensive device experiments and numerical simulations. The scaling behavior was analyzed by gate length reduction down to 40 nm and it was found that drive current strongly depends on the device dimensions. The reduction of drain-current enhancement for short-channel devices can be attributed to two competing factors: shorter gate length devices have increased longitudinal and vertical stress components which should result in improved drain-currents. However, there is a larger degradation from external resistance as the gate length decreases, due to a larger voltage dropped across the external resistance. Adding an eSiGe stressor reduces the external resistance in the p-MOSFET, to the extent that the drive current improvement from COL continues to increase even down the shortest gate length studied. This is due to the reduced resistivity of SiGe itself and the SiGe valence band offset relative to Si, leading to a smaller silicide-active contact resistance. It demonstrates the advantage of combining eSiGe and COL, not only for increased stress, but also for parasitic resistance reduction to enable better COL drive current benefit

  17. Thermal transport in Si and Ge nanostructures in the 'confinement' regime.

    Science.gov (United States)

    Kwon, Soonshin; Wingert, Matthew C; Zheng, Jianlin; Xiang, Jie; Chen, Renkun

    2016-07-21

    Reducing semiconductor materials to sizes comparable to the characteristic lengths of phonons, such as the mean-free-path (MFP) and wavelength, has unveiled new physical phenomena and engineering capabilities for thermal energy management and conversion systems. These developments have been enabled by the increasing sophistication of chemical synthesis, microfabrication, and atomistic simulation techniques to understand the underlying mechanisms of phonon transport. Modifying thermal properties by scaling physical size is particularly effective for materials which have large phonon MFPs, such as crystalline Si and Ge. Through nanostructuring, materials that are traditionally good thermal conductors can become good candidates for applications requiring thermal insulation such as thermoelectrics. Precise understanding of nanoscale thermal transport in Si and Ge, the leading materials of the modern semiconductor industry, is increasingly important due to more stringent thermal conditions imposed by ever-increasing complexity and miniaturization of devices. Therefore this Minireview focuses on the recent theoretical and experimental developments related to reduced length effects on thermal transport of Si and Ge with varying size from hundreds to sub-10 nm ranges. Three thermal transport regimes - bulk-like, Casimir, and confinement - are emphasized to describe different governing mechanisms at corresponding length scales.

  18. Critical thickness of transition from 2D to 3D growth and peculiarities of quantum dots formation in GexSi1-x/Sn/Si and Ge1-ySny/Si systems

    Science.gov (United States)

    Lozovoy, Kirill A.; Kokhanenko, Andrey P.; Voitsekhovskii, Alexander V.

    2018-03-01

    Nowadays using of tin as one of the deposited materials in GeSi/Sn/Si, GeSn/Si and GeSiSn/Si material systems is one of the most topical problems. These materials are very promising for various applications in nanoelectronics and optoelectronics due to possibility of band gap management and synthesis of direct band semiconductors within these systems. However, there is a lack of theoretical investigations devoted to the peculiarities of germanium on silicon growth in the presence of tin. In this paper a new theoretical approach for modeling growth processes of binary and ternary semiconductor compounds during the molecular beam epitaxy in these systems is presented. The established kinetic model based on the general nucleation theory takes into account the change in physical and mechanical parameters, diffusion coefficient and surface energies in the presence of tin. With the help of the developed model the experimentally observed significant decrease in the 2D-3D transition temperatures for GeSiSn/Si system compared to GeSi/Si system is theoretically explained for the first time in the literature. Besides that, the derived expressions allow one to explain the experimentally observed temperature dependencies of the critical thickness, as well as to predict the average size and surface density of quantum dots for different contents and temperatures in growth experiment, that confirms applicability of the model proposed. Moreover, the established model can be easily applied to other material systems in which the Stranski-Krastanow growth mode occurs.

  19. Technology computer aided design for Si, SiGe and GaAs integrated circuits

    CERN Document Server

    Armstrong, GA

    2007-01-01

    The first book to deal with a broad spectrum of process and device design, and modelling issues related to semiconductor devices, bridging the gap between device modelling and process design using TCAD. Examples for types of Si-, SiGe-, GaAs- and InP-based heterostructure MOS and bipolar transistors are compared with experimental data from state-of-the-art devices. With various aspects of silicon heterostructures, this book presents a comprehensive perspective of emerging fields and covers topics ranging from materials to fabrication, devices, modelling and applications. Aimed at research-and-

  20. First principles investigation of interaction between impurity atom (Si, Ge, Sn) and carbon atom in diamond-like carbon system

    International Nuclear Information System (INIS)

    Li, Xiaowei; Wang, Aiying; Lee, Kwang-Ryeol

    2012-01-01

    The interaction between impurity atom (Si, Ge, and Sn) and carbon atom in diamond-like carbon (DLC) system was investigated by the first principles simulation method based on the density functional theory. The tetrahedral configuration was selected as the calculation model for simplicity. When the bond angle varied in a range of 90°–130° from the equivalent state of 109.471°, the distortion energy and the electronic structures including charge density of the highest occupied molecular orbital (HOMO) and partial density of state (PDOS) in the different systems were calculated. The results showed that the addition of Si, Ge and Sn atom into amorphous carbon matrix significantly decreased the distortion energy of the system as the bond angles deviated from the equilibrium one. Further studies of the HOMO and PDOS indicated that the weak covalent bond between Si(Ge, Sn) and C atoms was formed with the decreased strength and directionality, which were influenced by the electronegative difference. These results implied that the electron transfer behavior at the junction of carbon nano-devices could be tailored by the impurity element, and the compressive stress in DLC films could be reduced by the incorporation of Si, Ge and Sn because of the formation of weaker covalent bonds. - Highlights: ►Distortion energy after bond angle distortion was decreased comparing with C-C unit. ►The weak covalent bond was formed between impurity atoms and corner carbon atoms. ►Observed electron transfer behavior affected the strength and directionality of bond. ►Reduction of strength and directionality of bond contributed to small energy change.

  1. Vertical and lateral ordering of Ge islands grown on Si(001): theory and experiments

    International Nuclear Information System (INIS)

    Montalenti, F; Marzegalli, A; Capellini, G; Seta, M De; Miglio, Leo

    2007-01-01

    A set of recent results concerning lateral and vertical ordering of Ge islands grown on Si(001) is reviewed. Experimental data generated by chemical vapour deposition and analysed by atomic force microscopy and photoelectron spectroscopy are compared with computer simulations and modelling based on atomistic approaches and continuum theory. In particular, we show that it is possible to probe experimentally the detailed strain field generated by buried Ge islands at the surface of the Si capping layer. The observed arrangement of small Ge islands grown over the capping layer is demonstrated to be very close to the one predicted by a simple model where the local chemical potential is inferred from the strain field at the atomic scale, as given by Tersoff-potential molecular dynamics simulations. Moreover, we review recent experimental evidence for lateral ordering, triggered by partial Si capping, in the first layer of Ge islands on Si(001). Theoretical support is given by showing that when two islands lie in close proximity the elastic field is likely to generate a flow of atoms leading to an effective gliding motion along opposite directions of both islands, eventually stopped by the presence of further neighbouring islands

  2. Boron diffusion in strained and strain-relaxed SiGe

    International Nuclear Information System (INIS)

    Wang, C.C.; Sheu, Y.M.; Liu, Sally; Duffy, R.; Heringa, A.; Cowern, N.E.B.; Griffin, P.B.

    2005-01-01

    SiGe has been utilized for aggressive CMOS technologies development recently and there are many references [M. Shima, T. Ueno, T. Kumise, H. Shido, Y. Sakuma, S. Nakamura, Symposium on VLSI Technology Technical Digest, 2002, pp. 94-95; T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, International Electron Devices Meeting Technical Digest, December 2003, pp. 978-980; P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R. Heussner, M. Hussein, J. Hwang, D. Ingerly, R. James, J. Jeong, C. Kenyon, E. Lee, S. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar, J. Steigerwald, S. Tyagi, C. Weber, B. Woolery, A. Yeoh, K. Zhang, M. Bohr, International Electron Devices Meeting Technical Digest, December 2004, pp. 657-660] presenting the advantages brought by it. A better understanding regarding the boron diffusion behavior within and in the vicinity of SiGe is necessary to optimize the extension and the source/drain in pMOSFET. In order to achieve the goal, both effects from mechanical strain and Ge doping on boron diffusion have been investigated. However, only a few publications discuss the impacts of both. Furthermore, most researches investigate these two effects under the conditions of low boron concentration [P. Kuo, J.L. Hoyt, J.F. Gibbons, J.E. Turner, D. Lefforge, Appl. Phys. Lett. 66 (January (5)) (1995) 580-582; N.R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted Larsen, J. Appl. Phys. 94 (September (6)) (2003) 3883-3890] and high thermal budget anneal [P. Kuo, J.L. Hoyt, J.F. Gibbons, J.E. Turner, D. Lefforge, Appl. Phys. Lett. 66 (January (5)) (1995) 580-582; N.R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted Larsen, J. Appl

  3. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  4. Effect of ion implantation energy for the synthesis of Ge nanocrystals in SiN films with HfO2/SiO2 stack tunnel dielectrics for memory application

    Directory of Open Access Journals (Sweden)

    Gloux Florence

    2011-01-01

    Full Text Available Abstract Ge nanocrystals (Ge-NCs embedded in SiN dielectrics with HfO2/SiO2 stack tunnel dielectrics were synthesized by utilizing low-energy (≤5 keV ion implantation method followed by conventional thermal annealing at 800°C, the key variable being Ge+ ion implantation energy. Two different energies (3 and 5 keV have been chosen for the evolution of Ge-NCs, which have been found to possess significant changes in structural and chemical properties of the Ge+-implanted dielectric films, and well reflected in the charge storage properties of the Al/SiN/Ge-NC + SiN/HfO2/SiO2/Si metal-insulator-semiconductor (MIS memory structures. No Ge-NC was detected with a lower implantation energy of 3 keV at a dose of 1.5 × 1016 cm-2, whereas a well-defined 2D-array of nearly spherical and well-separated Ge-NCs within the SiN matrix was observed for the higher-energy-implanted (5 keV sample for the same implanted dose. The MIS memory structures implanted with 5 keV exhibits better charge storage and retention characteristics compared to the low-energy-implanted sample, indicating that the charge storage is predominantly in Ge-NCs in the memory capacitor. A significant memory window of 3.95 V has been observed under the low operating voltage of ± 6 V with good retention properties, indicating the feasibility of these stack structures for low operating voltage, non-volatile memory devices.

  5. Interplay between magnetic quantum criticality, Fermi surface and unconventional superconductivity in UCoGe, URhGe and URu2Si2

    International Nuclear Information System (INIS)

    Bastien, Gael

    2017-01-01

    This thesis is concentrated on the ferromagnetic superconductors UCoGe and URhGe and on the hidden order state in URu 2 Si 2 . In the first part the pressure temperature phase diagram of UCoGe was studied up to 10.5 GPa. Ferromagnetism vanishes at the critical pressure pc≅1 GPa. Unconventional superconductivity and non Fermi liquid behavior can be observed in a broad pressure range around pc. The superconducting upper critical field properties were explained by the suppression of the magnetic fluctuations under field. In the second part the Fermi surfaces of UCoGe and URhGe were investigated by quantum oscillations. In UCoGe four Fermi surface pockets were observed. Under magnetic field successive Lifshitz transitions of the Fermi surface have been detected. The observed Fermi surface pockets in UCoGe evolve smoothly with pressure up to 2.5 GPa and do not show any Fermi surface reconstruction at the critical pressure pc. In URhGe, three heavy Fermi surface pockets were detected by quantum oscillations. In the last part the quantum oscillation study in the hidden order state of URu 2 Si 2 shows a strong g factor anisotropy for two Fermi surface pockets, which is compared to the macroscopic g factor anisotropy extracted from the upper critical field study. (author) [fr

  6. Growth and structure of Si and Ge in vanadium oxide nanomesh on Pd(1 1 1) studied by STM and DFT

    International Nuclear Information System (INIS)

    Chan, Lap Hong; Hayazaki, Shinji; Ogawa, Kokushi; Yuhara, Junji

    2013-01-01

    Highlights: ► We studied the growth and structure of Si and Ge in vanadium oxide nanomesh on Pd(1 1 1) by STM and DFT calculations. ► All the Si atoms formed isolated Si nanoclusters. ► Some Ge atoms formed monomer Ge nanodots on Pd(1 1 1), while the others formed isolated Ge nanoclusters. - Abstract: The growth of silicon (Si)/germanium (Ge) atoms in a well ordered (4 × 4) vanadium (V) oxide nanomesh on Pd(1 1 1) prepared by ultra-high-vacuum evaporation has been studied by scanning tunneling microscopy (STM) and ab initio density functional theory (DFT) calculations. At the very beginning of the Si deposition, all of the Si atoms deposited were adsorbed on top of the V-oxide nanomesh, forming Si nanoclusters, and each Si atom formed was isolated other Si atoms. Two different adsorption sites for Si atoms were observed by STM. In the case of Ge deposition, some Ge atoms filled the vanadium oxide nanoholes, forming Ge nanodots on Pd(1 1 1), while the others were adsorbed on top of the V-oxide nanomesh, forming isolated Ge nanoclusters. The ab initio DFT total-energy calculations indicated that the Ge atoms occupying the nanohole were more stable than those adsorbed on the nanomesh. The simulated images were highly consistent with the experimental STM images with the exception of the Ge nanodots, which exhibited a large, uniform protrusion in the STM images. Therefore, the adsorbed atom might be mobile in the nanohole at room temperature, possibly as a result of interaction with the STM tip.

  7. Ge 3P 6Si 2O 25: A cage structure closely related to the intersecting tunnel structure KMo 3P 6Si 2O 25

    Science.gov (United States)

    Leclaire, A.; Raveau, B.

    1988-08-01

    A germanosilicophosphate Ge 3P 6Si 2O 25 has been isolated. Its structure was solved from a single-crystal study in the space group P overline31c . Its cell parameters are a = b = 7.994(1) Å, c = 16.513(2) Å, Z = 2. The refinement by full-matrix least-squares calculations leads to R = 0.043 with 686 independent reflections. The structure of this oxide is built up from corner-sharing PO 4 and SiO 4 tetrahedra and GeO 6 octahedra. One observes a feature common to several silicophosphates: the presence of the structural unit P 6Si 2O 25 built up from a disilicate group sharing its corners with six PO 4 tetrahedra. The structural relationships between this oxide and the silicophosphates AMo 3P 6Si 2O 25 and Si 3P 6Si 2O 25 (or Ge 3P 6 Ge 2O 25) are described.

  8. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  9. SiGe/Si layers-early stages of plastic relaxation

    International Nuclear Information System (INIS)

    Koehler, R; Raidt, H; Neumann, W; Pfeiffer, J-U; Schaefer, H; Richter, U

    2005-01-01

    The plastic relaxation of SiGe/Si is closely related to the nucleation of misfit dislocations at early stages. We have investigated the very early stages at annealing temperatures ranging from 520 deg. C to 670 deg. C by means of x-ray topography (XRT), atomic force microscopy (AFM) and transmission electron microscopy. At misfit dislocation densities within the range accessible by XRT, i.e. up to about 1000 cm -1 , dislocations bundles predominate. This is verified by AFM and is explained by heterogeneous nucleation. The fewer the dislocations that are contained within dislocation bundles the rarer the blocking and cross slip that are observed. It is demonstrated that laser heating increases the number of nucleation centres drastically and is well suited to induce nucleation at selected sites. Furthermore, these nucleation centres provide dislocation bundles containing only a few dislocations. In contrast to this, implantation can produce defects that stop dislocation propagation quite effectively at the comparatively low temperatures used in our experiments

  10. A note on the Sumerian expression SI-ge4-de3/dam

    Directory of Open Access Journals (Sweden)

    Widell, Magnus

    2002-12-01

    Full Text Available The expression SI-ge4-dam/de3 appears in some of the loan documents of the Ur III period where it was used to establish the interest rate or the loan fee. In addition, it is sometimes preceded by ki-ba 'in its/this place/ground' or, in some cases, ma2 -a 'in the boat'. The regular verb SI.g was closely related, perhaps even synonymous with, the reduplication verb ḡar/ḡa2-ḡa2 'to put' or 'to place'. While it may be concluded that SI-ge4-dam/de3 had nothing to do with the verb si 'to fill' or gi4 'to return', the correct analysis of the expression remains somewhat uncertain. The article proposes that the SI should be read se and understood as a phonetic writing for the regular verb se3.g 'to put', 'to place'. The combination of the verb with the ki-ba may suggest that a more parochial form of keeping products existed side by side with the large centralized granaries and storehouses of the city.La expresión SI-ge4-dam/de3 aparece en algunos contratos de préstamo del período de Ur III, donde se empleaba para determinar el interés de dicho préstamo. Por otra parte, este término se hallaba a veces precedido de ki-ba 'en su/este lugar/suelo', y en algunos casos por ma2 -a 'en la barca'. El verbo regular SI.g está muy relacionado (quizás es incluso sinónimo con el verbo de la clase de la reduplicación ḡar/ḡa2-ḡa2 'poner' o 'colocar'. Mientras que puede concluirse que SI-ge4-dam/de3 no tiene nada que ver con el verbo si 'llenar', ni con gi4 'regresar, devolver', el análisis correcto de la expresión sigue siendo, de algún modo, incierto. En el artículo se propone que SI puede leerse como se , entendiéndolo como una escritura fonética del verbo regular se3.g 'poner', 'colocar'. La combinación del verbo con ki-ba podría indicar que, junto a los grandes graneros y almacenes centrales de la ciudad, había un modo distinto y más modesto de conservar los productos.

  11. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  12. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  13. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  14. Two-dimensional Si(x)Ge(1-x) films with variable composition made via multilayer colloidal template-guided ionic liquid electrodeposition.

    Science.gov (United States)

    Xin, Wuhong; Zhao, Jiupeng; Ge, Dengteng; Ding, Yanbo; Li, Yao; Endres, Frank

    2013-02-21

    The binary alloy system Si(x)Ge(1-x) provides a continuous series of materials with gradually varying properties. In this paper, we report on a fundamental basis a method to make large-area macroporous Si(x)Ge(1-x) films with variable Ge content by electrodeposition in an ionic liquid, with SiCl(4) and GeCl(4) as precursors. The chemical composition of the products can be modified by changing the molar ratio of the precursors. Periodical macroporous Si(x)Ge(1-x) was made by a multilayer polystyrene (PS) template assembled as face-centered cubic lattice. Two-dimensional (2-D) Si(x)Ge(1-x) bowl-like and fishing-net structures can be obtained by applying different deposition temperatures. The results highlight the potential applications, including photonic bandgap and battery materials, as well as ultra-thin gratings, due to the effect of modification of light and improved tunability of composition, although Si(x)Ge(1-x) made by our method is sensitive to oxidation by air.

  15. Thermoelectric Properties of Nanograined Si-Ge-Au Thin Films Grown by Molecular Beam Deposition

    Science.gov (United States)

    Nishino, Shunsuke; Ekino, Satoshi; Inukai, Manabu; Omprakash, Muthusamy; Adachi, Masahiro; Kiyama, Makoto; Yamamoto, Yoshiyuki; Takeuchi, Tsunehiro

    2018-06-01

    Conditions to achieve extremely large Seebeck coefficient and extremely small thermal conductivity in Si-Ge-Au thin films formed of nanosized grains precipitated in amorphous matrix have been investigated. We employed molecular beam deposition to prepare Si1- x Ge x Au y thin films on sapphire substrate. The deposited films were annealed under nitrogen gas atmosphere at 300°C to 500°C for 15 min to 30 min. Nanocrystals dispersed in amorphous matrix were clearly observed by transmission electron microscopy. We did not observe anomalously large Seebeck coefficient, but very low thermal conductivity of nearly 1.0 W K-1 m-1 was found at around 0.2 Si-Ge bulk material for which dimensionless figure of merit of ZT ≈ 1 was reported at high temperature.

  16. Improvement of magnetocaloric properties of Gd-Ge-Si alloys by alloying with iron

    Directory of Open Access Journals (Sweden)

    Erenc-Sędziak T.

    2013-01-01

    Full Text Available The influence of annealing of Gd5Ge2Si2Fex alloys at 1200°C and of alloying with various amount of iron on structure as well as thermal and magnetocaloric properties is investigated. It was found that annealing for 1 to 10 hours improves the entropy change, but reduces the temperature of maximum magnetocaloric effect by up to 50 K. Prolonged annealing of the Gd5Ge2Si2 alloy results in the decrease of entropy change due to the reduction of Gd5Ge2Si2 phase content. Addition of iron to the ternary alloy enhances the magnetocaloric effect, if x = 0.4 – 0.6, especially if alloying is combined with annealing at 1200°C: the peak value of the isothermal entropy change from 0 to 2 T increases from 3.5 to 11 J/kgK. Simultaneously, the temperature of maximum magnetocaloric effect drops to 250 K. The changes in magnetocaloric properties are related to the change in phase transformation from the second order for arc molten ternary alloy to first order in the case of annealed and/or alloyed with iron. The results of this study indicate that the minor addition of iron and heat treatment to Gd-Ge-Si alloys may be useful in improving the materials’ magnetocaloric properties..

  17. De-embedding and Modelling of pnp SiGe HBTs

    DEFF Research Database (Denmark)

    Hadziabdic, Dzenan; Jiang, Chenhui; Johansen, Tom Keinicke

    2007-01-01

    In this work we present a direct parameter extraction procedure for SiGe pnp heterojunction bipolar transistor (HBT) large-signal and small-signal models. Test structure parasitics are removed from the measured small-signal parameters using an open-short de-embedding technique, improved to accoun...

  18. Photoluminescence and TEM evaluations of defects generated during SiGe-on-insulator virtual substrate fabrication: Temperature ramping process

    International Nuclear Information System (INIS)

    Wang, D.; Ii, S.; Ikeda, K.; Nakashima, H.; Matsumoto, K.; Nakamae, M.; Nakashima, H.

    2006-01-01

    Crystal qualities were evaluated by photoluminescence (PL) and transmission electron microscopy (TEM) for cap-Si/SiGe/Si-on-insulater (SOI) structure, which is the typical structure for SiGe-on-insulator virtual substrate fabrication using the Ge condensation by dry oxidation. The thicknesses of cap-Si, SOI and BOX layers are 10, 70, and 140 nm, respectively. We have three kinds of wafers with SiGe thicknesses of 74, 154 and 234 nm. All of the wafers were heated from 200 deg.C to a target temperature (T t ) in the range of 820-1200 deg. C with a ramping rate of 5 deg. C/min, and maintained at T t for 10 min. The air in the furnace was a mixture of O 2 and N 2 . The PL measurements were carried out using a 325 nm UV line of a continuous-wave HeCd laser. Free exciton peaks were clearly observed for the as-grown wafers and decreased with an increase in the annealing temperature. For the selected wafers, cross-sectional and plan-view TEM measurements show clear generation and variation of dislocations at the interface of SiGe/SOI according to the T t . Defect-related PL signals were observed at around 0.82, 0.88, 0.95 and 1.0 eV, which also varied according to the T t and the SiGe thickness. They were identified to dislocation-related and stacking-fault-related defects by TEM

  19. Observation of hole accumulation in Ge/Si core/shell nanowires using off-axis electron holography.

    Science.gov (United States)

    Li, Luying; Smith, David J; Dailey, Eric; Madras, Prashanth; Drucker, Jeff; McCartney, Martha R

    2011-02-09

    Hole accumulation in Ge/Si core/shell nanowires (NWs) has been observed and quantified using off-axis electron holography and other electron microscopy techniques. The epitaxial [110]-oriented Ge/Si core/shell NWs were grown on Si (111) substrates by chemical vapor deposition through the vapor-liquid-solid growth mechanism. High-angle annular-dark-field scanning transmission electron microscopy images and off-axis electron holograms were obtained from specific NWs. The excess phase shifts measured by electron holography across the NWs indicated the presence of holes inside the Ge cores. Calculations based on a simplified coaxial cylindrical model gave hole densities of (0.4 ± 0.2) /nm(3) in the core regions.

  20. Studying Si/SiGe disordered alloys within effective mass theory

    Science.gov (United States)

    Gamble, John; Montaño, Inès; Carroll, Malcolm S.; Muller, Richard P.

    Si/SiGe is an attractive material system for electrostatically-defined quantum dot qubits due to its high-quality crystalline quantum well interface. Modeling the properties of single-electron quantum dots in this system is complicated by the presence of alloy disorder, which typically requires atomistic techniques in order to treat properly. Here, we use the NEMO-3D empirical tight binding code to calibrate a multi-valley effective mass theory (MVEMT) to properly handle alloy disorder. The resulting MVEMT simulations give good insight into the essential physics of alloy disorder, while being extremely computationally efficient and well-suited to determining statistical properties. Sandia is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the US Department of Energy's National Nuclear Security Administration under Contract No. DE-AC04-94AL85000.

  1. Pulsed ion-beam assisted deposition of Ge nanocrystals on SiO{sub 2} for non-volatile memory device

    Energy Technology Data Exchange (ETDEWEB)

    Stepina, N.P. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)], E-mail: nstepina@mail.ru; Dvurechenskii, A.V.; Armbrister, V.A.; Kirienko, V.V.; Novikov, P.L.; Kesler, V.G.; Gutakovskii, A.K.; Smagina, Z.V.; Spesivtzev, E.V. [Institute of Semiconductor Physics, Lavrenteva 13, 630090 Novosibirsk (Russian Federation)

    2008-11-03

    A floating gate memory structure, utilizing Ge nanocrystals (NCs) deposited on tunnel SiO{sub 2}, have been fabricated using pulsed low energy ion-beam induced molecular-beam deposition (MBD) in ultra-high vacuum. The ion-beam action is shown to stimulate the nucleation of Ge NCs when being applied after thin Ge layer deposition. Growth conditions for independent change of NCs size and array density were established allowing to optimize the structure parameters required for memory device. Activation energy E = 0.25 eV was determined from the temperature dependence of NCs array density. Monte Carlo simulation has shown that the process, determining NCs array density, is the surface diffusion. Embedding of the crystalline Ge dots into silicon oxide was carried out by selective oxidation of Si(100)/SiO{sub 2} /Ge(NCs)/poly-Si structure. MOS-capacitor obtained after oxidation showed a hysteresis in its C-V curves attributed to charge retention in the Ge dots.

  2. Electron density distribution in Si and Ge using multipole, maximum ...

    Indian Academy of Sciences (India)

    Si and Ge has been studied using multipole, maximum entropy method (MEM) and ... and electron density distribution using the currently available versatile ..... data should be subjected to maximum possible utility for the characterization of.

  3. Distribution of Al and in impurities along homogeneous Ge-Si crystals grown by the Czochralski method using Si feeding rod

    Science.gov (United States)

    Kyazimova, V. K.; Alekperov, A. I.; Zakhrabekova, Z. M.; Azhdarov, G. Kh.

    2014-05-01

    A distribution of Al and In impurities in Ge1 - x Si x crystals (0 ≤ x ≤ 0.3) grown by a modified Czochralski method (with continuous feeding of melt using a Si rod) have been studied experimentally and theoretically. Experimental Al and In concentrations along homogeneous crystals have been determined from Hall measurements. The problem of Al and In impurity distribution in homogeneous Ge-Si single crystals grown in the same way is solved within the Pfann approximation. A set of dependences of Al and In concentrations on the crystal length obtained within this approximation demonstrates a good correspondence between the experimental and theoretical data.

  4. Collective-pinning properties of superconducting a-NbxGe and a-MoxSi films

    International Nuclear Information System (INIS)

    Woerdenweber, R.

    1987-01-01

    This thesis describes a study of superconducting flux pinning in amorphous NbGe and MoSi films of various compositions and thickness. Amorphous NbGe and MoSi alloys belong to the weak-coupling type-II superconductors in the extreme dirty limit. This feature enables to determine several important superconducting parameters from well-known theoretical expressions. It is also responsible for the weak pinning, which is necessary to observe the two-dimensional collective pinning properties according to the Larkin-Ovchinnikov theory. (Auth.)

  5. Heavy Ion Microbeam and Broadbeam Transients in SiGe HBTs

    Science.gov (United States)

    Pellish, Jonathan A.; Reed, Robert A.; McMorrow, Dale; Vizkelethy, Gyorgy; Dodd, Paul E.; Ferlet-Cavrois, Veronique; Baggio, Jacques; Paillet, Philippe; Duhamel, Olivier; Phillips, Stanley D.; hide

    2009-01-01

    SiGe HBT heavy ion current transients are measured using microbeam and both high- and low-energy broadbeam sources. These new data provide detailed insight into the effects of ion range, LET, and strike location.

  6. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  7. An electrostatic Si e-gun and a high temperature elemental B source for Si heteroepitaxial growth

    Science.gov (United States)

    Scarinci, F.; Casella, A.; Lagomarsino, S.; Fiordelisi, M.; Strappaveccia, P.; Gambacorti, N.; Grimaldi, M. G.; Xue, LiYing

    1996-08-01

    In this paper we present two kind of sources used in Si MBE growth: a Si source where an electron beam is electrostatically deflected onto a Si rod and a high temperature B source to be used for p-doping. Both sources have been designed and constructed at IESS. The Si source is constituted of a Si rod mounted on a 3/4″ flange with high-voltage connector. A W filament held at high voltage (up to 2000 V) is heated by direct current. Electrons from the filament are electrostatically focused onto the Si rod which is grounded. This mounting allows a minimum heating dispersion and no contamination, because the only hot objects are the Si rod and the W filament which is mounted in such a way that it cannot see the substrate. Growth rates of 10 Å/min on a substrate at 20 cm from the source have been measured. Auger and LEED have shown no contamination. The B source is constituted of a graphite block heated by direct current. A pyrolitic graphite crucible put in the graphite heater contains the elemental B. The cell is water cooled and contains Ta screens to avoid heat dispersion. It has been tested up to a temperature of 1700°C. P-doped Si 1- xGe x layers have been grown and B concentration has been measured by SIMS. A good control and reproducibility has been attained.

  8. Unlocking the Origin of Superior Performance of a Si-Ge Core-Shell Nanowire Quantum Dot Field Effect Transistor.

    Science.gov (United States)

    Dhungana, Kamal B; Jaishi, Meghnath; Pati, Ranjit

    2016-07-13

    The sustained advancement in semiconducting core-shell nanowire technology has unlocked a tantalizing route for making next generation field effect transistor (FET). Understanding how to control carrier mobility of these nanowire channels by applying a gate field is the key to developing a high performance FET. Herein, we have identified the switching mechanism responsible for the superior performance of a Si-Ge core-shell nanowire quantum dot FET over its homogeneous Si counterpart. A quantum transport approach is used to investigate the gate-field modulated switching behavior in electronic current for ultranarrow Si and Si-Ge core-shell nanowire quantum dot FETs. Our calculations reveal that for the ON state, the gate-field induced transverse localization of the wave function restricts the carrier transport to the outer (shell) layer with the pz orbitals providing the pathway for tunneling of electrons in the channels. The higher ON state current in the Si-Ge core-shell nanowire FET is attributed to the pz orbitals that are distributed over the entire channel; in the case of Si nanowire, the participating pz orbital is restricted to a few Si atoms in the channel resulting in a smaller tunneling current. Within the gate bias range considered here, the transconductance is found to be substantially higher in the case of a Si-Ge core-shell nanowire FET than in a Si nanowire FET, which suggests a much higher mobility in the Si-Ge nanowire device.

  9. Misfit-guided self-organization of anticorrelated Ge quantum dot arrays on Si nanowires.

    Science.gov (United States)

    Kwon, Soonshin; Chen, Zack C Y; Kim, Ji-Hun; Xiang, Jie

    2012-09-12

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one-dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anticorrelated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a postgrowth annealing process.

  10. Antilocalization of Coulomb Blockade in a Ge-Si Nanowire

    DEFF Research Database (Denmark)

    Higginbotham, Andrew P.; Kuemmeth, Ferdinand; Larsen, Thorvald Wadum

    2014-01-01

    The distribution of Coulomb blockade peak heights as a function of magnetic field is investigated experimentally in a Ge-Si nanowire quantum dot. Strong spin-orbit coupling in this hole-gas system leads to antilocalization of Coulomb blockade peaks, consistent with theory. In particular, the peak...

  11. Electrical control of a long-lived spin qubit in a Si/SiGe quantum dot

    Science.gov (United States)

    Kawakami, Erika

    2015-03-01

    Electron spins in Si/SiGe quantum dots are one of the most promising candidates for a quantum bit for their potential to scale up and their long dephasing time. We realized coherent control of single electron spin in a single quantum dot (QD) defined in a Si/SiGe 2D electron gas. Spin rotations are achieved by applying microwave excitation to one of the gates, which oscillates the electron wave function back and forth in the gradient field produced by cobalt micromagnets fabricated near the dot. The electron spin is read out in single-shot mode via spin-to-charge conversion and a QD charge sensor. In earlier work, both the fidelity of single-spin rotations and the spin echo decay time were limited by a small splitting of the lowest two valleys. By changing the direction and magnitude of the external magnetic field as well as the gate voltages that define the dot potential, we were able to increase the valley splitting and also the difference in Zeeman splittings associated with these two valleys. This has resulted in considerable improvements in the gate fidelity and spin echo decay times. Thanks to the long intrinsic dephasing time T2* = 900 ns and Rabi frequency of 1.4 MHz, we now obtain an average single qubit gate fidelity of an electron spin in a Si/SiGe quantum dot of 99 percent, measured via randomized benchmarking. The dephasing time is extended to 70 us for the Hahn echo and up to 400 us with CPMG80. From the dynamical decoupling data, we extract the noise spectral density in the range of 30 kHz-3 MHz. We will discuss the mechanism that induces this noise and is responsible for decoherence. In parallel, we also realized electron spin resonance and coherent single-spin control by second harmonic generation, which means we can drive an electron spin at half the Larmor frequency. Finally, we observe not only single-spin transitions but also transitions whereby both the spin and the valley state are flipped. Altogether, these measurements have significantly

  12. Ag-NP@Ge-nanotaper/Si-micropillar ordered arrays as ultrasensitive and uniform surface enhanced Raman scattering substrates.

    Science.gov (United States)

    Liu, Jing; Meng, Guowen; Li, Zhongbo; Huang, Zhulin; Li, Xiangdong

    2015-11-21

    Surface-enhanced Raman scattering (SERS) is considered to be an excellent candidate for analytical detection schemes, because of its molecular specificity, rapid response and high sensitivity. Here, SERS-substrates of Ag-nanoparticle (Ag-NP) decorated Ge-nanotapers grafted on hexagonally ordered Si-micropillar (denoted as Ag-NP@Ge-nanotaper/Si-micropillar) arrays are fabricated via a combinatorial process of two-step etching to achieve hexagonal Si-micropillar arrays, chemical vapor deposition of flocky Ge-nanotapers on each Si-micropillar and decoration of Ag-NPs onto the Ge-nanotapers through galvanic displacement. With high density three-dimensional (3D) "hot spots" created from the large quantities of the neighboring Ag-NPs and large-scale uniform morphology, the hierarchical Ag-NP@Ge-nanotaper/Si-micropillar arrays exhibit strong and reproducible SERS activity. Using our hierarchical 3D SERS-substrates, both methyl parathion (a commonly used pesticide) and PCB-2 (one congener of highly toxic polychlorinated biphenyls) with concentrations down to 10(-7) M and 10(-5) M have been detected respectively, showing great potential in SERS-based rapid trace-level detection of toxic organic pollutants in the environment.

  13. Evolution of the structure and hydrogen bonding configuration in annealed hydrogenated a-Si/a-Ge multilayers and layers

    International Nuclear Information System (INIS)

    Frigeri, C.; Nasi, L.; Serenyi, M.; Khanh, N.Q.; Csik, A.; Szekrenyes, Zs.; Kamaras, K.

    2012-01-01

    Complete text of publication follows. Among the present available renewable energy sources, energy harvesting from sunlight by means of photovoltaic cells is the most attractive one. In order to win over the traditional energy resources both efficiency and cost effectiveness of photovoltaic conversion must be optimized as far as possible. Efficiency is basically improved by the use of multijunction cells containing semiconductors with different band-gap. In this respect, the III-V compounds guarantee the highest efficiency, up to 41.6 %, but they are quite expensive. The latter drawback also affects other compounds like CdTe and CuIn 1-x Ga x Se 2 (CIGS). Si based solar devices have lower efficiency but are much more cost effective. They can use either crystalline or amorphous Si thin layers or Si nanoparticles. As to the thin films, amorphous Si (a-Si) is preferred to crystalline Si as it has a wider band-gap (1.7 instead of 1.1 eV) thus harvesting a larger portion of solar energy. A tandem cell is formed by using a-SiGe which has a smaller band-gap tunable between 1.1 and 1.7 eV depending on the Ge content. The best value should be 1.4 eV since the material properties seem to degrade below this value whilst the photo-conductivity drops after light soaking if the band gap exceeds 1.4 eV. A key issue of amorphous Si, Ge and SiGe is the high density of defects in the band-gap mostly due to dangling bonds whose density is particularly high (even up to 5 x10 19 cm -3 ) since the lattice is significantly disordered with distorted bond angles and lengths. This increases the probability of rupture of the Si-Si (Ge-Ge) bonds, i.e., formation of dangling bonds. Owing to the fact that hydrogen with its single electron structure can close the dangling bonds, their density can be reduced even by 4 orders of magnitude by doping with hydrogen. However, H is unstable in the host lattice. In fact, several findings showed its evolution from the thin layer upon annealing and that

  14. Investigations of metal contacts to amorphous evaporated Ge films and amorphous sputtered Si films

    International Nuclear Information System (INIS)

    Hafiz, M.; Mgbenu, E.; Tove, P.A.; Norde, H.; Petersson, S.

    1976-02-01

    Amorphous Ge or Si films have been used as ohmic contacts to high-resistivity n-silicon radiation detectors. One interesting property of this contact is that it does not inject minority carriers even when the depletion region extends up to the contact thus generating an extracting field there. The function of this contact is not yet fully explored. One part problem is the role of the metal films used as external contacts to the amorphous film. In this report the function of different contacting metals, such as Au, Al, Cr are investigated by measuring the I-V-characteristics of sandwich structures with two metals on both sides of the amorphous evaporated (Ge) and sputtered (Si) film (of typical thickness 1000 A). It was found that while the symmetric structures Au-αGe-Au and Cr-αGe-Cr were low-resistive (leading to resistivity values of approximately 10 5 Ωcm for the αGe film), Al-αGe-Al structures showed much higher resistance and were also polarity dependent. The former feature was found also for unsymmetric structures, i.e. Cr-αGe-Au, Cr-αGe-Al. (Auth.)

  15. Influence of Group-III-metal and Ag adsorption on the Ge growth on Si(111) and its vicinal surface

    Energy Technology Data Exchange (ETDEWEB)

    Speckmann, Moritz

    2011-12-15

    In the framework of this thesis the surfactant-mediated heteroepitaxial growth of Ge on different Si surfaces has been investigated by means of low-energy electron microscopy, low-energy electron diffraction, spot-profile analysing low-energy electron diffraction, X-ray standing waves, grazing-incidence X-ray diffraction, x-ray photoemission electron microscopy, X-ray photoemission spectroscopy, scanning tunneling microscopy, scanning electron microscopy, transmission electron microscopy, and density functional theory calculations. As surfactants gallium, indium, and silver were used. The adsorption of Ga or In on the intrinsically faceted Si(112) surface leads to a smoothing of the surface and the formation of (N x 1) reconstructions, where a mixture of building blocks of different sizes is always present. For both adsorbates the overall periodicity on the surface is strongly dependent on the deposition temperature and the coverage. For the experimental conditions chosen here, the periodicities are in the range of 5.2{<=}N{<=}6.5 and 3.4{<=}N{<=}3.7 for Ga and In, respectively. The (N x 1) unit cells of Ga/Si(112) and In/Si(112) are found to consist of adsorbate atoms on terrace and step-edge sites, forming two atomic chains along the [110] direction. In the Ga-induced structures two Ga-vacancies per unit cell (one in the terrace and one in the step-edge site) are found and a continuous vacancy line on the surface is formed. In the In/Si(112) structure only one vacancy per unit cell in the step-edge site exists and, thus, a continuous adsorbate chain on the terrace sites is present. The adsorption of Ga or In on Si(112) strongly influences the subsequent Ge growth. Ge deposition on the Ga-terminated Si(112) surface leads to the formation of Ge nanowires, which are elongated along the Ga chains and reach lengths of up to 2000 nm for a growth temperature of 600 C. On In-covered Si(112), both small dash-like Ge islands and triangularly shaped islands are found, where

  16. Plastic relaxation of GeSi/Si(001) films grown by molecular-beam epitaxy in the presence of the Sb surfactant

    International Nuclear Information System (INIS)

    Bolkhovityanov, Yu. B.; Deryabin, A. S.; Gutakovskii, A. K.; Kolesnikov, A. V.; Sokolov, L. V.

    2007-01-01

    Plastically relaxed GeSi films with the Ge fraction equal to 0.29-0.42 and thickness as large as 0.5 μm were grown on Si (001) substrates using the low-temperature (350 deg. C) buffer Si layer and Sb as a surfactant. It is shown that introduction of Sb that smoothens the film surface at the stage of pseudomorphic growth lowers the density of threading dislocations in the plastically relaxed heterostructure by 1-1.5 orders of magnitude and also reduces the final roughness of the surface. The root-mean-square value of roughness smaller than 1 nm was obtained for a film with the Ge content of 0.29 and the density of threading dislocations of about 10 6 cm -2 . It is assumed that the effect of surfactant is based on the fact that the activity of surface sources of dislocations is reduced in the presence of Sb

  17. The effect of Ge precursor on the heteroepitaxy of Ge1-x Sn x epilayers on a Si (001) substrate

    Science.gov (United States)

    Jahandar, Pedram; Weisshaupt, David; Colston, Gerard; Allred, Phil; Schulze, Jorg; Myronov, Maksym

    2018-03-01

    The heteroepitaxial growth of Ge1-x Sn x on a Si (001) substrate, via a relaxed Ge buffer, has been studied using two commonly available commercial Ge precursors, Germane (GeH4) and Digermane (Ge2H6), by means of chemical vapour deposition at reduced pressures (RP-CVD). Both precursors demonstrate growth of strained and relaxed Ge1-x Sn x epilayers, however Sn incorporation is significantly higher when using the more reactive Ge2H6 precursor. As Ge2H6 is significantly more expensive, difficult to handle or store than GeH4, developing high Sn content epilayers using the latter precursor is of great interest. This study demonstrates the key differences between the two precursors and offers routes to process optimisation which will enable high Sn content alloys at relatively low cost.

  18. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  19. Electrical isolation of dislocations in Ge layers on Si(001 substrates through CMOS-compatible suspended structures

    Directory of Open Access Journals (Sweden)

    Vishal Ajit Shah, Maksym Myronov, Chalermwat Wongwanitwatana, Lewis Bawden, Martin J Prest, James S Richardson-Bullock, Stephen Rhead, Evan H C Parker, Terrance E Whall and David R Leadley

    2012-01-01

    Full Text Available Suspended crystalline Ge semiconductor structures are created on a Si(001 substrate by a combination of epitaxial growth and simple patterning from the front surface using anisotropic underetching. Geometric definition of the surface Ge layer gives access to a range of crystalline planes that have different etch resistance. The structures are aligned to avoid etch-resistive planes in making the suspended regions and to take advantage of these planes to retain the underlying Si to support the structures. The technique is demonstrated by forming suspended microwires, spiderwebs and van der Pauw cross structures. We finally report on the low-temperature electrical isolation of the undoped Ge layers. This novel isolation method increases the Ge resistivity to 280 Ω cm at 10 K, over two orders of magnitude above that of a bulk Ge on Si(001 layer, by removing material containing the underlying misfit dislocation network that otherwise provides the main source of electrical conduction.

  20. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Pezzoli, Fabio, E-mail: fabio.pezzoli@unimib.it; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo [LNESS and Dipartimento di Scienza dei Materiali, Università degli Studi di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J. [School of Engineering, University of Glasgow, Rankine Building, Oakfield Avenue, Glasgow G12 8LT (United Kingdom); Isa, Fabio [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy); Laboratory for Solid State Physics, ETH Zurich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Biagioni, Paolo [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Piazza Leonardo da Vinci 32, I-20133 Milano (Italy); Isella, Giovanni [LNESS, Dipartimento di Fisica del Politecnico di Milano and IFN-CNR, Polo Territoriale di Como, Via Anzani 42, I-22100 Como (Italy)

    2016-06-27

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO{sub 2} in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  1. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    International Nuclear Information System (INIS)

    Pezzoli, Fabio; Giorgioni, Anna; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Miglio, Leo; Gallacher, Kevin; Millar, Ross W.; Paul, Douglas J.; Isa, Fabio; Biagioni, Paolo; Isella, Giovanni

    2016-01-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO_2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  2. Disentangling nonradiative recombination processes in Ge micro-crystals on Si substrates

    Science.gov (United States)

    Pezzoli, Fabio; Giorgioni, Anna; Gallacher, Kevin; Isa, Fabio; Biagioni, Paolo; Millar, Ross W.; Gatti, Eleonora; Grilli, Emanuele; Bonera, Emiliano; Isella, Giovanni; Paul, Douglas J.; Miglio, Leo

    2016-06-01

    We address nonradiative recombination pathways by leveraging surface passivation and dislocation management in μm-scale arrays of Ge crystals grown on deeply patterned Si substrates. The time decay photoluminescence (PL) at cryogenic temperatures discloses carrier lifetimes approaching 45 ns in band-gap engineered Ge micro-crystals. This investigation provides compelling information about the competitive interplay between the radiative band-edge transitions and the trapping of carriers by dislocations and free surfaces. Furthermore, an in-depth analysis of the temperature dependence of the PL, combined with capacitance data and finite difference time domain modeling, demonstrates the effectiveness of GeO2 in passivating the surface of Ge and thus in enhancing the room temperature PL emission.

  3. A high linearity SiGe HBT LNA for GPS receiver

    International Nuclear Information System (INIS)

    Luo Yanbin; Shi Jian; Ma Chengyan; Gan Yebing; Qian Min

    2014-01-01

    A high linearity 1.575 GHz SiGe:HBT low noise amplifier (LNA) for global positioning system applications is described. The bipolar cascoded with an MOSFET LNA was fabricated in a commercial 0.18 μm SiGe BiCMOS process. A resistor bias feed circuit with a feedback resistor was designed for the LNA input transistor to improve its intermodulation and compression performance. The packaged chip tested on board has displayed a noise figure of 1.11 dB, a power gain of 18 dB, an output 1 dB compression point of +7.8 dBm and an input third-order intercept point of +1.8 dBm. The chip occupies a 500 × 560 μm 2 area and consumes 3.6 mA from a 2.85 V power supply. (semiconductor integrated circuits)

  4. Piezoresistance of Silicon and Strained Si0.9Ge0.1

    DEFF Research Database (Denmark)

    Richter, Jacob; Hansen, Ole; Larsen, A. Nylandsted

    2005-01-01

    We present experimentally obtained results of the piezoresistive effect in p-type silicon and strained Si0.9Ge0.1. Today, strained Si1-xGex is used for high speed electronic devices. This paper investigates if this area of use can be expanded to also cover piezoresistive micro electro mechanical...... systems (MEMS) devices. The measurements are performed on microfabricated test chips where resistors are defined in layers grown by molecular beam epitaxy on (0 0 1) silicon substrates. A uniaxial stress along the [1 1 0] direction is applied to the chip, with the use of a four point bending fixture....... The investigation covers materials with doping levels of N-A = 10(18) cm(-3) and NA = 1019 cm(-3), respectively. The results show that the pi(66) piezoresistive coefficient in strained Si0.9Ge0.1 is approximately 30% larger than the comparable pi(44) piezoresistive coefficient in silicon at a doping level of N...

  5. Structure and physical properties of Cr_5B_3-type Ta_5Si_3 and Ta_5Ge_3

    International Nuclear Information System (INIS)

    Yuan, Fang; Forbes, Scott; Ramachandran, Krishna Kumar; Mozharivskyj, Yurij

    2015-01-01

    The Cr_5B_3-type Ta_5Si_3 phase was prepared by arc-melting, while the Cr_5B_3-type Ta_5Ge_3 one was synthesized through sintering at 1000 °C. X-ray single crystal diffraction was employed to elucidate their structure. According to the magnetization measurements, both Ta_5Si_3 and Ta_5Ge_3 are Pauli paramagnets, with Ta_5Ge_3 showing a Curie-Weiss-like paramagnetic behavior at low temperatures likely due to presence of paramagnetic impurity. Both Ta_5Si_3 and Ta_5Ge_3 display a very low electrical resistivity from 2 to 300 K. The resistivity is constant below 20 K, but displays a positive temperature coefficient above 20 K. Electronic structure calculations with the TB-LMTO-ASA method support the metallic character of the two phases and suggest that the bonding is optimized in both phases. - Highlights: • Synthesis of Cr_5B_3-type Ta_5Si_3 and Ta_5Ge_3 phases with high purity by arc-melting and sintering, respectively. • Magnetization data and electrical resistivity of the Cr_5B_3-type Ta_5Si_3 and Ta_5Ge_3 phases. • Crystal and electronic structure analysis for Ta_5Si_3 and Ta_5Ge_3 phase by X-ray diffraction and TB-LMTO-ASA calculations.

  6. Vertically grown Ge nanowire Schottky diodes on Si and Ge substrates

    Science.gov (United States)

    Chandra, Nishant; Tracy, Clarence J.; Cho, Jeong-Hyun; Picraux, S. T.; Hathwar, Raghuraj; Goodnick, Stephen M.

    2015-07-01

    The processing and performance of Schottky diodes formed from arrays of vertical Ge nanowires (NWs) grown on Ge and Si substrates are reported. The goal of this work is to investigate CMOS compatible processes for integrating NWs as components of vertically scaled integrated circuits, and elucidate transport in vertical Schottky NWs. Vertical phosphorus (P) doped Ge NWs were grown using vapor-liquid-solid epitaxy, and nickel (Ni)-Ge Schottky contacts were made to the tops of the NWs. Current-voltage (I-V) characteristics were measured for variable ranges of NW diameters and numbers of nanowires in the arrays, and the I-V characteristics were fit using modified thermionic emission theory to extract the barrier height and ideality factor. As grown NWs did not show rectifying behavior due to the presence of heavy P side-wall doping during growth, resulting in a tunnel contact. After sidewall etching using a dilute peroxide solution, rectifying behavior was obtained. Schottky barrier heights of 0.3-0.4 V and ideality factors close to 2 were extracted using thermionic emission theory, although the model does not give an accurate fit across the whole bias range. Attempts to account for enhanced side-wall conduction due to non-uniform P doping profile during growth through a simple shunt resistance improve the fit, but are still insufficient to provide a good fit. Full three-dimensional numerical modeling using Silvaco Atlas indicates that at least part of this effect is due to the presence of fixed charge and acceptor like traps on the NW surface, which leads to effectively high ideality factors.

  7. Wet cleaning and surface characterization of Si{sub 1-x}Ge{sub x} virtual substrates after a CMP step

    Energy Technology Data Exchange (ETDEWEB)

    Abbadie, A. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)], E-mail: alexandra.abbadie@soitec.fr; Hartmann, J.M. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Besson, P. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Rouchon, D.; Martinez, E.; Holliger, P.; Di Nardo, C. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France); Campidelli, Y. [ST Microelectronics, 850 Rue Jean Monnet, 38 921 Crolles Cedex (France); Billon, T. [CEA-LETI, Minatec, 17 Avenue des Martyrs, 38054 Grenoble Cedex 9 (France)

    2008-08-30

    New reactants such as ozone dissolved in ultra-pure water have been widely used the last few years instead of the original Radio Corporation of America (RCA) cleaning (which is a combination of the Standard Cleaning 1 (SC1) and the Standard Cleaning 2 (SC2)). In a first part of the study (Microelectron. Eng. 83 (2006) 1986), we had quantified the efficiency of a new cleaning sequence (that calls upon HF and H{sub 2}O/O{sub 3} solutions) on polished Si{sub 1-x}Ge{sub x} virtual substrates (x = 0.2-0.5). We are discussing here the surface morphology and wetability together with the oxide thickness and structure typically obtained after this so-called 'DDC-SiGe' wet cleaning. Flat surface morphologies are found after cleaning whatever the Ge content (from 20 to 50%). Typical root mean square roughness is around 0.4 nm. We have used X-ray Photoelectron Spectroscopy to determine the characteristics of the surface termination after this 'DDC-SiGe' cleaning. An oxide mainly composed of SiO{sub 2} is formed, with a low fraction of Ge sub-oxide and GeO{sub 2}. The distribution of chemical species is not that different from the one obtained after the use of a SC1 cleaning. However, the chemical oxide formed is slightly thicker. Such a HF/O{sub 3} cleaning leads, when used on thick Ge layers grown on Si, to the formation of a really thin Ge sub-oxide. Our oxidation model assumes a competition in O{sub 3} solutions between the oxidation rates of Si and Ge atoms (faster for Si) and the dissolution of the Ge oxide formed in solution. This mechanism, which implies the formation of a slightly porous oxide, is different from the one seeming to occur in SC1-based solutions. Indeed, the addition of surfactant in a SC1 solution modifies the oxidation rate compared to standard SC1 or O{sub 3}-based solutions, suggesting a diffusion of reactants towards the interface between the SiGe and the oxide in formation, assisted by the reactions of species within the cleaning

  8. Photoluminescent characteristics of ion beam synthesized Ge nanoparticles in thermally grown SiO2 films

    International Nuclear Information System (INIS)

    Yu, C.F.; Chao, D.S.; Chen, Y.-F.; Liang, J.H.

    2013-01-01

    Prospects of developing into numerous silicon-based optoelectronic applications have prompted many studies on the optical properties of Ge nanoparticles within a silicon oxide (SiO 2 ) matrix. Even with such abundant studies, the fundamental mechanism underlying the Ge nanoparticle-induced photoluminescence (PL) is still an open question. In order to elucidate the mechanism, we dedicate this study to investigating the correlation between the PL properties and microstructure of the Ge nanoparticles synthesized in thermally grown SiO 2 films. Our spectral data show that the peak position, at ∼3.1 eV or 400 nm, of the PL band arising from the Ge nanoparticles was essentially unchanged under different Ge implantation fluences and the temperatures of the following annealing process, whereas the sample preparation parameters modified or even fluctuated (in the case of the annealing temperature) the peak intensity considerably. Given the microscopically observed correlation between the nanoparticle structure and the sample preparation parameters, this phenomenon is consistent with the mechanism in which the oxygen-deficiency-related defects in the Ge/SiO 2 interface act as the major luminescence centers; this mechanism also successfully explains the peak intensity fluctuation with the annealing temperature. Moreover, our FTIR data indicate the formation of GeO x upon ion implantation. Since decreasing of the oxygen-related defects by the GeO x formation is expected to be correlated with the annealing temperature, presence of the GeO x renders further experimental support to the oxygen defect mechanism. This understanding may assist the designing of the manufacturing process to optimize the Ge nanoparticle-based PL materials for different technological applications

  9. Influencing factors on the size uniformity of self-assembled SiGe quantum rings grown by molecular beam epitaxy.

    Science.gov (United States)

    Cui, J; Lv, Y; Yang, X J; Fan, Y L; Zhong, Z; Jiang, Z M

    2011-03-25

    The size uniformity of self-assembled SiGe quantum rings, which are formed by capping SiGe quantum dots with a thin Si layer, is found to be greatly influenced by the growth temperature and the areal density of SiGe quantum dots. Higher growth temperature benefits the size uniformity of quantum dots, but results in low Ge concentration as well as asymmetric Ge distribution in the dots, which induces the subsequently formed quantum rings to be asymmetric in shape or even broken somewhere in the ridge of rings. Low growth temperature degrades the size uniformity of quantum dots, and thus that of quantum rings. A high areal density results in the expansion and coalescence of neighboring quantum dots to form a chain, rather than quantum rings. Uniform quantum rings with a size dispersion of 4.6% and an areal density of 7.8×10(8) cm(-2) are obtained at the optimized growth temperature of 640°C.

  10. Ge/Si Ratios as a Tracer of Hydrothermal Activity in the Nepal Himalaya

    Science.gov (United States)

    Evans, M. J.; Derry, L. A.

    2001-12-01

    Advection of deep-seated crustal rocks, high internal heat production, and rapid erosion of the thrust wedge result in steep thermal gradients in the crystalline rocks of the Himalayan front. Meteoric water circulation within these rocks produces geothermal activity in the deeply-incised river valleys near the Main Central Thrust shear zone. The springs have measured temperatures up to 70° C and TDS up to 8000 mg/L and drive significant anomalies in river chemistry. We have carried out a detailed study of the role of hot springs in the Narayani River basin of central Nepal (area 35,000 km2), the major drainage of the central Nepal Himalaya and a major tributary to the Ganges. In order to quantify the fluxes of heat and solutes from geothermal systems in the Narayani basin, the hydrothermal fluid flux must be estimated. As part of an ongoing effort to investigate the use of germanium-silicon systematics, we measured Ge/Si ratios in main stem, tributary and hot spring waters of the Narayani basin. While Ge/Si ratios in tributaries are similar to non-polluted world rivers (Iceland (9 to 150 μ mol/mol). The high Ge/Si ratios in the hot springs may reflect Rayleigh fractionation as low Ge/Si quartz is precipitated. The wide disparity in stream vs. hydrothermal values makes Ge/Si a valuable tool for quantifying hydrothermal fluid flux by mass balance. We can use a hydrothermal fluid flux estimate derived from the chemical mass balance to estimate convective heat loss in the Narayani basin. Preliminary estimates in the Marsyandi River yield a thermal power output rate of 200 MW, comparable with geothermal fields in the Taupo Volcanic Zone and when distributed over the spring affected area, yield a hydrothermal heat flow (160 mW/m2) comparable to continental heat flow and hydrothermal heat loss in the geothermal belt across Tibet. Fluxes of solutes and heat carried by Himalayan hot springs appear to be significant for Himalayan river chemistry and for thermal models of

  11. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal–oxide–semiconductor field effect transistor channels

    OpenAIRE

    Grasby, T. J.; Parry, C. P.; Phillips, P. J. (Peter J.); McGregor, Barry M.; Morris, R. J. H. (Richard J. H.); Braithwaite, Glyn; Whall, Terry E.; Parker, Evan H. C.; Hammond, Richard; Knights, Andrew P.; Coleman, P. G.

    1999-01-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V ...

  12. Effect of Fe, Co, Si and Ge impurities on optical properties of graphene sheet

    International Nuclear Information System (INIS)

    Kheyri, A.; Nourbakhsh, Z.; Darabi, E.

    2016-01-01

    The electronic and linear optical properties of pure graphene and impurity-graphene (with Fe, Co, Si and Ge impurities) sheets are investigated by using the full potential linear augmented plane wave plus local orbital (FPLAPW + lo) in the framework of the density functional theory (DFT). The calculated results are obtained within the generalized gradient approximation using the Perdew–Burke–Ernzerhof scheme in the presence of spin-orbit interaction. The band structure, partial electron density of states, dielectric function, absorption coefficient, optical conductivity, extinction index, energy loss function, reflectivity and the refraction index of these sheets for parallel and perpendicular electromagnetic wave polarization to sheet are investigated. The optical conductivity of Si-graphene and Ge-graphene sheets for the parallel electromagnetic wave polarization to the sheet starts with a gap about 0.4 eV confirms that these sheets have semiconductor behavior. Also the optical spectra of these sheets are anisotropic along these two wave polarizations. The dielectric function in the static limit of pure graphene sheet for perpendicular electromagnetic wave polarization to sheet does not significant change in the presence of Si, Ge, Fe and Co impurities. The static refractive index of Fe-graphene and Co-graphene sheets for parallel electromagnetic wave polarization to sheet is much larger than the corresponding value of pure graphene sheet. - Highlights: • Graphene sheet with Fe and Co impurities is metal. • Graphene sheet with Si and Ge impurities is semiconductor with 0.2 eV energy band gap. • These sheets optical spectra have metallic behavior for perpendicular polarization. • These sheets optical spectra have semiconductor behavior for parallel polarization. • Graphene sheet with Si and Ge impurities can use for optoelectronic devices.

  13. Effect of Fe, Co, Si and Ge impurities on optical properties of graphene sheet

    Energy Technology Data Exchange (ETDEWEB)

    Kheyri, A. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Nourbakhsh, Z., E-mail: z.nourbakhsh@sci.ui.ac.ir [Physics Department, Faculty of Science, University of Isfahan, Isfahan (Iran, Islamic Republic of); Darabi, E. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2016-08-01

    The electronic and linear optical properties of pure graphene and impurity-graphene (with Fe, Co, Si and Ge impurities) sheets are investigated by using the full potential linear augmented plane wave plus local orbital (FPLAPW + lo) in the framework of the density functional theory (DFT). The calculated results are obtained within the generalized gradient approximation using the Perdew–Burke–Ernzerhof scheme in the presence of spin-orbit interaction. The band structure, partial electron density of states, dielectric function, absorption coefficient, optical conductivity, extinction index, energy loss function, reflectivity and the refraction index of these sheets for parallel and perpendicular electromagnetic wave polarization to sheet are investigated. The optical conductivity of Si-graphene and Ge-graphene sheets for the parallel electromagnetic wave polarization to the sheet starts with a gap about 0.4 eV confirms that these sheets have semiconductor behavior. Also the optical spectra of these sheets are anisotropic along these two wave polarizations. The dielectric function in the static limit of pure graphene sheet for perpendicular electromagnetic wave polarization to sheet does not significant change in the presence of Si, Ge, Fe and Co impurities. The static refractive index of Fe-graphene and Co-graphene sheets for parallel electromagnetic wave polarization to sheet is much larger than the corresponding value of pure graphene sheet. - Highlights: • Graphene sheet with Fe and Co impurities is metal. • Graphene sheet with Si and Ge impurities is semiconductor with 0.2 eV energy band gap. • These sheets optical spectra have metallic behavior for perpendicular polarization. • These sheets optical spectra have semiconductor behavior for parallel polarization. • Graphene sheet with Si and Ge impurities can use for optoelectronic devices.

  14. Structural and Magnetothermal Properties of Compounds: Yb5SixGe4-x,Sm5SixGe4-x, EuO, and Eu3O4

    Energy Technology Data Exchange (ETDEWEB)

    Ahn, Kyunghan [Iowa State Univ., Ames, IA (United States)

    2007-01-01

    The family of R5SixGe4-x alloys demonstrates a variety of unique physical phenomena related to magneto-structural transitions associated with reversible breaking and reforming of specific bonds that can be controlled by numerous external parameters such as chemical composition, magnetic field, temperature, and pressure. Therefore, R5SixGe4-x systems have been extensively studied to uncover the mechanism of the extraordinary magneto-responsive properties including the giant magnetoresistance (GMR) and colossal magnetostriction, as well as giant magnetocaloric effect (GMCE). Until now, more than a half of possible R5SixGe4-x pseudobinary systems have been completely or partially investigated with respect to their crystallography and phase relationships (R = La, Pr, Nd, Gd, Tb, Dy, Er, Lu, Y). Still, there are other R5SixGe4-x systems (R = Ce, Sm, Ho, Tm, and Yb) that are not studied yet. Here, we report on phase relationships and structural, magnetic, and thermodynamic properties in the Yb5SixGe4-xand Sm5SixGe4-x pseudobinary systems, which may exhibit mixed valence states. The crystallography, phase relationships, and physical properties of Yb5SixGe4-x alloys with 0 ≤ x ≤ 4 have been examined by using single crystal and powder x-ray diffraction at room temperature, and dc magnetization and heat capacity measurements between 1.8 K and 400 K in magnetic fields ranging from 0 to 7 T. Unlike the majority of R5SixGe4-x systems studied to date, where R is the rare earth metal, all Yb-based germanide-silicides with the 5:4 stoichiometry crystallize in the same Gd5Si4-type structure. The magnetic properties of Yb5SixGe4-x materials are nearly composition

  15. Structural and electronic properties of Si{sub 1–x}Ge{sub x} alloy nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Iori, Federico [Dipartimento di Scienze e Metodi dell' Ingegneria, Centro Interdipartimentale Intermech and En and tech, Università di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42122 Reggio Emilia (Italy); European Theoretical Spectroscopy Facility (ETSF) and Institut de Ciència de Materials de Barcelona (ICMAB–CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona (Spain); Ossicini, Stefano [Dipartimento di Scienze e Metodi dell' Ingegneria, Centro Interdipartimentale Intermech and En and tech, Università di Modena e Reggio Emilia, via Amendola 2 Pad. Morselli, I-42122 Reggio Emilia (Italy); “Centro S3”, CNR-Istituto di Nanoscienze, Via Campi 213/A, 41125 Modena (Italy); Rurali, Riccardo, E-mail: rrurali@icmab.es [Institut de Ciència de Materials de Barcelona (ICMAB–CSIC), Campus de Bellaterra, 08193 Bellaterra, Barcelona (Spain)

    2014-10-21

    We present first-principles density-functional calculations of Si{sub 1–x}Ge{sub x} alloy nanowires. We show that given the composition of the alloy, the structural properties of the nanowires can be predicted with great accuracy by means of Vegard's law, linearly interpolating the values of a pure Si and a pure Ge nanowire of the same diameter. The same holds, to some extent, also for electronic properties such as the band-gap. We also assess to what extend the band-gap varies as a function of disorder, i.e., how it changes for different random realization of a given concentration. These results make possible to tailor the desired properties of SiGe alloy nanowires starting directly from the data relative to the pristine wires.

  16. Room Temperature Electroluminescence from Tensile-Strained Si0.13Ge0.87/Ge Multiple Quantum Wells on a Ge Virtual Substrate

    Directory of Open Access Journals (Sweden)

    Guangyang Lin

    2016-09-01

    Full Text Available Direct band electroluminescence (EL from tensile-strained Si0.13Ge0.87/Ge multiple quantum wells (MQWs on a Ge virtual substrate (VS at room temperature is reported herein. Due to the competitive result of quantum confinement Stark effect and bandgap narrowing induced by tensile strain in Ge wells, electroluminescence from Γ1-HH1 transition in 12-nm Ge wells was observed at around 1550 nm. As injection current density increases, additional emission shoulders from Γ2-HH2 transition in Ge wells and Ge VS appeared at around 1300–1400 nm and 1600–1700 nm, respectively. The peak energy of EL shifted to the lower energy side superquadratically with an increase of injection current density as a result of the Joule heating effect. During the elevation of environmental temperature, EL intensity increased due to a reduction of energy between L and Γ valleys of Ge. Empirical fitting of the relationship between the integrated intensity of EL (L and injection current density (J with L~Jm shows that the m factor increased with injection current density, suggesting higher light emitting efficiency of the diode at larger injection current densities, which can be attributed to larger carrier occupations in the Γ valley and the heavy hole (HH valance band at higher temperatures.

  17. Magnetism and metal insulator transition in FeSi and FeGe. Ab Initio investigations of the electronic structure; Magnetismus und Metall-Isolator-Uebergang in FeSi und FeGe. Ab-initio-Untersuchungen der elektronischen Struktur

    Energy Technology Data Exchange (ETDEWEB)

    Neef, Matthias

    2007-03-19

    Aim of this thesis was to reach by a systematic study of different ab initio procedures an improved description of the electronic properties of FeSi and FeGe. Central result is the itinerant description of FeSi as a semiconductor in the neighbourhood of a ferromagnetic instability. The regardment of the nonlocal exchange in the effective one-particle approximation leads to a metastable magnetic state scarcely above the magnetic ground state. The application of the hybrid functional leads to a 1st order metal-isolator transition for large lattice parameters: FeSi transforms at increasement of the lattice parameter from an unmagnetic isolator to a magnetic metal. A similar behavior is found in the isostructural compound FeGe. The two systems FeSi and FeGe were systematically and detailedly analyzed by means of ab initio procedures. Thereby the structural, electronic, and magnetic properties were studied with DFT and HF calculations. Both calculations with spin polarization and without spin polarization were performed.

  18. Linear microstructural features in R5(Si,Ge)4-type alloys: Difficulties in identification

    International Nuclear Information System (INIS)

    Chumbley, L.S.; Ugurlu, O.; McCallum, R.W.; Dennis, K.W.; Mudryk, Y.; Gschneidner, K.A.; Pecharsky, V.K.

    2008-01-01

    A brief review of the current literature concerning compounds based upon the R 5 (Si x Ge 1-x ) 4 structure reveals that essentially all examined alloys contain 'linear features' similar to those first observed by Szade et al. on the surface of Gd 5 Si 4 , Gd 5 Si 2 Ge 2 and Gd 5 Ge 4 samples. Attempts to characterize these features, using a variety of techniques, have proven to be a difficult task. Rather than becoming clearer and better understood, discrepancies in the reported data have resulted in much confusion. A series of comprehensive experiments involving optical, scanning and transmission electron microscopy, X-ray diffractometry, magnetization, and heat capacity measurements have been performed in an attempt to clarify the situation. These experiments, coupled with a critical examination of published data, allow certain misconceptions and apparent contradictions to be understood and explained. Of major importance is the discovery that the volume fraction of the linear feature present is far lower than what one may estimate on the basis of etched samples. The results of this study support previous data that show the linear features are a second phase of composition R 5 (Si x Ge 1-x ) 3 , and reveal the various difficulties associated with proper identification of this phase due to its small size scale and low volume percentage

  19. Homogeneous SiGe crystal growth in microgravity by the travelling liquidus-zone method

    International Nuclear Information System (INIS)

    Kinoshita, K; Arai, Y; Inatomi, Y; Sakata, K; Takayanagi, M; Yoda, S; Miyata, H; Tanaka, R; Sone, T; Yoshikawa, J; Kihara, T; Shibayama, H; Kubota, Y; Shimaoka, T; Warashina, Y

    2011-01-01

    Homogeneous SiGe crystal growth experiments will be performed on board the ISS 'Kibo' using a gradient heating furnace (GHF). A new crystal growth method invented for growing homogeneous mixed crystals named 'travelling liquidus-zone (TLZ) method' is evaluated by the growth of Si 0.5 Ge 0.5 crystals in space. We have already succeeded in growing homogeneous 2mm diameter Si 0.5 Ge 0.5 crystals on the ground but large diameter homogeneous crystals are difficult to be grown due to convection in a melt. In microgravity, larger diameter crystals can be grown with suppressing convection. Radial concentration profiles as well as axial profiles in microgravity grown crystals will be measured and will be compared with our two-dimensional TLZ growth model equation and compositional variation is analyzed. Results are beneficial for growing large diameter mixed crystals by the TLZ method on the ground. Here, we report on the principle of the TLZ method for homogeneous crystal growth, results of preparatory experiments on the ground and plan for microgravity experiments.

  20. Evidence for Kinetic Limitations as a Controlling Factor of Ge Pyramid Formation: a Study of Structural Features of Ge/Si(001) Wetting Layer Formed by Ge Deposition at Room Temperature Followed by Annealing at 600 °C.

    Science.gov (United States)

    Storozhevykh, Mikhail S; Arapkina, Larisa V; Yuryev, Vladimir A

    2015-12-01

    The article presents an experimental study of an issue of whether the formation of arrays of Ge quantum dots on the Si(001) surface is an equilibrium process or it is kinetically controlled. We deposited Ge on Si(001) at the room temperature and explored crystallization of the disordered Ge film as a result of annealing at 600 °C. The experiment has demonstrated that the Ge/Si(001) film formed in the conditions of an isolated system consists of the standard patched wetting layer and large droplike clusters of Ge rather than of huts or domes which appear when a film is grown in a flux of Ge atoms arriving on its surface. We conclude that the growth of the pyramids appearing at temperatures greater than 600 °C is controlled by kinetics rather than thermodynamic equilibrium whereas the wetting layer is an equilibrium structure. Primary 68.37.Ef; 68.55.Ac; 68.65.Hb; 81.07.Ta; 81.16.Dn.

  1. Simulating characteristics of Si/Ge tandem monolithic solar cell with Si1-xGex buffer layer

    Directory of Open Access Journals (Sweden)

    Gnilenko A. B.

    2015-12-01

    Full Text Available In spite of many efforts to propose new semiconductor materials and sophisticated constructions of solar cells, crystalline silicone remains the main photovoltaic material widely used up to now. There are various methods to enhance the efficiency of silicone solar cells. One of them is to combine silicone with an additional semiconductor material with the different bandgap to form a tandem construction. For example, the germanium sub-cell used as the bottom cascade for the silicone sub-cell in the tandem monolithic solar cell makes it possible to utilize the "red" sub-band of solar spectra increasing overall solar cell efficiency. The problem of the 4.2% mismatch in lattice constant between Si and Ge can be resolved in such a case by the use of SiGe buffer layer. In the paper the results of the computer simulation for Si/Ge tandem monolithic solar cell with Si1-xGex buffer layer are presented. In the solar cell under consideration, the step graded Si1-xGex buffer layer is located between the top silicone and the bottom germanium cascades to reduce the threading dislocation density in mismatched materials. The cascades are commutated by the use of the germanium tunnel diode between the bottom sub-cell and the buffer layer. For the solar cell modeling, the physically-based device simulator ATLAS of Silvaco TCAD software is employed to predict the electrical behavior of the semiconductor structure and to provide a deep insight into the internal physical processes. The voltage-current characteristic, photovoltaic parameters and the distribution of basic physical values are obtained for the investigated tandem solar cell. The influence of layer thicknesses on the photovoltaic parameters is studied. The calculated efficiency of the tandem solar cell reaches 13% which is a quarter more than the efficiency of a simple silicone solar cell with the same constructive parameters and under the same illumination conditions.

  2. The impact of non-uniform channel layer growth on device characteristics in state of the Art Si/SiGe/Si p-metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Chang, A.C.K.; Ross, I.M.; Norris, D.J.; Cullis, A.G.; Tang, Y.T.; Cerrina, C.; Evans, A.G.R.

    2006-01-01

    In this study we have highlighted the effect of non-uniform channel layer growth by the direct correlation of the microstructure and electrical characteristics in state-of-the-art pseudomorphic Si/SiGe p-channel metal oxide semiconductor field effect transistor devices fabricated on Si. Two nominally identical sets of devices from adjacent locations of the same wafer were found to have radically different distributions in gate threshold voltages. Due to the close proximity and narrow gate length of the devices, focused ion beam milling was used to prepare a number of thin cross-sections from each of the two regions for subsequent analysis using transmission electron microscopy. It was found that devices from the region giving a very narrow range of gate threshold voltages exhibited a uniform microstructure in general agreement with the intended growth parameters. However, in the second region, which showed a large spread in the gate threshold voltages, profound anomalies in the microstructure were observed. These anomalies consisted of fluctuations in the quality and thickness of the SiGe strained layers. The non-uniform growth of the strained SiGe layer clearly accounted for the poorly controlled threshold voltages of these devices. The results emphasize the importance of good layer growth uniformity to ensure optimum device yield

  3. Visible and infrared emission from Si/Ge nanowires synthesized by metal-assisted wet etching.

    Science.gov (United States)

    Irrera, Alessia; Artoni, Pietro; Fioravanti, Valeria; Franzò, Giorgia; Fazio, Barbara; Musumeci, Paolo; Boninelli, Simona; Impellizzeri, Giuliana; Terrasi, Antonio; Priolo, Francesco; Iacona, Fabio

    2014-02-12

    Multi-quantum well Si/Ge nanowires (NWs) were realized by combining molecular beam epitaxy deposition and metal-assisted wet etching, which is a low-cost technique for the synthesis of extremely dense (about 1011 cm-2) arrays of NWs with a high and controllable aspect ratio. In particular, we prepared ultrathin Si/Ge NWs having a mean diameter of about 8 nm and lengths spanning from 1.0 to 2.7 μm. NW diameter is compatible with the occurrence of quantum confinement effects and, accordingly, we observed light emission assignable to the presence of Si and Ge nanostructures. We performed a detailed study of the photoluminescence properties of the NWs, with particular attention to the excitation and de-excitation properties as a function of the temperature and of the excitation photon flux, evaluating the excitation cross section and investigating the presence of non-radiative phenomena. PACS: 61.46.Km; 78.55.-m; 78.67.Lt.

  4. Microstructure study of the rare-earth intermetallic compounds R5(SixGe1-x)4 and R5(SixGe1-x)3

    Energy Technology Data Exchange (ETDEWEB)

    Cao, Qing [Iowa State Univ., Ames, IA (United States)

    2012-01-01

    The unique combination of magnetic properties and structural transitions exhibited by many members of the R5(SixGe1-x)4 family (R = rare earths, 0 ≤ x ≤ 1) presents numerous opportunities for these materials in advanced energy transformation applications. Past research has proven that the crystal structure and magnetic ordering of the R5(SixGe1-x)4 compounds can be altered by temperature, magnetic field, pressure and the Si/Ge ratio. Results of this thesis study on the crystal structure of the Er5Si4 compound have for the first time shown that the application of mechanical forces (i.e. shear stress introduced during the mechanical grinding) can also result in a structural transition from Gd5Si4-type orthorhombic to Gd5Si2Ge2-type monoclinic. This structural transition is reversible, moving in the opposite direction when the material is subjected to low-temperature annealing at 500 °C.

  5. Misfit-guided self-organization of anti-correlated Ge quantum dot arrays on Si nanowires

    Science.gov (United States)

    Kwon, Soonshin; Chen, Zack C.Y.; Kim, Ji-Hun; Xiang, Jie

    2012-01-01

    Misfit-strain guided growth of periodic quantum dot (QD) arrays in planar thin film epitaxy has been a popular nanostructure fabrication method. Engineering misfit-guided QD growth on a nanoscale substrate such as the small curvature surface of a nanowire represents a new approach to self-organized nanostructure preparation. Perhaps more profoundly, the periodic stress underlying each QD and the resulting modulation of electro-optical properties inside the nanowire backbone promise to provide a new platform for novel mechano-electronic, thermoelectronic, and optoelectronic devices. Herein, we report a first experimental demonstration of self-organized and self-limited growth of coherent, periodic Ge QDs on a one dimensional Si nanowire substrate. Systematic characterizations reveal several distinctively different modes of Ge QD ordering on the Si nanowire substrate depending on the core diameter. In particular, Ge QD arrays on Si nanowires of around 20 nm diameter predominantly exhibit an anti-correlated pattern whose wavelength agrees with theoretical predictions. The correlated pattern can be attributed to propagation and correlation of misfit strain across the diameter of the thin nanowire substrate. The QD array growth is self-limited as the wavelength of the QDs remains unchanged even after prolonged Ge deposition. Furthermore, we demonstrate a direct kinetic transformation from a uniform Ge shell layer to discrete QD arrays by a post-growth annealing process. PMID:22889063

  6. High performance waveguide-coupled Ge-on-Si linear mode avalanche photodiodes.

    Science.gov (United States)

    Martinez, Nicholas J D; Derose, Christopher T; Brock, Reinhard W; Starbuck, Andrew L; Pomerene, Andrew T; Lentine, Anthony L; Trotter, Douglas C; Davids, Paul S

    2016-08-22

    We present experimental results for a selective epitaxially grown Ge-on-Si separate absorption and charge multiplication (SACM) integrated waveguide coupled avalanche photodiode (APD) compatible with our silicon photonics platform. Epitaxially grown Ge-on-Si waveguide-coupled linear mode avalanche photodiodes with varying lateral multiplication regions and different charge implant dimensions are fabricated and their illuminated device characteristics and high-speed performance is measured. We report a record gain-bandwidth product of 432 GHz for our highest performing waveguide-coupled avalanche photodiode operating at 1510nm. Bit error rate measurements show operation with BER-12, in the range from -18.3 dBm to -12 dBm received optical power into a 50 Ω load and open eye diagrams with 13 Gbps pseudo-random data at 1550 nm.

  7. Understanding doping at the nanoscale: the case of codoped Si and Ge nanowires

    International Nuclear Information System (INIS)

    Amato, Michele; Rurali, Riccardo; Palummo, Maurizia; Ossicini, Stefano

    2014-01-01

    Results of first-principles DFT calculations of the structural and electronic properties of B–P codoped Si and Ge NWs are presented and discussed. We find that, according to experiments, for both Si and Ge NWs, impurities tend to get closer together and to occupy edge positions, as a result of minor structural relaxation and hence lower formation energy. The study of the electronic structure shows that the simultaneous addition of B and P only slightly modifies the energy band gap value with respect to the pure wire, and is strongly dependent on the particular codoping configuration considered. (paper)

  8. Nanogrids and Beehive-Like Nanostructures Formed by Plasma Etching the Self-Organized SiGe Islands

    Science.gov (United States)

    Chang, Yuan-Ming; Jian, Sheng-Rui; Juang, Jenh-Yih

    2010-09-01

    A lithography-free method for fabricating the nanogrids and quasi-beehive nanostructures on Si substrates is developed. It combines sequential treatments of thermal annealing with reactive ion etching (RIE) on SiGe thin films grown on (100)-Si substrates. The SiGe thin films deposited by ultrahigh vacuum chemical vapor deposition form self-assembled nanoislands via the strain-induced surface roughening (Asaro-Tiller-Grinfeld instability) during thermal annealing, which, in turn, serve as patterned sacrifice regions for subsequent RIE process carried out for fabricating nanogrids and beehive-like nanostructures on Si substrates. The scanning electron microscopy and atomic force microscopy observations confirmed that the resultant pattern of the obtained structures can be manipulated by tuning the treatment conditions, suggesting an interesting alternative route of producing self-organized nanostructures.

  9. Long-Term Reliability of SiGe/Si HBTs From Accelerated Lifetime Testing

    Science.gov (United States)

    Bhattacharya, Pallab

    2001-01-01

    Accelerated lifetime tests were performed on double-mesa structure Si(0.7)Ge(0.3)/Si npn heterojunction bipolar transistors, grown by molecular beam epitaxy, in the temperature range of 175 C-275 C. The transistors (with 5x20 sq micron emitter area) have DC current gains approx. 40-50 and f(sub T) and f(sub max) of up to 22 GHz and 25 GHz, respectively. It is found that a gradual degradation in these devices is caused by the recombination enhanced impurity diffusion (REID) of boron atoms from the p-type base region and the associated formation of parasitic energy barriers to electron transport from the emitter to collector layers. This REED has been quantitatively modeled and explained, to the first order of approximation, and the agreement with the measured data is good. The mean time to failure (MTTF) of these devices at room temperature under 1.35 x 10(exp 4) A/sq cm current density operation is estimated from the extrapolation of the Arrhenius plots of device lifetime versus reciprocal temperature. The results of the reliability tests offer valuable feedback for SiGe heterostructure design in order to improve the long-term reliability of the devices and circuits made with them. Hot electron induced degradation of the base-emitter junction was also observed during the accelerated lifetime testing. In order to improve the HBT reliability endangered by the hot electrons, deuterium sintered techniques have been proposed. The preliminary results from this study show that a deuterium-sintered HBT is, indeed, more resistant to hot-electron induced base-emitter junction degradation.

  10. First principles study of the ground state properties of Si, Ga, and Ge doped Fe50Al50

    Science.gov (United States)

    Pérez, Carlos Ariel Samudio; dos Santos, Antonio Vanderlei

    2018-06-01

    The first principles calculation of the structural, electronic and associated properties of the Fe50Al50 alloy (B2 phase) doped by s-p elements (Im = Si, Ga, and Ge) are performed as a function of the atomic concentration on the basis of the Full Potential Linear Augmented Plane Wave (FP-LAPW) method as implemented in the WIEN2k code. The Al substitution by Im (Si and Ge) atoms (principally at a concentration of 6.25 at%) induces a pronounced redistribution of the electronic charge leading to a strong Fe-Im interaction with covalent bonding character. At the same time, decrease the lattice volume (V) while increase the bulk modulus (B). For the alloys containing Ga, the Fe-Ga interaction is also observed but the V and B of the alloy are very near to that of pure Fe-Al alloy. The magnetic moment and hyperfine parameters observed at the lattice sites of studied alloys also show variations, they increase or decrease in relation to that in Fe50Al50 according to the Im that substitutes Al.

  11. Electron irradiation response on Ge and Al-doped SiO 2 optical fibres

    Science.gov (United States)

    Yaakob, N. H.; Wagiran, H.; Hossain, I.; Ramli, A. T.; Bradley, D. A.; Hashim, S.; Ali, H.

    2011-05-01

    This paper describes the thermoluminescence response, sensitivity, stability and reproducibility of SiO 2 optical fibres with various electron energies and doses. The TL materials that comprise Al- and Ge-doped silica fibres were used in this experiment. The TL results are compared with those of the commercially available TLD-100. The doped SiO 2 optical fibres and TLD-100 are placed in a solid phantom and irradiated with 6, 9 and 12 MeV electron beams at doses ranging from 0.2 to 4.0 Gy using the LINAC at Hospital Sultan Ismail, Johor Bahru, Malaysia. It was found that the commercially available Al- and Ge-doped optical fibres have a linear dose-TL signal relationship. The intensity of TL response of Ge-doped fibre is markedly greater than that of the Al-doped fibre.

  12. Electron irradiation response on Ge and Al-doped SiO2 optical fibres

    International Nuclear Information System (INIS)

    Yaakob, N.H.; Wagiran, H.; Hossain, I.; Ramli, A.T.; Bradley, D.A; Hashim, S.; Ali, H.

    2011-01-01

    This paper describes the thermoluminescence response, sensitivity, stability and reproducibility of SiO 2 optical fibres with various electron energies and doses. The TL materials that comprise Al- and Ge-doped silica fibres were used in this experiment. The TL results are compared with those of the commercially available TLD-100. The doped SiO 2 optical fibres and TLD-100 are placed in a solid phantom and irradiated with 6, 9 and 12 MeV electron beams at doses ranging from 0.2 to 4.0 Gy using the LINAC at Hospital Sultan Ismail, Johor Bahru, Malaysia. It was found that the commercially available Al- and Ge-doped optical fibres have a linear dose-TL signal relationship. The intensity of TL response of Ge-doped fibre is markedly greater than that of the Al-doped fibre.

  13. Annealing temperature effect on structure and electrical properties of films formed of Ge nanoparticles in SiO2

    International Nuclear Information System (INIS)

    Stavarache, Ionel; Lepadatu, Ana-Maria; Stoica, Toma; Ciurea, Magdalena Lidia

    2013-01-01

    Ge–SiO 2 films with high Ge/Si atomic ratio of about 1.86 were obtained by co-sputtering of Ge and SiO 2 targets and subsequently annealed at different temperatures between 600 and 1000 °C in a conventional furnace in order to show how the annealing process influences the film morphology concerning the Ge nanocrystal and/or amorphous nanoparticle formation and to study their electrical behaviour. Atomic force microscopy (AFM) imaging, Raman spectroscopy and electrical conductance measurements were performed in order to find out the annealing effect on the film surface morphology, as well as the Ge nanoparticle formation in correlation with the hopping conductivity of the films. AFM images show that the films annealed at 600 and 700 °C present a granular surface with particle height of about 15 nm, while those annealed at higher temperatures have smoother surface. The Raman investigations evidence Ge nanocrystals (including small ones) coexisting with amorphous Ge in the films annealed at 600 °C and show that almost all Ge is crystallized in the films annealed at 700 °C. The annealing at 800 °C disadvantages the Ge nanocrystal formation due to the strong Ge diffusion. This transition in Ge nanocrystals formation process by annealing temperature increase from 700 to 800 °C revealed by AFM and Raman spectroscopy measurements corresponds to a change in the electrical transport mechanism. Thus, in the 700 °C annealed films, the current depends on temperature according to a T −1/2 law which is typical for a tunnelling mechanism between neighbour Ge nanocrystals. In the 800 °C annealed films, the current–temperature characteristic has a T −1/4 dependence showing a hopping mechanism within an electronic band of localized states related to diffused Ge in SiO 2 .

  14. Depth profile of strain and composition in Si/Ge dot multilayers by microscopic phonon Raman spectroscopy

    International Nuclear Information System (INIS)

    Tan, P.H.; Bougeard, D.; Abstreiter, G.; Brunner, K.

    2005-01-01

    We characterized strain and Ge content depending on depth in a self-assembled Si/Ge dot multilayer by scanning a microscopic Raman probe at a (110) cleavage plane. The multilayer structure was deposited by molecular-beam epitaxy on a (001) Si substrate and consisted of 80 periods, each of them composed by 25 nm Si spacers and 8 monolayer Ge forming laterally and vertically uncorrelated islands with a height of 2 nm and a lateral diameter of about 20 nm. An average biaxial strain of -3.5% within the core regions of islands is determined from the splitting of longitudinal and transversal optical Ge-Ge phonon modes observed in polarized Raman measurements. The absolute mode frequencies further enable analysis of a Ge content of 0.82. The analyzed strain and composition of islands are nearly independent from depths below the sample surface. This indicates well-controlled deposition parameters and negligible intermixing during deposition of subsequent layers. These Raman results are in agreement with x-ray diffraction data. Small, local Raman frequency shifts were observed and discussed with respect to partial elastic strain relaxation of the multilayer stack after cleavage, undefined Raman-scattering geometries at the sample edge, and local heating by the laser probe

  15. Effect of a Stepped Si(100) Surface on the Nucleation Process of Ge Islands

    Science.gov (United States)

    Yesin, M. Yu.; Nikiforov, A. I.; Timofeev, V. A.; Mashanov, V. I.; Tuktamyshev, A. R.; Loshkarev, I. D.; Pchelyakov, O. P.

    2018-03-01

    Nucleation of Ge islands on a stepped Si(100) surface is studied. It is shown by diffraction of fast electrons that at a temperature of 600°C, constant flux of Si, and deposition rate of 0.652 Å/s, a series of the 1×2 superstructure reflections completely disappears, if the Si (100) substrate deviated by an angle of 0.35° to the (111) face is preliminarily heated to 1000°C. The disappearance of the 1×2 superstructure reflexes is due to the transition from the surface with monoatomic steps to that with diatomic ones. Investigations of the Ge islands' growth were carried out on the Si(100) surface preliminarily annealed at temperatures of 800 and 1000°C. It is shown that the islands tend to nucleate at the step edges.

  16. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  17. A Ge-Si active target for the measurement of short lifetimes

    International Nuclear Information System (INIS)

    Amendolia, S.R.; Bedeschi, F.; Bertolucci, E.; Bettoni, D.; Bosisio, L.; Bradaschia, C.; Dell'Orso, M.; Fidecaro, F.; Foa, L.; Focardi, E.; Giannetti, P.; Giorgi, M.A.; Marrocchesi, P.S.; Menzione, A.; Ristori, L.; Scribano, A.; Tenchini, R.; Triggiani, G.; Tonelli, G.; Bologna, G.; D'Ettorre Piazzoli, B.; Mannocchi, G.; Picchi, P.; Consiglio Nazionale delle Ricerche, Turin; D'Angelo, P.; Manfredi, P.F.; Menasce, D.; Meroni, E.; Moroni, L.; Pedrini, D.; Perasso, L.; Sala, S.; Fabbri, F.L.; Enorini, M.; Laurelli, P.; Spillantini, P.; Zallo, A.

    1984-01-01

    A new Ge-Si active target is presently used in the Na1 experiment at CERN to study photoproduction of charmed particles and to measure their lifetimes. Some general comments on the active target technique are made. (orig.)

  18. 270GHz SiGe BiCMOS manufacturing process platform for mmWave applications

    Science.gov (United States)

    Kar-Roy, Arjun; Preisler, Edward J.; Talor, George; Yan, Zhixin; Booth, Roger; Zheng, Jie; Chaudhry, Samir; Howard, David; Racanelli, Marco

    2011-11-01

    TowerJazz has been offering the high volume commercial SiGe BiCMOS process technology platform, SBC18, for more than a decade. In this paper, we describe the TowerJazz SBC18H3 SiGe BiCMOS process which integrates a production ready 240GHz FT / 270 GHz FMAX SiGe HBT on a 1.8V/3.3V dual gate oxide CMOS process in the SBC18 technology platform. The high-speed NPNs in SBC18H3 process have demonstrated NFMIN of ~2dB at 40GHz, a BVceo of 1.6V and a dc current gain of 1200. This state-of-the-art process also comes with P-I-N diodes with high isolation and low insertion losses, Schottky diodes capable of exceeding cut-off frequencies of 1THz, high density stacked MIM capacitors, MOS and high performance junction varactors characterized up to 50GHz, thick upper metal layers for inductors, and various resistors such as low value and high value unsilicided poly resistors, metal and nwell resistors. Applications of the SBC18H3 platform for millimeter-wave products for automotive radars, phased array radars and Wband imaging are presented.

  19. Photochemical process of divalent germanium responsible for photorefractive index change in GeO2-SiO2 glasses.

    Science.gov (United States)

    Sakoh, Akifumi; Takahashi, Masahide; Yoko, Toshinobu; Nishii, Junji; Nishiyama, Hiroaki; Miyamoto, Isamu

    2003-10-20

    The photoluminescence spectra of the divalent Ge (Ge2+) center in GeO2-SiO2 glasses with different photosensitivities were investigated by means of excitation-emission energy mapping. The ultraviolet light induced photorefractivity has been correlated with the local structure around the Ge2+ centers. The glasses with a larger photorefractivity tended to exhibit a greater band broadening of the singlet-singlet transition on the higher excitation energy side accompanied by an increase in the Stokes shifts. This strongly suggests the existence of highly photosensitive Ge2+ centers with higher excitation energies. It is also found that the introduction of a hydroxyl group or boron species in GeO2-SiO2 glasses under appropriate conditions modifies the local environment of Ge2+ leading to an enhanced photorefractivity.

  20. Surface sensitive mode XAFS measurement of local structure of ordered Ge nanoclusters (quantum dots) on Si(0 0 1)

    CERN Document Server

    Erenburg, S B; Mazalov, L N; Nikiforov, A I; Stepina, N P; Nenashev, A V

    2001-01-01

    Pseudomorphous Ge films have been deposited on Si(0 0 1) substrate using molecular beam epitaxy at 300 deg. C up to the critical thickness of four monolayers. As a result of the following deposition pyramid-like Ge islands have been grown in Stranski-Krastanov mode. The islands revealing quantum dots (QD) properties are self-organized during the growth in uniform Ge nanostructures with lateral sizes approx 15 nm and height approx 1.5 nm. Ge K XAFS measurements have been performed using total electron yield detection mode. It was established that pseudomorphous 4-monolayer Ge films contain about 50% Si atoms. It has been found that the Ge QD are characterized by interatomic Ge-Ge distances of 2.41 A which is 0.04 A less than in bulk Ge.

  1. Influence of irradiation on mechanical properties of Si-Ge alloys

    Energy Technology Data Exchange (ETDEWEB)

    Sichinava, Avtandil; Bokuchava, Guram; Chubinidze, Giorgi; Archuadze, Giorgi [Ilia Vekua Sukhumi Institute of Physics and Technology, Tbilisi (Georgia); Gapishvili, Nodar [Ilia Vekua Sukhumi Institute of Physics and Technology, Tbilisi (Georgia); Georgian Technical University, Tbilisi (Georgia)

    2017-07-15

    Impact of various irradiation (Ar and He ions, high energy electrons) on microhardness and indentation of monocrystalline Si{sub 0,98}Ge{sub 0,02} alloy is studied. Samples of Si and SiGe alloy are obtained by Czochralski (CZ) method in the [111] direction in the atmosphere of high purity Ar. High energy electron irradiation with fluence of ∝10{sup 12} cm{sup -2} is conducted at the Clinac 2100iX. Ar and He ion implantation is performed on modernized ''VEZUVI-3M'' plant. It is shown that for all types of irradiation the microhardness and indentation modulus versus load are characterized by reverse indentation size effect (ISE). With the increase of fluences of Ar and He ions, the maximum value of the effect increases. At high values of loading force impact on the indenter the mechanical characteristics slowly decrease. Impact of isochronous thermal annealing on mechanical properties of high energy electron irradiated samples is studied. Non-monotonic changes of microhardness and indentation modulus are revealed in the temperature range of 200-260 C. It is proposed that such changes are caused by radiation defects transformation. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Electronic states and phonon properties of Ge{sub x}Si{sub 1−x} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, P.Q. [Department of Applied Physics, Nanjing Tech University, Nanjing 211816 (China); National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China); Liu, L.Z. [National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China); Yang, Y.M. [Department of Physics, Southern University, Nanjing 210096 (China); Wu, X.L., E-mail: hkxlwu@nju.edu.cn [National Laboratory of Solid State Microstructures and Department of Physics, Nanjing University, Nanjing 210093 (China); Department of Physics, NingBo University, NingBo 3153001 (China)

    2015-07-15

    Ge{sub x}Si{sub 1−x} nanostructures that can be manipulated through size reduction, geometry variation, and alloying, are considered as one of the key developments for next generation technologies, due to their easy processing, unique properties, and compatibility with the existent silicon-based microelectronic industry. In this review, we have thoroughly discussed the major advances in electronic structures and phonon properties of Ge{sub x}Si{sub 1−x} nanocrystals (NCs). Experimental and theoretical characterization related to several main factors, for example, size, composition, strain, temperature, and interface and surface were presented with special emphasis in low-frequency Raman scattering. Current difficulties in explaining the Raman spectra are the assignment of the low-frequency modes because of the complexity of the environment around the NCs, thus different theoretical models are introduced in detail to deal with different properties of Ge{sub x}Si{sub 1−x} alloy NCs including Lamb’s theory, complex-frequency (CF) model, core–shell matrix (CMS) model and spatial coherence effect model. - Highlights: • Major advances in electronic structures and phonon properties of Ge{sub x}Si{sub 1−x} nanocrystals are discussed thoroughly. • Experimental and theoretical characterization related to size, composition, strain, temperature, and interface/surface are elucidated. • Low-frequency Raman spectra are specially described based on spatial coherence effect model.

  3. Enhancement-mode two-channel triple quantum dot from an undoped Si/Si0.8Ge0.2 quantum well hetero-structure.

    Energy Technology Data Exchange (ETDEWEB)

    Studenikin, S. A.; Gaudreau, L.; Kataoka, K.; Austing, D. G.; Lu, Tzu-Ming; Luhman, Dwight; Bethke, Donald Thomas; Wanke, Michael; Lilly, Michael; Carroll, Malcolm S.; Sachrajda, A. S.

    2017-12-01

    We demonstrate coupled triple dot operation and charge sensing capability for the recently introduced quantum dot technology employing undoped Si/Si0.8Ge0.2 hetero-structures which also incorporate a single metal-gate layer to simplify fabrication [T. M. Lu et al., Appl. Phys. Lett. 109, 093102 (2016)]. Si/SiGe hetero-structures with a Ge concentration of 20% rather than the more usual 30% typically encountered offer higher electron mobility. The devices consist of two in-plane parallel electron channels that host a double dot in one channel and a single dot in the other channel. In a device where the channels are sufficiently close a triple dot in a triangular configuration is induced leading to regions in the charge stability diagram where three addition lines of different slope approach each other and anti-cross. In a device where the channels are further apart the single dot charge-senses the double dot with relative change of ~2% in the sensor current. We also highlight temporal drifting and metastability of the Coulomb oscillations. These effects are induced if the temperature environment of the device is not kept constant and arise from non-equilibrium charge redistribution and subsequent slow recovery.

  4. Axial Ge/Si nanowire heterostructure tunnel FETs

    Energy Technology Data Exchange (ETDEWEB)

    Picraux, Sanuel T [Los Alamos National Laboratory; Daych, Shadi A [Los Alamos National Laboratory

    2010-01-01

    The vapor-liquid-solid (VLS) growth of semiconductor nanowires allows doping and composition modulation along their axis and the realization of axial 1 D heterostructures. This provides additional flexibility in energy band-edge engineering along the transport direction which is difficult to attain by planar materials growth and processing techniques. We report here on the design, growth, fabrication, and characterization of asymmetric heterostructure tunnel field-effect transistors (HTFETs) based on 100% compositionally modulated Si/Ge axial NWs for high on-current operation and low ambipolar transport behavior. We discuss the optimization of band-offsets and Schottky barrier heights for high performance HTFETs and issues surrounding their experimental realization. Our HTFET devices with 10 nm PECVD SiN{sub x} gate dielectric resulted in a measured current drive exceeding 100 {mu}A/{mu}m (I/{pi}D) and 10{sup 5} I{sub on}/I{sub off} ratios.

  5. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers

    International Nuclear Information System (INIS)

    Radamson, H.H.; Kolahdouz, M.; Ghandi, R.; Ostling, M.

    2008-01-01

    This work presents the selective epitaxial growth (SEG) of Si 1-x Ge x (x = 0.15-0.315) layers with high amount of boron (1 x 10 20 -1 x 10 21 cm -3 ) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers

  6. Performance enhancement in p-channel charge-trapping flash memory devices with Si/Ge super-lattice channel and band-to-band tunneling induced hot-electron injection

    International Nuclear Information System (INIS)

    Liu, Li-Jung; Chang-Liao, Kuei-Shu; Jian, Yi-Chuen; Wang, Tien-Ko; Tsai, Ming-Jinn

    2013-01-01

    P-channel charge-trapping flash memory devices with Si, SiGe, and Si/Ge super-lattice channel are investigated in this work. A Si/Ge super-lattice structure with extremely low roughness and good crystal structure is obtained by precisely controlling the epitaxy thickness of Ge layer. Both programming and erasing (P/E) speeds are significantly improved by employing this Si/Ge super-lattice channel. Moreover, satisfactory retention and excellent endurance characteristics up to 10 6 P/E cycles with 3.8 V memory window show that the degradation on reliability properties is negligible when super-lattice channel is introduced. - Highlights: ► A super-lattice structure is proposed to introduce more Ge content into channel. ► Super-lattice structure possesses low roughness and good crystal structure. ► P-channel flash devices with Si, SiGe, and super-lattice channel are investigated. ► Programming/erasing speeds are significantly improved. ► Reliability properties can be kept for device with super-lattice channel

  7. Investigation of passivity and its breakdown on Fe3Al–Si and Fe3Al–Ge intermetallics in chloride-containing solution

    International Nuclear Information System (INIS)

    Rosalbino, F.; Carlini, R.; Parodi, R.; Zanicchi, G.; Scavino, G.

    2014-01-01

    Highlights: • Passivity and its breakdown on Fe 3 Al–Si and Fe 3 Al–Ge iron aluminides was investigated. • Investigation was performed in borate buffer solution with and without 100 mM KCl. • Polarization, potentiostatic transients and impedance measurements have been employed. • Results have been compared with those obtained on Fe 3 Al intermetallic. • Si and Ge improve the resistance to localized corrosion of Fe 3 Al. - Abstract: The passivity and passivity breakdown of Fe 3 Al–Si and Fe 3 Al–Ge iron aluminides were studied in borate buffer solution (pH 8.4) in the absence and presence of 100 mM KCl, performing potentiodynamic polarization, potentiostatic transients and electrochemical impedance spectroscopy (EIS) measurements complemented with scanning electron microscopy (SEM). In the absence of chloride ions Si and Ge exercise a beneficial role in the passivating characteristics of Fe 3 Al intermetallic. Addition of Si or Ge significantly modifies the electrochemical response of iron aluminide Fe 3 Al resulting in a more stable passive film. In the presence of chloride ions all the intermetallic compounds experience localized corrosion (pitting). However, Si and Ge alloying additions increase the breakdown potential and the extent of passivation domain, indicating improved resistance to initiation of pitting corrosion. Furthermore, EIS measurements performed at the breakdown state evidenced higher R ct and lower depression angle values for Fe 3 Al–Si and Fe 3 Al–Ge iron aluminides compared to Fe 3 Al intermetallic, confirming their better localized corrosion behavior. The improved resistance to pitting corrosion results from the enhanced protective function of passive film due to the presence of Si or Ge that inhibit pit initiation by hindering the adsorption of Cl − ions at the metal surface

  8. Ultra-broadband Nonlinear Microwave Monolithic Integrated Circuits in SiGe, GaAs and InP

    DEFF Research Database (Denmark)

    Krozer, Viktor; Johansen, Tom Keinicke; Djurhuus, Torsten

    2006-01-01

    .5 GHz and ≫ 10 GHz for SiGe BiCMOS and GaAs MMIC, respectively. Analysis of the frequency behaviour of frequency converting devices is presented for improved mixer design. Millimeter-wave front-end components for advanced microwave imaging and communications purposes have also been demonstrated......Analog MMIC circuits with ultra-wideband operation are discussed in view of their frequency limitation and different circuit topologies. Results for designed and fabricated frequency converters in SiGe, GaAs, and InP technologies are presented in the paper. RF type circuit topologies exhibit a flat...... conversion gain with a 3 dB bandwidth of 10 GHz for SiGe and in excess of 20 GHz for GaAs processes. The concurrent LO-IF isolation is better than -25 dB, without including the improvement due to the combiner circuit. The converter circuits exhibit similar instantaneous bandwidth at IF and RF ports of ≫ 7...

  9. Interfacial Phonon Transport Through Si/Ge Multilayer Film Using Monte Carlo Scheme With Spectral Transmissivity

    Directory of Open Access Journals (Sweden)

    Xin Ran

    2018-05-01

    Full Text Available The knowledge of interfacial phonon transport accounting for detailed phonon spectral properties is desired because of its importance for design of nanoscale energy systems. In this work, we investigate the interfacial phonon transport through Si/Ge multilayer films using an efficient Monte Carlo scheme with spectral transmissivity, which is validated for cross-plane phonon transport through both Si/Ge single-layer and Si/Ge bi-layer thin films by comparing with the discrete-ordinates solution. Different thermal boundary conductances between even the same material pair are declared at different interfaces within the multilayer system. Furthermore, the thermal boundary conductances at different interfaces show different trends with varying total system size, with the variation slope, very different as well. The results are much different from those in the bi-layer thin film or periodic superlattice. These unusual behaviors can be attributed to the combined interfacial local non-equilibrium effect and constraint effect from other interfaces.

  10. Internal Friction of (SiO2)1-x (GeO2)x Glasses

    OpenAIRE

    Kosugi , T.; Kobayashi , H.; Kogure , Y.

    1996-01-01

    Internal friction of (SiO2)1-x (GeO2)x glasses (x = 0, 5, 10, 24 and 100 mole%) is measured at temperatures between 1.6 and 280 K. The data are filted with the equations for thermally activated relaxation with distributing activation energies in symmetrical double-well potentials. From the determined relaxation strength spectra for each sample, the contributions from each type of microscopic structural units are calculated assuming that transverse motion of the bridging O atom in Si-O-Si, Si-...

  11. The enhancement of the interdiffusion in Si/Ge amorphous artificial multilayers by additions of B and Au

    International Nuclear Information System (INIS)

    Park, B.; Spaepen, F.; Poate, J.M.; Jacobson, D.C.

    1990-01-01

    Amorphous Si/amorphous Ge artificial multilayers were prepared by ion beam sputtering. Boron or gold impurities were introduced into the Si/Ge multilayers by ion implantation or during the sputtering deposition. Diffusion coefficients were determined by measuring the decrease in the intensity of the first order X-ray diffraction peak resulting from the composition modulation. It was found that the interdiffusion of Si and Ge in their amorphous phase can be enhanced by doping. The enhancement factor is independent of the degree of structural relaxation, as observed by the decrease of diffusivity with annealing time, of the amorphous phase. A model is proposed that describes this behavior in terms of electronic effects, introduced by the dopants, on the pre-existing structural defects governing diffusion

  12. Electrical characteristics of SiGe-base bipolar transistors on thin-film SOI substrates

    International Nuclear Information System (INIS)

    Liao, Shu-Hui; Chang, Shu-Tong

    2010-01-01

    This paper, based on two-dimensional simulations, provides a comprehensive analysis of the electrical characteristics of the Silicon germanium (SiGe)-base bipolar transistors on thin-film siliconon-insulator (SOI) substrates. The impact of the buried oxide thickness (T OX ), the emitter width (W E ), and the lateral distance between the edge of the intrinsic base and the reach-through region (L col ) on both the AC and DC device characteristics was analyzed in detail. Regarding the DC characteristics, the simulation results suggest that a thicker T OX gives a larger base-collector breakdown voltage (BV CEO ), whereas reducing the T OX leads to an enhanced maximum electric field at the B-C junction. As for the AC characteristics, cut-off frequency (f T ) increases slightly with increasing buried oxide thickness and finally saturates to a constant value when the buried oxide thickness is about 0.15 μm. The collector-substrate capacitance (C CS ) decreases with increasing buried oxide thickness while the maximum oscillation frequency (f max ) increases with increasing buried oxide thickness. Furthermore, the impact of self-heating effects in the device was analyzed in various areas. The thermal resistance as a function of the buried oxide thickness indicates that the thermal resistance of the SiGe-base bipolar transistor on a SOI substrate is slightly higher than that of a bulk SiGe-base bipolar transistor. The thermal resistance is reduced by ∼37.89% when the emitter width is increased by a factor of 5 for a fixed buried oxide thickness of 0.1 μm. All the results can be used to design and optimize SiGe-base bipolar transistors on SOI substrates with minimum thermal resistance to enhance device performance.

  13. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  14. Development of Ge/NbSi detectors for EDELWEISS-II with identification of near-surface events

    International Nuclear Information System (INIS)

    Juillard, A.; Marnieros, S.; Dolgorouky, Y.; Berge, L.; Collin, S.; Fiorucci, S.; Lalu, F.; Dumoulin, L.

    2006-01-01

    The actual limitation of Ge ionization heat cryogenic detectors for direct WIMP detection such as EDELWEISS arises from incomplete charge collection for near-surface events. We present results on Ge/NbSi detectors that are fitted with segmented electrodes and two NbSi Anderson insulator thermometric layers. Three such bolometers were studied in the low-background cryostat of the EDELWEISS collaboration in the LSM: analysis of the athermal signals allows us to identify and reject events occurring in the first millimeter under the electrodes

  15. Development of Ge/NbSi detectors for EDELWEISS-II with identification of near-surface events

    Energy Technology Data Exchange (ETDEWEB)

    Juillard, A. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France)]. E-mail: juillard@csnsm.in2p3.fr; Marnieros, S. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Dolgorouky, Y. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Berge, L. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Collin, S. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Fiorucci, S. [C.E.A, Centre d' etudes Nucleaires de Saclay, DSM/DAPNIA, Gif. Yvette, Cedex 91191n (France); Lalu, F. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Dumoulin, L. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France)

    2006-04-15

    The actual limitation of Ge ionization heat cryogenic detectors for direct WIMP detection such as EDELWEISS arises from incomplete charge collection for near-surface events. We present results on Ge/NbSi detectors that are fitted with segmented electrodes and two NbSi Anderson insulator thermometric layers. Three such bolometers were studied in the low-background cryostat of the EDELWEISS collaboration in the LSM: analysis of the athermal signals allows us to identify and reject events occurring in the first millimeter under the electrodes.

  16. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: rad@kth.se; Kolahdouz, M.; Ghandi, R.; Ostling, M. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-12-05

    This work presents the selective epitaxial growth (SEG) of Si{sub 1-x}Ge{sub x} (x = 0.15-0.315) layers with high amount of boron (1 x 10{sup 20}-1 x 10{sup 21} cm{sup -3}) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers.

  17. Structural and thermodynamic similarities of phases in the Li-Tt (Tt = Si, Ge) systems: redetermination of the lithium-rich side of the Li-Ge phase diagram and crystal structures of Li17Si4.0-xGex for x = 2.3, 3.1, 3.5, and 4 as well as Li4.1Ge.

    Science.gov (United States)

    Zeilinger, Michael; Fässler, Thomas F

    2014-10-28

    A reinvestigation of the lithium-rich section of the Li-Ge phase diagram reveals the existence of two new phases, Li17Ge4 and Li4.10Ge (Li16.38Ge4). Their structures are determined by X-ray diffraction experiments of large single crystals obtained from equilibrated melts with compositions Li95Ge5 and Li85Ge15. Excess melt is subsequently removed through isothermal centrifugation at 400 °C and 530 °C, respectively. Li17Ge4 crystallizes in the space group F4[combining macron]3m (a = 18.8521(3) Å, V = 6700.1(2) Å(3), Z = 20, T = 298 K) and Li4.10Ge (Li16.38Ge4) in Cmcm (a = 4.5511(2) Å, b = 22.0862(7) Å, c = 13.2751(4) Å, V = 1334.37(8) Å(3), Z = 16, T = 123 K). Both phases are isotypic with their Si counterparts and are further representative of the Li17Pb4 and Li4.11Si structure types. Additionally, the solid solutions Li17Si4-xGex follows Vegard's law. A comparison of the GeLin coordination polyhedra shows that isolated Ge atoms are 13- and 14-coordinated in Li17Ge4, whereas in Li16.38Ge4 the Ge atoms possess coordination numbers 12 and 13. Regarding the thermodynamic stability, Li16.38Ge4 is assigned a high-temperature phase existing between ∼400 °C and 627 °C, whereas Li17Ge4 decomposes peritectically at 520-522 °C. Additionally, the decomposition of Li16.38Ge4 below ∼400 °C was found to be very sluggish. These findings are manifested by differential scanning calorimetry, long-term annealing experiments and the results from melt equilibration experiments. Interestingly, the thermodynamic properties of the lithium-rich tetrelides Li17Tt4 and Li4.1Tt (Li16.4Tt4) are very similar (Tt = Si, Ge). Besides Li15Tt4, Li14Tt6, Li12Tt7, and LiTt, the title compounds are further examples of isotypic tetrelides in the systems Li-Tt.

  18. DC heating induced shape transformation of Ge structures on ultraclean Si(5 5 12) surfaces.

    Science.gov (United States)

    Dash, J K; Rath, A; Juluri, R R; Raman, P Santhana; Müller, K; Rosenauer, A; Satyam, P V

    2011-04-06

    We report the growth of Ge nanostructures and microstructures on ultraclean, high vicinal angle silicon surfaces and show that self-assembled growth at optimum thickness of the overlayer leads to interesting shape transformations, namely from nanoparticle to trapezoidal structures, at higher thickness values. Thin films of Ge of varying thickness from 3 to 12 ML were grown under ultrahigh vacuum conditions on a Si(5 5 12) substrate while keeping the substrate at a temperature of 600 °C. The substrate heating was achieved by two methods: (i) by heating a filament under the substrate (radiative heating, RH) and (ii) by passing direct current through the samples in three directions (perpendicular, parallel and at 45° to the (110) direction of the substrate). We find irregular, more spherical-like island structures under RH conditions. The shape transformations have been found under DC heating conditions and for Ge deposition more than 8 ML thick. The longer sides of the trapezoid structures are found to be along (110) irrespective of the DC current direction. We also show the absence of such a shape transformation in the case of Ge deposition on Si(111) substrates. Scanning transmission electron microscopy measurements suggested the mixing of Ge and Si. This has been confirmed with a quantitative estimation of the intermixing using Rutherford backscattering spectrometry (RBS) measurements. The role of DC heating in the formation of aligned structures is discussed. Although the RBS simulations show the presence of a possible SiO(x) layer, under the experimental conditions of the present study, the oxide layer would not play a role in determining the formation of the various structures that were reported here.

  19. Electronic properties of single Ge/Si quantum dot grown by ion beam sputtering deposition.

    Science.gov (United States)

    Wang, C; Ke, S Y; Yang, J; Hu, W D; Qiu, F; Wang, R F; Yang, Y

    2015-03-13

    The dependence of the electronic properties of a single Ge/Si quantum dot (QD) grown by the ion-beam sputtering deposition technique on growth temperature and QD diameter is investigated by conductive atomic force microscopy (CAFM). The Si-Ge intermixing effect is demonstrated to be important for the current distribution of single QDs. The current staircase induced by the Coulomb blockade effect is observed at higher growth temperatures (>700 °C) due to the formation of an additional barrier between dislocated QDs and Si substrate for the resonant tunneling of holes. According to the proposed single-hole-tunneling model, the fact that the intermixing effect is observed to increase as the incoherent QD size decreases may explain the increase in the starting voltage of the current staircase and the decrease in the current step width.

  20. Determination of hydrogen concentration in a-Si and a-Ge layers by elastic recoil detection analysis

    International Nuclear Information System (INIS)

    Khanh, N.Q.; Serenyi, M.

    2010-01-01

    Compete text of publication follows. Hydrogenated amorphous Si and Ge films are of current interest in academic and industrial research due to their unique physical properties and important applications. The incorporation of hydrogen in the amorphous network is an accepted means for reducing the density of defect states in the midgap. The passivation of dangling-bonds leads to a significant improvement in the electronic and optical properties of these layers. However, hydrogen is also suspected to degrade the performance of amorphous Si and Ge material and devices. Several studies related to hydrogen motion have been proposed to explain the light and thermal degradation effect in these layers. Thus to improve the performance and reliability of these devices, it is crucially important to understand the role of hydrogen in amorphous layers. In our previous works the structural changes of hydrogenated a-Si/Ge multilayers as a function of annealing condition was investigated. It was shown that during annealing the samples underwent significant structural changes. Due to the fast out-diffusion of hydrogen from the layers prepared with high (6 ml/min) H 2 flow rate, bubbles and craters were created on the surface. However, in the multilayer samples prepared with hydrogen flow rate lower than 6 ml/min the macroscopic degradation by formation bubbles and craters was more moderated. The diffusion measurement shows that in these samples the structural degradation and intermixing of layers was slower than in the non-hydrogenated samples. As it was suggested the hydrogen can inactivate the dangling bonds of amorphous layers and, as a result of this, the intermixing slows down. It was also predicted that the hydrogen first released from the Ge layers because of the lower binding energy. In this work, we have studied the individual a-Si and a-Ge hydrogenated layers prepared by RF sputtering on Si (100) substrates. The absolute value of atomic content of the H was determined by