WorldWideScience

Sample records for electrochemical atomic layer

  1. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  2. The possibility of multi-layer nanofabrication via atomic force microscope-based pulse electrochemical nanopatterning

    Science.gov (United States)

    Kim, Uk Su; Morita, Noboru; Lee, Deug Woo; Jun, Martin; Park, Jeong Woo

    2017-05-01

    Pulse electrochemical nanopatterning, a non-contact scanning probe lithography process using ultrashort voltage pulses, is based primarily on an electrochemical machining process using localized electrochemical oxidation between a sharp tool tip and the sample surface. In this study, nanoscale oxide patterns were formed on silicon Si (100) wafer surfaces via electrochemical surface nanopatterning, by supplying external pulsed currents through non-contact atomic force microscopy. Nanoscale oxide width and height were controlled by modulating the applied pulse duration. Additionally, protruding nanoscale oxides were removed completely by simple chemical etching, showing a depressed pattern on the sample substrate surface. Nanoscale two-dimensional oxides, prepared by a localized electrochemical reaction, can be defined easily by controlling physical and electrical variables, before proceeding further to a layer-by-layer nanofabrication process.

  3. Optimization studies of HgSe thin film deposition by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-06-01

    Full Text Available Studies of the optimization of HgSe thin film deposition using electrochemical atomic layer epitaxy (EC-ALE) are reported. Cyclic voltammetry was used to obtain approximate deposition potentials for each element. These potentials were then coupled...

  4. Layering and Ordering in Electrochemical Double Layers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yihua [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States; Kawaguchi, Tomoya [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States; Pierce, Michael S. [Rochester Institute of Technology, School of Physics and Astronomy, Rochester, New York 14623, United States; Komanicky, Vladimir [Faculty of Science, Safarik University, 041 54 Kosice, Slovakia; You, Hoydoo [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, United States

    2018-02-26

    Electrochemical double layers (EDL) form at electrified interfaces. While Gouy-Chapman model describes moderately charged EDL, formation of Stern layers was predicted for highly charged EDL. Our results provide structural evidence for a Stern layer of cations, at potentials close to hydrogen evolution in alkali fluoride and chloride electrolytes. Layering was observed by x-ray crystal truncation rods and atomic-scale recoil responses of Pt(111) surface layers. Ordering in the layer is confirmed by glancing-incidence in-plane diffraction measurements.

  5. Recent Development of Advanced Electrode Materials by Atomic Layer Deposition for Electrochemical Energy Storage.

    Science.gov (United States)

    Guan, Cao; Wang, John

    2016-10-01

    Electrode materials play a decisive role in almost all electrochemical energy storage devices, determining their overall performance. Proper selection, design and fabrication of electrode materials have thus been regarded as one of the most critical steps in achieving high electrochemical energy storage performance. As an advanced nanotechnology for thin films and surfaces with conformal interfacial features and well controllable deposition thickness, atomic layer deposition (ALD) has been successfully developed for deposition and surface modification of electrode materials, where there are considerable issues of interfacial and surface chemistry at atomic and nanometer scale. In addition, ALD has shown great potential in construction of novel nanostructured active materials that otherwise can be hardly obtained by other processing techniques, such as those solution-based processing and chemical vapor deposition (CVD) techniques. This review focuses on the recent development of ALD for the design and delivery of advanced electrode materials in electrochemical energy storage devices, where typical examples will be highlighted and analyzed, and the merits and challenges of ALD for applications in energy storage will also be discussed.

  6. The Electrochemical Atomic Layer Deposition of Pt and Pd nanoparticles on Ni foam for the electrooxidation of alcohols

    CSIR Research Space (South Africa)

    Modibedi, RM

    2012-10-01

    Full Text Available Electrodeposition of Pt and Pd metal by surface limited redox replacement reactions was performed using the electrochemical atomic layer deposition. Carbon paper and Ni foam were used as substrates for metal deposition. Supported Pt and Pd...

  7. Atomic Layer Deposition Alumina-Passivated Silicon Nanowires: Probing the Transition from Electrochemical Double-Layer Capacitor to Electrolytic Capacitor.

    Science.gov (United States)

    Gaboriau, Dorian; Boniface, Maxime; Valero, Anthony; Aldakov, Dmitry; Brousse, Thierry; Gentile, Pascal; Sadki, Said

    2017-04-19

    Silicon nanowires were coated by a 1-5 nm thin alumina layer by atomic layer deposition (ALD) in order to replace poorly reproducible and unstable native silicon oxide by a highly conformal passivating alumina layer. The surface coating enabled probing the behavior of symmetric devices using such electrodes in the EMI-TFSI electrolyte, allowing us to attain a large cell voltage up to 6 V in ionic liquid, together with very high cyclability with less than 4% capacitance fade after 10 6 charge/discharge cycles. These results yielded fruitful insights into the transition between an electrochemical double-layer capacitor behavior and an electrolytic capacitor behavior. Ultimately, thin ALD dielectric coatings can be used to obtain hybrid devices exhibiting large cell voltage and excellent cycle life of dielectric capacitors, while retaining energy and power densities close to the ones displayed by supercapacitors.

  8. Electrochemical Characterization of TiO 2 Blocking Layers for Dye-Sensitized Solar Cells

    KAUST Repository

    Kavan, Ladislav

    2014-07-31

    Thin compact layers of TiO2 are grown by thermal oxidation of Ti, by spray pyrolysis, by electrochemical deposition, and by atomic layer deposition. These layers are used in dye-sensitized solar cells to prevent recombination of electrons from the substrate (FTO or Ti) with the hole-conducting medium at this interface. The quality of blocking is evaluated electrochemically by methylviologen, ferro/ferricyanide, and spiro-OMeTAD as the model redox probes. Two types of pinholes in the blocking layers are classified, and their effective area is quantified. Frequency-independent Mott-Schottky plots are fitted from electrochemical impedance spectroscopy. Certain films of the thicknesses of several nanometers allow distinguishing the depletion layer formation both in the TiO2 film and in the FTO substrate underneath the titania film. The excellent blocking function of thermally oxidized Ti, electrodeposited film (60 nm), and atomic-layer-deposited films (>6 nm) is documented by the relative pinhole area of less than 1%. However, the blocking behavior of electrodeposited and atomic-layer-deposited films is strongly reduced upon calcination at 500 °C. The blocking function of spray-pyrolyzed films is less good but also less sensitive to calcination. The thermally oxidized Ti is well blocking and insensitive to calcination. © 2014 American Chemical Society.

  9. Electrochemical Characterization of TiO 2 Blocking Layers for Dye-Sensitized Solar Cells

    KAUST Repository

    Kavan, Ladislav; Té treault, Nicolas; Moehl, Thomas; Grä tzel, Michael

    2014-01-01

    Thin compact layers of TiO2 are grown by thermal oxidation of Ti, by spray pyrolysis, by electrochemical deposition, and by atomic layer deposition. These layers are used in dye-sensitized solar cells to prevent recombination of electrons from

  10. Determination of antimony by using a quartz atom trap and electrochemical hydride generation atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Menemenlioglu, Ipek; Korkmaz, Deniz [Department of Chemistry, Middle East Technical University, 06531 Ankara (Turkey); Ataman, O. Yavuz [Department of Chemistry, Middle East Technical University, 06531 Ankara (Turkey)], E-mail: ataman@metu.edu.tr

    2007-01-15

    The analytical performance of a miniature quartz trap coupled with electrochemical hydride generator for antimony determination is described. A portion of the inlet arm of the conventional quartz tube atomizer was used as an integrated trap medium for on-line preconcentration of electrochemically generated hydrides. This configuration minimizes transfer lines and connections. A thin-layer of electrochemical flow through cell was constructed. Lead and platinum foils were employed as cathode and anode materials, respectively. Experimental operation conditions for hydride generation as well as the collection and revolatilization conditions for the generated hydrides in the inlet arm of the quartz tube atomizer were optimized. Interferences of copper, nickel, iron, cobalt, arsenic, selenium, lead and tin were examined both with and without the trap. 3{sigma} limit of detection was estimated as 0.053 {mu}g l{sup -1} for a sample size of 6.0 ml collected in 120 s. The trap has provided 18 fold sensitivity improvement as compared to electrochemical hydride generation alone. The accuracy of the proposed technique was evaluated with two standard reference materials; Trace Metals in Drinking Water, Cat CRM-TMDW and Metals on Soil/Sediment 4, IRM-008.

  11. Atom-scale covalent electrochemical modification of single-layer graphene on SiC substrates by diaryliodonium salts

    International Nuclear Information System (INIS)

    Gearba, Raluca I.; Mueller, Kory M.; Veneman, Peter A.; Holliday, Bradley J.; Chan, Calvin K.; Stevenson, Keith J.

    2015-01-01

    Owing to its high conductivity, graphene holds promise as an electrode for energy devices such as batteries and photovoltaics. However, to this end, the work function and doping levels in graphene need to be precisely tuned. One promising route for modifying graphene's electronic properties is via controlled covalent electrochemical grafting of molecules. We show that by employing diaryliodonium salts instead of the commonly used diazonium salts, spontaneous functionalization is avoided. This then allows for precise tuning of the grafting density. Moreover, by employing bis(4-nitrophenyl)iodonium(III) tetrafluoroborate (DNP) salt calibration curves, the surface functionalization density (coverage) of glassy carbon was controlled using cyclic voltammetry in varying salt concentrations. These electro-grafting conditions and calibration curves translated directly over to modifying single layer epitaxial graphene substrates (grown on insulating 6H-SiC (0 0 0 1)). In addition to quantifying the functionalization densities using electrochemical methods, samples with low grafting densities were characterized by low-temperature scanning tunneling microscopy (LT-STM). We show that the use of buffer-layer free graphene substrates is required for clear observation of the nitrophenyl modifications. Furthermore, atomically-resolved STM images of single site modifications were obtained, showing no preferential grafting at defect sites or SiC step edges as supposed previously in the literature. Most of the grafts exhibit threefold symmetry, but occasional extended modifications (larger than 4 nm) were observed as well

  12. Nanostructured layer-by-layer films containing phaeophytin-b: Electrochemical characterization for sensing purposes

    International Nuclear Information System (INIS)

    Nunes Pauli, Gisele Elias; Araruna, Felipe B.; Eiras, Carla; Leite, José Roberto S.A.; Chaves, Otemberg Souza; Filho, Severino Gonçalves Brito; Vanderlei de Souza, Maria de Fátima; Chavero, Lucas Natálio; Sartorelli, Maria Luisa

    2015-01-01

    This paper reports the study and characterization of a new platform for practical applications, where the use of phaeophytin-b (phaeo-b), a compound derived from chlorophyll, was characterized and investigated for sensing purposes. Modified electrodes with nanostructured phaeo-b films were fabricated via the layer-by-layer (LbL) technique, where phaeo-b was assembled with cashew gum, a polysaccharide, or with poly(allylamine) hydrochloride (PAH). The multilayer formation was investigated with UV–Vis spectroscopy by monitoring the absorption band associated to phaeo-b at approximately 410 nm, where distinct molecular interactions between the materials were verified. The morphology of the films was analyzed by atomic force microscopy (AFM). The electrochemical properties through redox behavior of phaeo-b were studied with cyclic voltammetry. The produced films were applied as sensors for hydrogen peroxide (H 2 O 2 ) detection. In terms of sensing, the cashew/phaeo-b film exhibited the most promising result, with a fast response and broad linear range upon the addition of H 2 O 2 . This approach provides a simple and inexpensive method for development of a nonenzymatic electrochemical sensor for H 2 O 2 . - Highlights: • Potential applications of phaeophytin-b • Low-cost method to produce sensitive nanostructured films • Electrochemical sensor based on phaeophytin-b and cashew gum

  13. Finite Bias Calculations to Model Interface Dipoles in Electrochemical Cells at the Atomic Scale

    DEFF Research Database (Denmark)

    Hansen, Martin Hangaard; Jin, Chengjun; Thygesen, Kristian Sommer

    2016-01-01

    The structure of an electrochemical interface is not determined by any external electrostatic field, but rather by external chemical potentials. This paper demonstrates that the electric double layer should be understood fundamentally as an internal electric field set up by the atomic structure...

  14. (Invited) Atomic Layer Deposition for Novel Dye-Sensitized Solar Cells

    KAUST Repository

    Tétreault, Nicolas

    2011-01-01

    Herein we present the latest fabrication and characterization techniques for atomic layer deposition of Al 2O 3, ZnO, SnO 2, Nb 2O 5, HfO 2, Ga 2O 3 and TiO 2 for research on dye-sensitized solar cell. In particular, we review the fabrication of state-of-the-art 3D host-passivation-guest photoanodes and ZnO nanowires as well as characterize the deposited thin films using spectroscopic ellipsometry, X-ray diffraction, Hall effect, J-V curves and electrochemical impedance spectroscopy. ©The Electrochemical Society.

  15. Atomic Layer Deposition of Stable LiAlF4 Lithium Ion Conductive Interfacial Layer for Stable Cathode Cycling.

    Science.gov (United States)

    Xie, Jin; Sendek, Austin D; Cubuk, Ekin D; Zhang, Xiaokun; Lu, Zhiyi; Gong, Yongji; Wu, Tong; Shi, Feifei; Liu, Wei; Reed, Evan J; Cui, Yi

    2017-07-25

    Modern lithium ion batteries are often desired to operate at a wide electrochemical window to maximize energy densities. While pushing the limit of cutoff potentials allows batteries to provide greater energy densities with enhanced specific capacities and higher voltage outputs, it raises key challenges with thermodynamic and kinetic stability in the battery. This is especially true for layered lithium transition-metal oxides, where capacities can improve but stabilities are compromised as wider electrochemical windows are applied. To overcome the above-mentioned challenges, we used atomic layer deposition to develop a LiAlF 4 solid thin film with robust stability and satisfactory ion conductivity, which is superior to commonly used LiF and AlF 3 . With a predicted stable electrochemical window of approximately 2.0 ± 0.9 to 5.7 ± 0.7 V vs Li + /Li for LiAlF 4 , excellent stability was achieved for high Ni content LiNi 0.8 Mn 0.1 Co 0.1 O 2 electrodes with LiAlF 4 interfacial layer at a wide electrochemical window of 2.75-4.50 V vs Li + /Li.

  16. Nanostructured layer-by-layer films containing phaeophytin-b: Electrochemical characterization for sensing purposes

    Energy Technology Data Exchange (ETDEWEB)

    Nunes Pauli, Gisele Elias [Departamento de Física, Universidade Federal de Santa Catarina, Florianópolis, SC 88040900 (Brazil); Araruna, Felipe B. [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Ministro Reis Velloso, CMRV, Universidade Federal do Piauí, UFPI, Parnaíba (Brazil); Eiras, Carla [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Ministro Reis Velloso, CMRV, Universidade Federal do Piauí, UFPI, Parnaíba (Brazil); Laboratório Interdisciplinar de Materiais Avançados, LIMAV, CCN, UFPI, Teresina, PI 64049-550 (Brazil); Leite, José Roberto S.A. [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Ministro Reis Velloso, CMRV, Universidade Federal do Piauí, UFPI, Parnaíba (Brazil); Chaves, Otemberg Souza; Filho, Severino Gonçalves Brito; Vanderlei de Souza, Maria de Fátima [Programa de Pós-Graduação em Produtos Naturais e Sintéticos Bioativos, Universidade Federal da Paraíba, 58051-970 João Pessoa, Paraíba (Brazil); Chavero, Lucas Natálio; Sartorelli, Maria Luisa [Departamento de Física, Universidade Federal de Santa Catarina, Florianópolis, SC 88040900 (Brazil); and others

    2015-02-01

    This paper reports the study and characterization of a new platform for practical applications, where the use of phaeophytin-b (phaeo-b), a compound derived from chlorophyll, was characterized and investigated for sensing purposes. Modified electrodes with nanostructured phaeo-b films were fabricated via the layer-by-layer (LbL) technique, where phaeo-b was assembled with cashew gum, a polysaccharide, or with poly(allylamine) hydrochloride (PAH). The multilayer formation was investigated with UV–Vis spectroscopy by monitoring the absorption band associated to phaeo-b at approximately 410 nm, where distinct molecular interactions between the materials were verified. The morphology of the films was analyzed by atomic force microscopy (AFM). The electrochemical properties through redox behavior of phaeo-b were studied with cyclic voltammetry. The produced films were applied as sensors for hydrogen peroxide (H{sub 2}O{sub 2}) detection. In terms of sensing, the cashew/phaeo-b film exhibited the most promising result, with a fast response and broad linear range upon the addition of H{sub 2}O{sub 2}. This approach provides a simple and inexpensive method for development of a nonenzymatic electrochemical sensor for H{sub 2}O{sub 2}. - Highlights: • Potential applications of phaeophytin-b • Low-cost method to produce sensitive nanostructured films • Electrochemical sensor based on phaeophytin-b and cashew gum.

  17. Layer-by-Layer films based on biopolymers extracted from red seaweeds and polyaniline for applications in electrochemical sensors of chromium VI

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira Farias, Emanuel Airton de; Corrêa dos Santos, Marianne; Araujo Dionísio, Natália de; Quelemes, Patrick V.; Souza Almeida Leite, José Roberto de [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, CMRV, UFPI, Parnaíba, PI 64202-020 (Brazil); Eaton, Peter [UCIBIO, REQUIMTE, Departamento de Química e Bioquímica, Faculdade de Ciências, Universidade do Porto, 4169-007 Porto (Portugal); Alves da Silva, Durcilene [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, CMRV, UFPI, Parnaíba, PI 64202-020 (Brazil); Eiras, Carla, E-mail: eiras@cnpq.br [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, CMRV, UFPI, Parnaíba, PI 64202-020 (Brazil); Laboratório Interdisciplinar de Materiais Avançados, LIMAV, CCN, UFPI, Teresina, PI 64049-550 (Brazil)

    2015-10-15

    Graphical abstract: - Highlights: • LbL films based on PANI and polysaccharides of seaweeds were produced and applied sensors of Cr (VI). - Abstract: This paper proposes a new application for natural polysaccharides (agar and carrageenan), both extracted from the cell wall of red seaweeds. Thin films were prepared by the Layer-by-Layer (LbL) self-assembly technique onto ITO (tin-doped indium oxide), where the polysaccharides of interest were deposited in layers alternating with polyaniline (PANI). The films developed were characterized by cyclic voltammetry (CV), ultraviolet–visible spectroscopy (UV–vis) and atomic force microscopy (AFM). Results showed the presence of agar as well as carrageenan, which improves the electrochemical stability of the conducting polymer in an acid medium. The interactions at the molecular level between PANI and the biopolymers affected the most appropriate sequence of deposition as employed in the process of material immobilization and also influenced the resulting morphology. Among the films studied, the most promising system as regards electrochemical measurements was the ITO/agar/PANI system, which was subsequently employed in the electrochemical detection of chromium (VI)

  18. Layer-by-Layer films based on biopolymers extracted from red seaweeds and polyaniline for applications in electrochemical sensors of chromium VI

    International Nuclear Information System (INIS)

    Oliveira Farias, Emanuel Airton de; Corrêa dos Santos, Marianne; Araujo Dionísio, Natália de; Quelemes, Patrick V.; Souza Almeida Leite, José Roberto de; Eaton, Peter; Alves da Silva, Durcilene; Eiras, Carla

    2015-01-01

    Graphical abstract: - Highlights: • LbL films based on PANI and polysaccharides of seaweeds were produced and applied sensors of Cr (VI). - Abstract: This paper proposes a new application for natural polysaccharides (agar and carrageenan), both extracted from the cell wall of red seaweeds. Thin films were prepared by the Layer-by-Layer (LbL) self-assembly technique onto ITO (tin-doped indium oxide), where the polysaccharides of interest were deposited in layers alternating with polyaniline (PANI). The films developed were characterized by cyclic voltammetry (CV), ultraviolet–visible spectroscopy (UV–vis) and atomic force microscopy (AFM). Results showed the presence of agar as well as carrageenan, which improves the electrochemical stability of the conducting polymer in an acid medium. The interactions at the molecular level between PANI and the biopolymers affected the most appropriate sequence of deposition as employed in the process of material immobilization and also influenced the resulting morphology. Among the films studied, the most promising system as regards electrochemical measurements was the ITO/agar/PANI system, which was subsequently employed in the electrochemical detection of chromium (VI)

  19. Controlled amino-functionalization by electrochemical reduction of bromo and nitro azobenzene layers bound to Si(111) surfaces

    NARCIS (Netherlands)

    Ullien, D.; Thüne, P.C.; Jager, W.F.; Sudhölter, E.J.R.; De Smet, L.C.P.M.

    2014-01-01

    4-Nitrobenzenediazonium (4-NBD) and 4-bromobenzenediazonium (4-BBD) salts were grafted electrochemically onto H-terminated, p-doped silicon (Si) surfaces. Atomic force microscopy (AFM) and ellipsometry experiments clearly showed layer thicknesses of 2–7 nm, which indicate multilayer formation.

  20. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  1. Effects of N2 mixed gas atomization on electrochemical properties of Mm(Ni,Co,Mn,Al)5.0 alloy powder

    International Nuclear Information System (INIS)

    Yanagimoto, K.; Sunada, S.; Majima, K.; Sawada, T.

    2004-01-01

    N 2 gas, N 2 -Ar mixed gas and Ar gas atomization followed by acid surface treatment was applied to improve electrochemical properties of AB 5 type hydrogen storage alloy powder. The shape of Ar atomized powder was spherical and it changed to be irregular with increasing N 2 content of mixed gas. Irrespective of gas kinds, electrodes of atomized powder showed the same discharge capacity as cast-pulverized powder under auxiliary electrical conductivity by nickel powder addition. Without nickel powder, however, N 2 atomized powder showed the best electrochemical properties as well as gas activation behavior. By the combination process of N 2 gas atomization and acid surface treatment, it was considered that irregular shape of N 2 atomized powder promoted electrical conductivity of electrodes and catalytic nickel concentrated surface layer was formed to increase the hydrogen storage rapidity

  2. Electrochemical detection of acetaminophen on the functionalized MWCNTs modified electrode using layer-by-layer technique

    International Nuclear Information System (INIS)

    Manjunatha, Revanasiddappa; Nagaraju, Dodahalli Hanumantharayudu; Suresh, Gurukar Shivappa; Melo, Jose Savio; D'Souza, Stanislaus F.; Venkatesha, Thimmappa Venkatarangaiah

    2011-01-01

    A selective electrochemical method is fabricated via layer-by-layer (LBL) method using both positively and negatively charged multi walled carbon nanotubes (MWCNTs) on poly (diallyldimetheylammonium chloride) (PDDA)/poly styrene sulfonate (PSS) modified graphite electrode, for the determination of acetaminophen (ACT) in the presence of dopamine (DA) and high concentration of ascorbic acid (AA). The modified electrode was characterized by cyclic voltammetry (CV) electrochemical impedance spectroscopy (EIS), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Experimental conditions such as pH, accumulation potential and time, effect of potential sweep rates and interferents were studied. In CV well defined peaks for AA, ACT and DA are obtained at 24, 186 and 374 mV, respectively. The separations of peaks were 210, 188 and 398 mV between AA and DA, DA and ACT and AA and ACT, respectively. The diffusion coefficient was calculated by chronocoulometric. Chronoamperometric studies showed the linear relationship between oxidation peak current and concentration of ACT in the range 25-400 μM (R = 0.9991). The detection limit was 5 x 10 -7 mol/L. The proposed method gave satisfactory results in the determination of ACT in pharmaceutical and human serum samples.

  3. Stability of nanocrystalline electrochemically deposited layers

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2009-01-01

    have different microstructure and properties compared to bulk materials and the thermodynamic non-equilibrium state of as-deposited layers frequently results in changes of the microstructure as a function of time and/or temperature. The evolving microstructure affects the functionality and reliability......The technological demand for manufacturing components with complex geometries of micrometer or sub-micrometer dimensions and ambitions for ongoing miniaturization have attracted particular attention to electrochemical deposition methods. Thin layers of electrochemically deposited metals and alloys...... of electrodeposited components, which can be beneficial, as for the electrical conductivity of copper interconnect lines, or detrimental, as for reduced strength of nickel in MEMS applications. The present work reports on in-situ studies of the microstructure stability of as-deposited nanocrystalline Cu-, Ag- and Ni...

  4. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    Science.gov (United States)

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  5. Modification of SnO2 Anodes by Atomic Layer Deposition for High Performance Lithium Ion Batteries

    KAUST Repository

    Yesibolati, Nulati

    2013-05-01

    Tin dioxide (SnO2) is considered one of the most promising anode materials for Lithium ion batteries (LIBs), due to its large theoretical capacity and natural abundance. However, its low electronic/ionic conductivities, large volume change during lithiation/delithiation and agglomeration prevent it from further commercial applications. In this thesis, we investigate modified SnO2 as a high energy density anode material for LIBs. Specifically two approaches are presented to improve battery performances. Firstly, SnO2 electrochemical performances were improved by surface modification using Atomic Layer Deposition (ALD). Ultrathin Al2O3 or HfO2 were coated on SnO2 electrodes. It was found that electrochemical performances had been enhanced after ALD deposition. In a second approach, we implemented a layer-by-layer (LBL) assembled graphene/carbon-coated hollow SnO2 spheres as anode material for LIBs. Our results indicated that the LBL assembled electrodes had high reversible lithium storage capacities even at high current densities. These superior electrochemical performances are attributed to the enhanced electronic conductivity and effective lithium diffusion, because of the interconnected graphene/carbon networks among nanoparticles of the hollow SnO2 spheres.

  6. Single-Atom Catalysts of Precious Metals for Electrochemical Reactions.

    Science.gov (United States)

    Kim, Jiwhan; Kim, Hee-Eun; Lee, Hyunjoo

    2018-01-10

    Single-atom catalysts (SACs), in which metal atoms are dispersed on the support without forming nanoparticles, have been used for various heterogeneous reactions and most recently for electrochemical reactions. In this Minireview, recent examples of single-atom electrocatalysts used for the oxygen reduction reaction (ORR), hydrogen oxidation reaction (HOR), hydrogen evolution reaction (HER), formic acid oxidation reaction (FAOR), and methanol oxidation reaction (MOR) are introduced. Many density functional theory (DFT) simulations have predicted that SACs may be effective for CO 2 reduction to methane or methanol production while suppressing H 2 evolution, and those cases are introduced here as well. Single atoms, mainly Pt single atoms, have been deposited on TiN or TiC nanoparticles, defective graphene nanosheets, N-doped covalent triazine frameworks, graphitic carbon nitride, S-doped zeolite-templated carbon, and Sb-doped SnO 2 surfaces. Scanning transmission electron microscopy, extended X-ray absorption fine structure measurement, and in situ infrared spectroscopy have been used to detect the single-atom structure and confirm the absence of nanoparticles. SACs have shown high mass activity, minimizing the use of precious metal, and unique selectivity distinct from nanoparticle catalysts owing to the absence of ensemble sites. Additional features that SACs should possess for effective electrochemical applications were also suggested. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Highly effective synthesis of NiO/CNT nanohybrids by atomic layer deposition for high-rate and long-life supercapacitors.

    Science.gov (United States)

    Yu, Lei; Wang, Guilong; Wan, Gengping; Wang, Guizhen; Lin, Shiwei; Li, Xinyue; Wang, Kan; Bai, Zhiming; Xiang, Yang

    2016-09-21

    In this work, we report an atomic layer deposition (ALD) method for the fabrication of NiO/CNT hybrid structures in order to improve electronic conductivity, enhance cycling stability and increase rate capability of NiO used as supercapacitor electrodes. A uniform NiO coating can be well deposited on carbon nanotubes (CNTs) through simultaneously employing O3 and H2O as oxidizing agents in a single ALD cycle of NiO for the first time, with a high growth rate of nearly 0.3 Å per cycle. The electrochemical properties of the as-prepared NiO/CNT were then investigated. The results show that the electrochemical capacitive properties are strongly associated with the thickness of the NiO coating. The NiO/CNT composite materials with 200 cycles of NiO deposition exhibit the best electrochemical properties, involving high specific capacitance (622 F g(-1) at 2 A g(-1), 2013 F g(-1) for NiO), excellent rate capability (74% retained at 50 A g(-1)) and outstanding cycling stability. The impressive results presented here suggest a great potential for the fabrication of composite electrode materials by atomic layer deposition applied in high energy density storage systems.

  8. Electrode surface engineering by atomic layer deposition: A promising pathway toward better energy storage

    KAUST Repository

    Ahmed, Bilal

    2016-04-29

    Research on electrochemical energy storage devices including Li ion batteries (LIBs), Na ion batteries (NIBs) and supercapacitors (SCs) has accelerated in recent years, in part because developments in nanomaterials are making it possible to achieve high capacities and energy and power densities. These developments can extend battery life in portable devices, and open new markets such as electric vehicles and large-scale grid energy storage. It is well known that surface reactions largely determine the performance and stability of electrochemical energy storage devices. Despite showing impressive capacities and high energy and power densities, many of the new nanostructured electrode materials suffer from limited lifetime due to severe electrode interaction with electrolytes or due to large volume changes. Hence control of the surface of the electrode material is essential for both increasing capacity and improving cyclic stability of the energy storage devices.Atomic layer deposition (ALD) which has become a pervasive synthesis method in the microelectronics industry, has recently emerged as a promising process for electrochemical energy storage. ALD boasts excellent conformality, atomic scale thickness control, and uniformity over large areas. Since ALD is based on self-limiting surface reactions, complex shapes and nanostructures can be coated with excellent uniformity, and most processes can be done below 200. °C. In this article, we review recent studies on the use of ALD coatings to improve the performance of electrochemical energy storage devices, with particular emphasis on the studies that have provided mechanistic insight into the role of ALD in improving device performance. © 2016 Elsevier Ltd.

  9. Electrochemical generation of mercury cold vapor and its in-situ trapping in gold-covered graphite tube atomizers

    International Nuclear Information System (INIS)

    Cerveny, Vaclav; Rychlovsky, Petr; Netolicka, Jarmila; Sima, Jan

    2007-01-01

    The combination of more efficient flow-through electrochemical mercury cold vapor generation with its in-situ trapping in a graphite tube atomizer is described. This coupled technique has been optimized to attain the maximum sensitivity for Hg determination and to minimize the limits of detection and determination. A laboratory constructed thin-layer flow-through cell with a platinum cathode served as the cold vapor generator. Various cathode arrangements with different active surface areas were tested. Automated sampling equipment for the graphite atomizer with an untreated fused silica capillary was used for the introduction of the mercury vapor. The inner surface of the graphite tube was covered with a gold foil placed against the sampling hole. The results attained for the electrochemical mercury cold vapor generation (an absolute limit of detection of 80 pg; peak absorbance, 3σ criterion) were compared with the traditional vapor generation using NaBH 4 as the reducing agent (an absolute limit of detection of 124 pg; peak absorbance, 3σ criterion). The repeatability at the 5 ng ml -1 level was better than 4.1% (RSD) for electrochemical mercury vapor generation and better than 5.6% for the chemical cold vapor generation. The proposed method was applied to the determination the of Hg contents in a certified reference material and in spiked river water samples

  10. Metal Oxide Materials and Collector Efficiency in Electrochemical Supercapacitors

    Science.gov (United States)

    2010-12-01

    However, even if thick tita - nium films and/or nanostructured layers were obtained using these methods, they were composed of non-conducting titanium...following electrochemical reduction in LiClO4/acetonitrile. Table 1 reports the electrochemical parameters and the atomic composition of the tita - nium

  11. Formation of mixed organic layers by stepwise electrochemical reduction of diazonium compounds.

    Science.gov (United States)

    Santos, Luis; Ghilane, Jalal; Lacroix, Jean Christophe

    2012-03-28

    This work describes the formation of a mixed organic layer covalently attached to a carbon electrode. The strategy adopted is based on two successive electrochemical reductions of diazonium salts. First, bithiophene phenyl (BTB) diazonium salt is reduced using host/guest complexation in a water/cyclodextrin (β-CD) solution. The resulting layer consists of grafted BTB oligomers and cyclodextrin that can be removed from the surface. The electrochemical response of several outer-sphere redox probes on such BTB/CD electrodes is close to that of a diode, thanks to the easily p-dopable oligo(BTB) moieties. When CD is removed from the surface, pinholes are created and this diode like behavior is lost. Following this, nitrophenyl (NP) diazonium is reduced to graft a second component. Electrochemical study shows that upon grafting NP insulating moieties, the diode-like behavior of the layer is restored which demonstrates that NP is grafted predominately in the empty spaces generated by β-CD desorption. As a result, a mixed BTB/NP organic layer covalently attached to a carbon electrode is obtained using a stepwise electrochemical reduction of two diazonium compounds.

  12. Atomic-Scale Simulation of Electrochemical Processes at Electrode/Water Interfaces under Referenced Bias Potential.

    Science.gov (United States)

    Bouzid, Assil; Pasquarello, Alfredo

    2018-04-19

    Based on constant Fermi-level molecular dynamics and a proper alignment scheme, we perform simulations of the Pt(111)/water interface under variable bias potential referenced to the standard hydrogen electrode (SHE). Our scheme yields a potential of zero charge μ pzc of ∼0.22 eV relative to the SHE and a double layer capacitance C dl of ≃19 μF cm -2 , in excellent agreement with experimental measurements. In addition, we study the structural reorganization of the electrical double layer for bias potentials ranging from -0.92 eV to +0.44 eV and find that O down configurations, which are dominant at potentials above the pzc, reorient to favor H down configurations as the measured potential becomes negative. Our modeling scheme allows one to not only access atomic-scale processes at metal/water interfaces, but also to quantitatively estimate macroscopic electrochemical quantities.

  13. Preparation of Atomically Flat Si(111)-H Surfaces in Aqueous Ammonium Fluoride Solutions Investigated by Using Electrochemical, In Situ EC-STM and ATR-FTIR Spectroscopic Methods

    International Nuclear Information System (INIS)

    Bae, Sang Eun; Oh, Mi Kyung; Min, Nam Ki; Paek, Se Hwan; Hong, Suk In; Lee, Chi-Woo J.

    2004-01-01

    Electrochemical, in situ electrochemical scanning tunneling microscope (EC-STM), and attenuated total reflectance-FTIR (ATR-FTIR) spectroscopic methods were employed to investigate the preparation of atomically flat Si(111)-H surface in ammonium fluoride solutions. Electrochemical properties of atomically flat Si(111)-H surface were characterized by anodic oxidation and cathodic hydrogen evolution with the open circuit potential (OCP) of ca. .0.4 V in concentrated ammonium fluoride solutions. As soon as the natural oxide-covered Si(111) electrode was immersed in fluoride solutions, OCP quickly shifted to near .1 V, which was more negative than the flat band potential of silicon surface, indicating that the surface silicon oxide had to be dissolved into the solution. OCP changed to become less negative as the oxide layer was being removed from the silicon surface. In situ EC-STM data showed that the surface was changed from the initial oxide covered silicon to atomically rough hydrogen-terminated surface and then to atomically flat hydrogen terminated surface as the OCP moved toward less negative potentials. The atomically flat Si(111)-H structure was confirmed by in situ EC-STM and ATR-FTIR data. The dependence of atomically flat Si(111)-H terrace on mis-cut angle was investigated by STM, and the results agreed with those anticipated by calculation. Further, the stability of Si(111)-H was checked by STM in ambient laboratory conditions

  14. Electrochemical deposition and characterization of platinum on carbon paper and Ni foam

    CSIR Research Space (South Africa)

    Louw, E

    2013-04-01

    Full Text Available There are various methods used to prepare fuel cell (FC) catalysts. The electrochemical deposition method is well known for the fabrication of nanostructured catalysts for energy materials. Electrochemical atomic layer deposition (ECALD) method...

  15. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  16. Polyelectrolyte Layer-by-Layer Assembly on Organic Electrochemical Transistors

    KAUST Repository

    Pappa, Anna-Maria

    2017-03-06

    Oppositely charged polyelectrolyte multilayers (PEMs) were built up in a layer-by-layer (LbL) assembly on top of the conducting polymer channel of an organic electrochemical transistor (OECT), aiming to combine the advantages of well-established PEMs with a high performance electronic transducer. The multilayered film is a model system to investigate the impact of biofunctionalization on the operation of OECTs comprising a poly(3,4-ethylenedioxythiophene) polystyrenesulfonate (PEDOT:PSS) film as the electrically active layer. Understanding the mechanism of ion injection into the channel that is in direct contact with charged polymer films provides useful insights for novel biosensing applications such as nucleic acid sensing. Moreover, LbL is demonstrated to be a versatile electrode modification tool enabling tailored surface features in terms of thickness, softness, roughness, and charge. LbL assemblies built up on top of conducting polymers will aid the design of new bioelectronic platforms for drug delivery, tissue engineering, and medical diagnostics.

  17. Polyelectrolyte Layer-by-Layer Assembly on Organic Electrochemical Transistors

    KAUST Repository

    Pappa, Anna-Maria; Inal, Sahika; Roy, Kirsty; Zhang, Yi; Pitsalidis, Charalampos; Hama, Adel; Pas, Jolien; Malliaras, George G.; Owens, Roisin M.

    2017-01-01

    Oppositely charged polyelectrolyte multilayers (PEMs) were built up in a layer-by-layer (LbL) assembly on top of the conducting polymer channel of an organic electrochemical transistor (OECT), aiming to combine the advantages of well-established PEMs with a high performance electronic transducer. The multilayered film is a model system to investigate the impact of biofunctionalization on the operation of OECTs comprising a poly(3,4-ethylenedioxythiophene) polystyrenesulfonate (PEDOT:PSS) film as the electrically active layer. Understanding the mechanism of ion injection into the channel that is in direct contact with charged polymer films provides useful insights for novel biosensing applications such as nucleic acid sensing. Moreover, LbL is demonstrated to be a versatile electrode modification tool enabling tailored surface features in terms of thickness, softness, roughness, and charge. LbL assemblies built up on top of conducting polymers will aid the design of new bioelectronic platforms for drug delivery, tissue engineering, and medical diagnostics.

  18. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    Science.gov (United States)

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  19. Sealing of hard CrN and DLC coatings with atomic layer deposition.

    Science.gov (United States)

    Härkönen, Emma; Kolev, Ivan; Díaz, Belén; Swiatowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe; Fenker, Martin; Toth, Lajos; Radnoczi, György; Vehkamäki, Marko; Ritala, Mikko

    2014-02-12

    Atomic layer deposition (ALD) is a thin film deposition technique that is based on alternating and saturating surface reactions of two or more gaseous precursors. The excellent conformality of ALD thin films can be exploited for sealing defects in coatings made by other techniques. Here the corrosion protection properties of hard CrN and diamond-like carbon (DLC) coatings on low alloy steel were improved by ALD sealing with 50 nm thick layers consisting of Al2O3 and Ta2O5 nanolaminates or mixtures. In cross sectional images the ALD layers were found to follow the surface morphology of the CrN coatings uniformly. Furthermore, ALD growth into the pinholes of the CrN coating was verified. In electrochemical measurements the ALD sealing was found to decrease the current density of the CrN coated steel by over 2 orders of magnitude. The neutral salt spray (NSS) durability was also improved: on the best samples the appearance of corrosion spots was delayed from 2 to 168 h. On DLC coatings the adhesion of the ALD sealing layers was weaker, but still clear improvement in NSS durability was achieved indicating sealing of the pinholes.

  20. pH in atomic scale simulations of electrochemical interfaces

    DEFF Research Database (Denmark)

    Rossmeisl, Jan; Chan, Karen; Ahmed, Rizwan

    2013-01-01

    Electrochemical reaction rates can strongly depend on pH, and there is increasing interest in electrocatalysis in alkaline solution. To date, no method has been devised to address pH in atomic scale simulations. We present a simple method to determine the atomic structure of the metal......|solution interface at a given pH and electrode potential. Using Pt(111)|water as an example, we show the effect of pH on the interfacial structure, and discuss its impact on reaction energies and barriers. This method paves the way for ab initio studies of pH effects on the structure and electrocatalytic activity...

  1. Method of bonding an interconnection layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Pal, Uday B.; Isenberg, Arnold O.; Folser, George R.

    1992-01-01

    An electrochemical cell containing an air electrode (16), contacting electrolyte and electronically conductive interconnection layer (26), and a fuel electrode, has the interconnection layer (26) attached by: (A) applying a thin, closely packed, discrete layer of LaCrO.sub.3 particles (30), doped with an element selected from the group consisting of Ca, Sr, Co, Ba, Mg and their mixtures on a portion of the air electrode, and then (B) electrochemical vapor depositing a dense skeletal structure (32) between and around the doped LaCrO.sub.3 particles (30).

  2. An electrochemical aptasensor for chiral peptide detection using layer-by-layer assembly of polyelectrolyte-methylene blue/polyelectrolyte-graphene multilayer

    International Nuclear Information System (INIS)

    Qin Haixia; Liu Jiyang; Chen Chaogui; Wang Jiahi; Wang Erkang

    2012-01-01

    Highlights: ► An electrochemical aptasensor for selective detection of peptide is constructed. ► This aptasensor is based on grapheme multilayer via layer-by-layer assembly. ► Such multilayer facilitates electron transfer and provides more adsorption sites. - Abstract: Here we demonstrate for the first time that by physically adsorbing aptamer onto conductive film assembled via alternate adsorption of graphene/polyelectrolyte and methylene blue/polyelectrolyte, a label-free electrochemical aptasensor with high sensitivity and selectivity for peptide detection is constructed. Graphene multilayer derived from layer-by-layer assembly has played significant roles in this sensing strategy: allowing accumulation of methylene blue, facilitating electron transfer and providing much more adsorption site. As compared to previous electrochemical aptasensors, the current sensor based on graphene multilayer alternated with electroactive molecule layer offers extremely high capability for sensitive detection of target without interference of environmental surrounding. This electroactive probe-confined graphene multilayer confers great flexibility to combine with differential pulse voltammetry (DPV) together. In the presence of target D entiomer of arginine vasopressin (D-VP), the binding of peptide to aptamer block the electron transfer process of MB, leading to decreased current peak of DPV. By this way, this electrochemical aptasensor based on electroactive molecule-intercalated graphene multilayer provide highly sensitive and specific detection of D-VP with the lowest detectable concentration of 1 ng mL −1 and a wide detection range from 1 to 265 ng mL −1 .

  3. Electrochemical performance of Sn-Sb-Cu film anodes prepared by layer-by-layer electrodeposition

    International Nuclear Information System (INIS)

    Jiang Qianlei; Xue Ruisheng; Jia Mengqiu

    2012-01-01

    A novel layer-by-layer electrodeposition and heat-treatment approach was attempted to obtain Sn-Sb-Cu film anode for lithium ion batteries. The preparation of Sn-Sb-Cu anodes started with galvanostatic electrochemically depositing antimony and tin sequentially on the substrate of copper foil collector. Sn-Sb and Cu-Sb alloys were formed when heated. The SEM analysis showed that the crystalline grains become bigger and the surface of the Sn-Sb-Cu anode becomes more denser after annealing. The energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD) analysis showed the antimony, tin and copper were alloyed to form SnSb and Cu 2 Sb after heat treatment. The X-ray photoelectron spectroscopy (XPS) analysis showed the surface of the Sn-Sb-Cu electrode was covered by a thin oxide layer. Electrochemical measurements showed that the annealed Sn-Sb-Cu anode has high reversible capacity and good capacity retention. It exhibited a reversible capacity of about 962 mAh/g in the initial cycle, which still remained 715 mAh/g after 30 cycles.

  4. In Situ Investigation of Electrochemically Mediated Surface-Initiated Atom Transfer Radical Polymerization by Electrochemical Surface Plasmon Resonance.

    Science.gov (United States)

    Chen, Daqun; Hu, Weihua

    2017-04-18

    Electrochemically mediated atom transfer radical polymerization (eATRP) initiates/controls the controlled/living ATRP chain propagation process by electrochemically generating (regenerating) the activator (lower-oxidation-state metal complex) from deactivator (higher-oxidation-state metal complex). Despite successful demonstrations in both of the homogeneous polymerization and heterogeneous systems (namely, surface-initiated ATRP, SI-ATRP), the eATRP process itself has never been in situ investigated, and important information regarding this process remains unrevealed. In this work, we report the first investigation of the electrochemically mediated SI-ATRP (eSI-ATRP) by rationally combining the electrochemical technique with real-time surface plasmon resonance (SPR). In the experiment, the potential of a SPR gold chip modified by the self-assembled monolayer of the ATRP initiator was controlled to electrochemically reduce the deactivator to activator to initiate the SI-ATRP, and the whole process was simultaneously monitored by SPR with a high time resolution of 0.1 s. It is found that it is feasible to electrochemically trigger/control the SI-ATRP and the polymerization rate is correlated to the potential applied to the gold chip. This work reveals important kinetic information for eSI-ATRP and offers a powerful platform for in situ investigation of such complicated processes.

  5. Nanoscale semiconductor Pb{sub 1-x}Sn{sub x}Se (x = 0.2) thin films synthesized by electrochemical atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin Shaoxiong; Zhang Xin; Shi Xuezhao; Wei Jinping; Lu Daban; Zhang Yuzhen; Kou Huanhuan [Department of Chemistry, Lanzhou University, Lanzhou 730000 (China); Wang Chunming, E-mail: wangcm@lzu.edu.cn [Department of Chemistry, Lanzhou University, Lanzhou 730000 (China)

    2011-04-15

    In this paper the fabrication and characterization of IV-VI semiconductor Pb{sub 1-x}Sn{sub x}Se (x = 0.2) thin films on gold substrate by electrochemical atomic layer deposition (EC-ALD) method at room temperature are reported. Cyclic voltammetry (CV) is used to determine approximate deposition potentials for each element. The amperometric I-t technique is used to fabricate the semiconductor alloy. The elements are deposited in the following sequence: (Se/Pb/Se/Pb/Se/Pb/Se/Pb/Se/Sn ...), each period is formed using four ALD cycles of PbSe followed by one cycle of SnSe. Then the deposition manner above is cyclic repeated till a satisfactory film with expected thickness of Pb{sub 1-x}Sn{sub x}Se is obtained. The morphology of the deposit is observed by field emission scanning electron microscopy (FE-SEM). X-ray diffraction (XRD) pattern is used to study its crystalline structure; X-ray photoelectron spectroscopy (XPS) of the deposit indicates an approximate ratio 1.0:0.8:0.2 of Se, Pb and Sn, as the expected stoichiometry for the deposit. Open-circuit potential (OCP) studies indicate a good p-type property, and the good optical activity makes it suitable for fabricating a photoelectric switch.

  6. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  7. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan

    2017-01-18

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both the capacity and cycling stability of the Na ion battery improve. The thinnest SnO nanosheet anodes (two to six SnO monolayers) exhibited the best performance. Specifically, an initial discharge and charge capacity of 1072 and 848 mAh g-1 were observed, respectively, at 0.1 A g-1. In addition, an impressive reversible capacity of 665 mAh g-1 after 100 cycles at 0.1 A g-1 and 452 mAh g-1 after 1000 cycles at a high current density of 1.0 A g-1 was observed, with excellent rate performance. As the average number of atomic layers in the anode sheets increased, the battery performance degraded significantly. For example, for the anode sheets with 10-20 atomic layers, only a reversible capacity of 389 mAh g-1 could be obtained after 100 cycles at 0.1 A g-1. Density functional theory calculations coupled with experimental results were used to elucidate the sodiation mechanism of the SnO nanosheets. This systematic study of monolayer-dependent physical and electrochemical properties of 2D anodes shows a promising pathway to engineering and mitigating volume changes in 2D anode materials for sodium ion batteries. It also demonstrates that ultrathin SnO nanosheets are promising SIB anode materials with high specific capacity, stable cyclability, and excellent rate performance.

  8. Formation of HgSe thin films using electrochemical atomic Layer epitaxy

    CSIR Research Space (South Africa)

    Mathe, MK

    2005-09-01

    Full Text Available . Bard and I. Rubenstein, Edi- tors, Vol. 21, p. 75, Marcel Dekker, New York �1999�. 38. J. L. Stickney, in Advances in Electrochemical Science and Engineering, D. M. Kolb and R. Alkire, Editors, Vol. 7, p. 1, Wiley-VCH, Weinheim �2002�. 39. S. Bedair...

  9. Core-shell structured ceramic nonwoven separators by atomic layer deposition for safe lithium-ion batteries

    Science.gov (United States)

    Shen, Xiu; Li, Chao; Shi, Chuan; Yang, Chaochao; Deng, Lei; Zhang, Wei; Peng, Longqing; Dai, Jianhui; Wu, Dezhi; Zhang, Peng; Zhao, Jinbao

    2018-05-01

    Safety is one of the most factors for lithium-ion batteries (LIBs). In this work, a novel kind of ceramic separator with high safety insurance is proposed. We fabricated the core-shell nanofiber separators for LIBs by atomic layer deposition (ALD) of 30 nm Al2O3 on the electrospinning nonwoven fiber of polyvinylidene fluoride-hexafluoropropylene (PVDF-HFP). The separators show a pretty high heat resistance up to 200 °C without any shrinkage, an excellent fire-resistant property and a wide electrochemical window. Besides, with higher uptake and ionic conductivity, cells assembled with the novel separator shows better electrochemical performance. The ALD produced separators exhibit great potential in elaborate products like 3C communications and in energy field with harsh requirements for safety such as electric vehicles. The application of ALD on polymer fiber membranes brings a new strategy and opportunity for improving the safety of the advanced LIBs.

  10. The atomic force microscope as a mechano–electrochemical pen

    Directory of Open Access Journals (Sweden)

    Christian Obermair

    2011-10-01

    Full Text Available We demonstrate a method that allows the controlled writing of metallic patterns on the nanometer scale using the tip of an atomic force microscope (AFM as a “mechano–electrochemical pen”. In contrast to previous experiments, no voltage is applied between the AFM tip and the sample surface. Instead, a passivated sample surface is activated locally due to lateral forces between the AFM tip and the sample surface. In this way, the area of tip–sample interaction is narrowly limited by the mechanical contact between tip and sample, and well-defined metallic patterns can be written reproducibly. Nanoscale structures and lines of copper were deposited, and the line widths ranged between 5 nm and 80 nm, depending on the deposition parameters. A procedure for the sequential writing of metallic nanostructures is introduced, based on the understanding of the passivation process. The mechanism of this mechano–electrochemical writing technique is investigated, and the processes of site-selective surface depassivation, deposition, dissolution and repassivation of electrochemically deposited nanoscale metallic islands are studied in detail.

  11. Inorganic-organic hybrid coatings on stainless steel by layer-by-layer deposition and surface-initiated atom-transfer-radical polymerization for combating biocorrosion.

    Science.gov (United States)

    Yuan, S J; Pehkonen, S O; Ting, Y P; Neoh, K G; Kang, E T

    2009-03-01

    To improve the biocorrosion resistance of stainless steel (SS) and to confer the bactericidal function on its surface for inhibiting bacterial adhesion and biofilm formation, well-defined inorganic-organic hybrid coatings, consisting of the inner compact titanium oxide multilayers and outer dense poly(vinyl-N-hexylpyridinium) brushes, were successfully developed. Nanostructured titanium oxide multilayer coatings were first built up on the SS substrates via the layer-by-layer sol-gel deposition process. The trichlorosilane coupling agent, containing the alkyl halide atom-transfer-radical polymerization (ATRP) initiator, was subsequently immobilized on the titanium oxide coatings for surface-initiated ATRP of 4-vinylpyridine (4VP). The pyridium nitrogen moieties of the covalently immobilized 4VP polymer, or P(4VP), brushes were quaternized with hexyl bromide to produce a high concentration of quaternary ammonium salt on the SS surfaces. The excellent antibacterial efficiency of the grafted polycations, poly(vinyl-N-pyridinium bromide), was revealed by viable cell counts and atomic force microscopy images of the surface. The effectiveness of the hybrid coatings in corrosion protection was verified by the Tafel plot and electrochemical impedance spectroscopy measurements.

  12. Fundamental Challenges for Modeling Electrochemical Energy Storage Systems at the Atomic Scale.

    Science.gov (United States)

    Groß, Axel

    2018-04-23

    There is a strong need to improve the efficiency of electrochemical energy storage, but progress is hampered by significant technological and scientific challenges. This review describes the potential contribution of atomic-scale modeling to the development of more efficient batteries, with a particular focus on first-principles electronic structure calculations. Numerical and theoretical obstacles are discussed, along with ways to overcome them, and some recent examples are presented illustrating the insights into electrochemical energy storage that can be gained from quantum chemical studies.

  13. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  14. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  15. Electrochemical lithium and sodium intercalation into the tantalum-rich layered chalcogenides Ta2Se and Ta2Te3

    International Nuclear Information System (INIS)

    Lavela, P.; Tirado, J.L.

    1999-01-01

    Two-layered tantalum chalcogenides are evaluated as alkali metal intercalation hosts in lithium and sodium electrochemical cells. The metal-rich pseudo-two-dimensional solid Ta 2 Se shows a poor intercalation behaviour. Lithium reacts with the selenide by deintercalating selenium from the blocks of Ta-related b.c.c. structure leading to a collapse of the structure and the formation of tantalum metal. Sodium is reversibly intercalated to a limited extent leading to complex structural changes in the selenide, as revealed by electron diffraction. The two-dimensional telluride Ta 2 Te 3 allows a topotactic intercalation of lithium below 1 F/mol, while a more extended reaction leads to sample amorphization. The better intercalation behaviour of this solid can be related with the one-atom thick metal layer and the van der Waals gap separating tellurium atoms of successive layers. Sodium can be reversibly intercalated into Ta 2 Te 3 in sodium cells which show a good cycling behaviour. Exposure of the intercalated solid to water vapour allows the preparation of hydrated products with a monolayer or a bilayer of water molecules solvating sodium in the interlayer space. (orig.)

  16. Electrochemically assisted fast-atom-bombardment mass spectrometry

    International Nuclear Information System (INIS)

    Phillips, L.R.

    1988-01-01

    The hybridization of electrochemistry and fast atom bombardment (FAB) mass spectrometry (MS) creates a new hyphenated technique, referred to as electrochemically assisted FAB (EFAB) MS, which improves the applicability of FAB MS in selectivity and extends the range of compounds to include low polarity molecules, and also reduces mass spectral complications due to matrix-related artifacts. FAB MS has proven to be indispensable in analysis of samples that are otherwise too intractable for conventional MS, such as peptides, oligosaccharides, and oligonucleotides, due to low volatility and ready thermal degradation. There are limits on its applicability, however, in that it works best with samples that are already ionic, or predisposed to become so by simple proton transfer to or from the matrix. A wide range of chemical substances can be ionized/analyzed by electrochemical methods. Therefore, a possible approach towards improving applicability of FAB MS is through its hybridization with electrochemistry. Samples are activated by electrolysis, carried out directly in the sample matrix through use of a modified FAB sample probe which was constructed containing a small electrolytic cell on the tip. In operation, one electrode is held at normal sample-probe/ion-source voltage, while the other electrode can be continuously varied ±15 volts to create electrochemical potentials. Several chemical substances, known to be unresponsive to FAB MS, have been examined by EFAB MS. Resultant spectra generally show a dramatic increases in signal/chemical noise ratio of structurally significant ions when compared to normal FAB spectra

  17. Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO x Electrocatalysts

    Science.gov (United States)

    Zeng, Joy; Xu, Xiaoqing; Parameshwaran, Vijay; Baker, Jon; Bent, Stacey; Wong, H.-S. Philip; Clemens, Bruce

    2018-02-01

    Photoelectrochemical (PEC) hydrogen production makes possible the direct conversion of solar energy into chemical fuel. In this work, PEC photoanodes consisting of GaAs nanowire (NW) arrays were fabricated, characterized, and then demonstrated for the oxygen evolution reaction (OER). Uniform and periodic GaAs nanowire arrays were grown on a heavily n-doped GaAs substrates by metal-organic chemical vapor deposition selective area growth. The nanowire arrays were characterized using cyclic voltammetry and impedance spectroscopy in a non-aqueous electrochemical system using ferrocene/ferrocenium (Fc/Fc+) as a redox couple, and a maximum oxidation photocurrent of 11.1 mA/cm2 was measured. GaAs NW arrays with a 36 nm layer of nickel oxide (NiO x ) synthesized by atomic layer deposition were then used as photoanodes to drive the OER. In addition to acting as an electrocatalyst, the NiO x layer served to protect the GaAs NWs from oxidative corrosion. Using this strategy, GaAs NW photoanodes were successfully used for the oxygen evolution reaction. This is the first demonstration of GaAs NW arrays for effective OER, and the fabrication and protection strategy developed in this work can be extended to study any other nanostructured semiconductor materials systems for electrochemical solar energy conversion.

  18. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  19. Electrochemical Evaluation of Corrosion Inhibiting Layers Formed in a Defect from Lithium-Leaching Organic Coatings

    NARCIS (Netherlands)

    Visser, P.; Meeusen, M.; Gonzalez Garcia, Y.; Terryn, H.A.; Mol, J.M.C.

    2017-01-01

    This work presents the electrochemical evaluation of protective layers generated in a coating defect from lithium-leaching organic coatings on AA2024-T3 aluminum alloys as a function of neutral salt spray exposure time. Electrochemical impedance spectroscopy was used to study the electrochemical

  20. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota

    2012-12-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  1. Visualization of deuterium dead layer by atom probe tomography

    KAUST Repository

    Gemma, Ryota; Al-Kassab, Talaat; Kirchheim, Reiner; Pundt, Astrid A.

    2012-01-01

    The first direct observation, by atom probe tomography, of a deuterium dead layer is reported for Fe/V multilayered film loaded with D solute atoms. The thickness of the dead layers was measured to be 0.4-0.5 nm. The dead layers could be distinguished from chemically intermixed layers. The results suggest that the dead layer effect occurs even near the interface of the mixing layers, supporting an interpretation that the dead layer effect cannot be explained solely by electronic charge transfer but also involves a modulation of rigidity. © 2012 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  2. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  3. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  4. Characterization of self-assembled films of NiGa layered double hydroxide nanosheets and their electrochemical properties

    International Nuclear Information System (INIS)

    Altuntasoglu, Ozge; Unal, Ugur; Ida, Shintaro; Goto, Motonobu; Matsumoto, Yasumichi

    2008-01-01

    In this study, we have demonstrated the synthesis and delamination of a rarely studied NiGa layered double hydroxide (LDH) system. Hydrothermal treatment under agitation conditions at 200 deg. C for 4 h resulted in the formation of highly crystalline NiGa LDHs in a shorter time than those synthesized without agitation. The LDH was delaminated into the individual nanosheets in formamide. The most significant finding in this study is the electrochemical behavior of interlayer ferricyanide anions intercalated with the layer-by-layer (LBL) assembly method. The morphology of LBL film with one layer is also monitored with atomic force microscopy. The cyclic voltammogram is similar to potassium metal hexacyanoferrate systems with its unique two-peak wave. Raman spectrum of the film revealed that the metal center of the interlayer cyano complex is in interaction with the Ni 2+ of the host layer. It was concluded that the two-peak cyclic voltammogram of the film is a result of two different forms of the hexacyanoferrate in the interlayer. - Graphical abstract: The thin film deposited from the nanosheets of ion-exchangeable NiGa LDH and ferricyanide molecule with LBL method gives the typical redox reaction of metal hexacyanoferrates in the interlayer. Current density depends on the number of layers

  5. Study of Cu2O\\ZnO nanowires heterojunction designed by combining electrodeposition and atomic layer deposition

    Science.gov (United States)

    Makhlouf, Houssin; Weber, Matthieu; Messaoudi, Olfa; Tingry, Sophie; Moret, Matthieu; Briot, Olivier; Chtoutou, Radhouane; Bechelany, Mikhael

    2017-12-01

    Cu2O/ZnO nanowires (NWs) heterojunctions were successfully prepared by combining Atomic layer Deposition (ALD) and Electrochemical Deposition (ECD) processes. The crystallinity, morphology and photoconductivity properties of the Cu2O/ZnO nanostructures have been investigated. The properties of the Cu2O absorber layer and the nanostructured heterojunction were studied in order to understand the mechanisms lying behind the low photoconductivity measured. It has been found that the interface state defects and the high resistivity of Cu2O film were limiting the photovoltaic properties of the prepared devices. The understanding presented in this work is expected to enable the optimization of solar cell devices based on Cu2O/ZnO nanomaterials and improve their overall performance.

  6. Electrochemical behavior of adrenaline at the carbon atom wire modified electrode

    Science.gov (United States)

    Xue, Kuan-Hong; Liu, Jia-Mei; Wei, Ri-Bing; Chen, Shao-Peng

    2006-09-01

    Electrochemical behavior of adrenaline at an electrode modified by carbon atom wires (CAWs), a new material, was investigated by cyclic voltammetry combined with UV-vis spectrometry, and forced convection method. As to the electrochemical response of redox of adrenaline/adrenalinequinone couple in 0.50 M H 2SO 4, at a nitric acid treated CAW modified electrode, the anodic and cathodic peak potentials Epa and Epc shifted by 87 mV negatively and 139 mV in the positive direction, respectively, and standard heterogeneous rate constant k0 increased by 16 times compared to the corresponding bare electrode, indicating the extraordinary activity of CAWs in electrocatalysis for the process.

  7. Electrochemical behavior of adrenaline at the carbon atom wire modified electrode

    International Nuclear Information System (INIS)

    Xue Kuanhong; Liu Jiamei; Wei Ribing; Chen Shaopeng

    2006-01-01

    Electrochemical behavior of adrenaline at an electrode modified by carbon atom wires (CAWs), a new material, was investigated by cyclic voltammetry combined with UV-vis spectrometry, and forced convection method. As to the electrochemical response of redox of adrenaline/adrenalinequinone couple in 0.50 M H 2 SO 4 , at a nitric acid treated CAW modified electrode, the anodic and cathodic peak potentials E pa and E pc shifted by 87 mV negatively and 139 mV in the positive direction, respectively, and standard heterogeneous rate constant k 0 increased by 16 times compared to the corresponding bare electrode, indicating the extraordinary activity of CAWs in electrocatalysis for the process

  8. Comparative investigation of the adhesion of Ce conversion layers and silane layers to a AA 2024-T3 substrate through mechanical and electrochemical tests

    Directory of Open Access Journals (Sweden)

    Luis Enrique Morales Palomino

    2007-12-01

    Full Text Available Cerium conversion layers and silane films are among the potential substitutes for the carcinogenic chromate conversion layers used to protect high-strength Al alloys. In the present work the adhesion of a cerium conversion layer and of a silane film to an aluminium alloy (AA 2024-T3 substrate was investigated using mechanical and electrochemical tests. Scanning electron microscopy (SEM- X ray energy dispersive spectroscopy (EDS, Fourier transform infrared spectroscopy (FT-IR and X ray photoelectron spectroscopy (XPS were used to characterize the layers prior and after the mechanical test consisting of ultrasonic rinse in deionized water during 30 minutes. Mechanically tested and untested layers were also submitted to electrochemical impedance spectroscopy (EIS and anodic polarization measurements in 0.1 M NaCl solution. The results of the characterization tests have pointed to a stronger adhesion of the Ce layer to the substrate in comparison with the silane film, which was confirmed by the electrochemical tests. The adhesion between the silane film and the Ce conversion layer was also tested, to evaluate the possibility of using the system as a protective bi-layer in accordance with the new trends being developed to substitute chromate conversion layers.

  9. Electrochemical study of the tarnish layer of silver deposited on glass

    OpenAIRE

    Ben Amor , Yasser; Sutter , Eliane; Takenouti , Hisasi; Tribollet , Bernard; Boinet , M.; Faure , R.; Balencie , J.; Durieu , G.

    2014-01-01

    International audience; Cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) were used to characterize the tarnished thin layer of silver deposited on glass. Instead of natural tarnishing in air environment, an acceleration of tarnishing process was realized by immersion of Ag covered glass in 10 μM K2S medium. The X-ray photoelectron spectroscopy (XPS) shows that tarnishing product formed on the silver surface consisted of Ag2S and Ag2O. As electrochemical characterizatio...

  10. Stop Band Gap in Periodic Layers of Confined Atomic Vapor/Dielectric Medium

    International Nuclear Information System (INIS)

    Li Yuan-Yuan; Li Li; Lu Yi-Xin; Zhang Yan-Peng; Xu Ke-Wei

    2013-01-01

    A stop band gap is predicted in periodic layers of a confined atomic vapor/dielectric medium. Reflection and transmission profile of the layers over the band gap can be dramatically modified by the confined atoms and the number of layer periods. These gap and line features can be ascribed to the enhanced contribution of slow atoms induced by atom-wall collision, transient behavior of atom-light interaction and Fabry—Pérot effects in a thermal confined atomic system

  11. Layered Metal Nanoparticle Structures on Electrodes for Sensing, Switchable Controlled Uptake/Release, and Photo-electrochemical Applications.

    Science.gov (United States)

    Tel-Vered, Ran; Kahn, Jason S; Willner, Itamar

    2016-01-06

    Layered metal nanoparticle (NP) assemblies provide highly porous and conductive composites of unique electrical and optical (plasmonic) properties. Two methods to construct layered metal NP matrices are described, and these include the layer-by-layer deposition of NPs, or the electropolymerization of monolayer-functionalized NPs, specifically thioaniline-modified metal NPs. The layered NP composites are used as sensing matrices through the use of electrochemistry or surface plasmon resonance (SPR) as transduction signals. The crosslinking of the metal NP composites with molecular receptors, or the imprinting of molecular recognition sites into the electropolymerized NP matrices lead to selective and chiroselective sensing interfaces. Furthermore, the electrosynthesis of redox-active, imprinted, bis-aniline bridged Au NP composites yields electrochemically triggered "sponges" for the switchable uptake and release of electron-acceptor substrates, and results in conductive surfaces of electrochemically controlled wettability. Also, photosensitizer-relay-crosslinked Au NP composites, or electrochemically polymerized layered semiconductor quantum dot/metal NP matrices on electrodes, are demonstrated as functional nanostructures for photoelectrochemical applications. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Role of nanorods insertion layer in ZnO-based electrochemical metallization memory cell

    Science.gov (United States)

    Mangasa Simanjuntak, Firman; Singh, Pragya; Chandrasekaran, Sridhar; Juanda Lumbantoruan, Franky; Yang, Chih-Chieh; Huang, Chu-Jie; Lin, Chun-Chieh; Tseng, Tseung-Yuen

    2017-12-01

    An engineering nanorod array in a ZnO-based electrochemical metallization device for nonvolatile memory applications was investigated. A hydrothermally synthesized nanorod layer was inserted into a Cu/ZnO/ITO device structure. Another device was fabricated without nanorods for comparison, and this device demonstrated a diode-like behavior with no switching behavior at a low current compliance (CC). The switching became clear only when the CC was increased to 75 mA. The insertion of a nanorods layer induced switching characteristics at a low operation current and improve the endurance and retention performances. The morphology of the nanorods may control the switching characteristics. A forming-free electrochemical metallization memory device having long switching cycles (>104 cycles) with a sufficient memory window (103 times) for data storage application, good switching stability and sufficient retention was successfully fabricated by adjusting the morphology and defect concentration of the inserted nanorod layer. The nanorod layer not only contributed to inducing resistive switching characteristics but also acted as both a switching layer and a cation diffusion control layer.

  13. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  14. Probing individual redox PEGylated gold nanoparticles by electrochemical--atomic force microscopy.

    Science.gov (United States)

    Huang, Kai; Anne, Agnès; Bahri, Mohamed Ali; Demaille, Christophe

    2013-05-28

    Electrochemical-atomic force microscopy (AFM-SECM) was used to simultaneously probe the physical and electrochemical properties of individual ~20 nm sized gold nanoparticles functionalized by redox-labeled PEG chains. The redox PEGylated nanoparticles were assembled onto a gold electrode surface, forming a random nanoarray, and interrogated in situ by a combined AFM-SECM nanoelectrode probe. We show that, in this so-called mediator-tethered (Mt) mode, AFM-SECM affords the nanometer resolution required for resolving the position of individual nanoparticles and measuring their size, while simultaneously electrochemically directly contacting the redox-PEG chains they bear. The dual measurement of the size and current response of single nanoparticles uniquely allows the statistical distribution in grafting density of PEG on the nanoparticles to be determined and correlated to the nanoparticle diameter. Moreover, because of its high spatial resolution, Mt/AFM-SECM allows "visualizing" simultaneously but independently the PEG corona and the gold core of individual nanoparticles. Beyond demonstrating the achievement of single-nanoparticle resolution using an electrochemical microscopy technique, the results reported here also pave the way toward using Mt/AFM-SECM for imaging nano-objects bearing any kind of suitably redox-labeled (bio)macromolecules.

  15. Electrochemical behavior of adrenaline at the carbon atom wire modified electrode

    Energy Technology Data Exchange (ETDEWEB)

    Xue Kuanhong [Chemistry Department, Nanjing Normal University, Jiangsu Engineering Research Center for Bio-medical Function Materials, 122 NingHai Road, Nanjing, JiangSu 210097 (China)], E-mail: khxue@njnu.edu.cn; Liu Jiamei [Chemistry Department, Nanjing Normal University, Jiangsu Engineering Research Center for Bio-medical Function Materials, 122 NingHai Road, Nanjing, JiangSu 210097 (China); Wei Ribing [Chemistry Department, Nanjing Normal University, Jiangsu Engineering Research Center for Bio-medical Function Materials, 122 NingHai Road, Nanjing, JiangSu 210097 (China); Chen Shaopeng [Chemistry Department, Nanjing Normal University, Jiangsu Engineering Research Center for Bio-medical Function Materials, 122 NingHai Road, Nanjing, JiangSu 210097 (China)

    2006-09-11

    Electrochemical behavior of adrenaline at an electrode modified by carbon atom wires (CAWs), a new material, was investigated by cyclic voltammetry combined with UV-vis spectrometry, and forced convection method. As to the electrochemical response of redox of adrenaline/adrenalinequinone couple in 0.50 M H{sub 2}SO{sub 4}, at a nitric acid treated CAW modified electrode, the anodic and cathodic peak potentials E {sub pa} and E {sub pc} shifted by 87 mV negatively and 139 mV in the positive direction, respectively, and standard heterogeneous rate constant k {sup 0} increased by 16 times compared to the corresponding bare electrode, indicating the extraordinary activity of CAWs in electrocatalysis for the process.

  16. Atomic scale characterization of mismatched graphene layers

    International Nuclear Information System (INIS)

    Luican-Mayer, Adina; Li, Guohong; Andrei, Eva Y.

    2017-01-01

    Highlights: • Review of STM/STS of graphene with various degree of coupling. • Review of vertically twisted graphene with respect with each other. • Review of Landau levels in graphene layers weakly decoupled electronically. • Review of laterally twisted graphene forming grain boundaries. - Abstract: In the bourgeoning field of two dimensional layered materials and their atomically thin counterparts, it has been established that the electronic coupling between the layers of the material plays a key role in determining its properties [1,2]. We are just beginning to understand how each material is unique in that respect while working our way up to building new materials with functionalities enabled by interlayer interactions. In this review, we will focus on a system that despite its apparent simplicity possesses a wealth of intriguing physics: layers of graphene with various degree of coupling. The situations discussed here are graphene layers vertically twisted with respect with each other, weakly decoupled electronically and laterally twisted forming grain boundaries. We emphasize experiments that atomically resolve the electronic properties.

  17. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  18. Interaction of GaN epitaxial layers with atomic hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S

    2004-08-15

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H{sub 2} plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states.

  19. Interaction of GaN epitaxial layers with atomic hydrogen

    International Nuclear Information System (INIS)

    Losurdo, M.; Giangregorio, M.M.; Capezzuto, P.; Bruno, G.; Namkoong, G.; Doolittle, W.A.; Brown, A.S.

    2004-01-01

    GaN surface passivation processes are still under development and among others hydrogen treatments are investigated. In this study, we use non-destructive optical and electrical probes such as spectroscopic ellipsometry (SE) and surface potential Kelvin probe microscopy (SP-KPM) in conjunction with non-contact atomic force microscopy (AFM) for the study of the different reactivity of Ga-polar and N-polar GaN epitaxial layers with atomic hydrogen. The GaN epitaxial layers are grown by molecular beam epitaxy on sapphire (0 0 0 1) substrates, and GaN and AlN buffer layers are used to grow N-polar and Ga-polar films, respectively. The atomic hydrogen is produced by a remote rf (13.56 MHz) H 2 plasma in order to rule out any ion bombardment of the GaN surface and make the interaction chemical. It is found that the interaction of GaN surfaces with atomic hydrogen depends on polarity, with N-polar GaN exhibiting greater reactivity. Furthermore, it is found that atomic hydrogen is effective in the passivation of grain boundaries and surface defects states

  20. Inert Layered Silicate Improves the Electrochemical Responses of a Metal Complex Polymer.

    Science.gov (United States)

    Eguchi, Miharu; Momotake, Masako; Inoue, Fumie; Oshima, Takayoshi; Maeda, Kazuhiko; Higuchi, Masayoshi

    2017-10-11

    A chemically inert, insulating layered silicate (saponite; SP) and an iron(II)-based metallo-supramolecular complex polymer (polyFe) were combined via electrostatic attraction to improve the electrochromic properties of polyFe. Structural characterization indicated that polyFe was intercalated into the SP nanosheets. Interestingly, the redox potential of polyFe was lowered by combining it with SP, and the current was measurable despite the insulating nature of SP. X-ray photoelectron spectroscopy showed that the decrease in the redox potential observed in the SP-polyFe hybrid was caused by the electrostatic neutralization of the Fe cation in polyFe by the negative charge on SP. Electrochemical analyses indicated that electron transfer occurred through electron hopping across the SP-polyFe hybrid. Control experiments using a metal complex composed of Fe and two 2,2':6',2''-terpyridine ligands (terpyFe) showed that SP contributes to the effective electron hopping. This modulation of the electrochemical properties by the layered silicates could be applied to other electrochemical systems, including hybrids of the redox-active ionic species and ion-exchangeable adsorbents.

  1. Facile electrochemical synthesis of few layered graphene from discharged battery electrode and its

    Directory of Open Access Journals (Sweden)

    Santosh K. Tiwari

    2017-05-01

    Full Text Available A cost-effective, simple and non-hazardous route for synthesis of few-layered graphene from waste zinc carbon battery (ZCB electrodes via electrochemical expansion (ECE has been reported. In this synthesis, we have electrochemically exfoliated the graphene layers, by intercalating sodium dodecyl benzenesulfonate (SDBS surfactant into graphitic layers at different D.C. voltages with a constant SDBS concentration. The graphene sheets were isolated, purified and characterized by Transmission electron microscopy (TEM, Scanning electron microscopy (SEM, Fourier transform infrared spectrometry (FTIR, X-ray diffraction (XRD, Raman spectrometry, Ultraviolet absorption (UV, Selected area electron diffraction (SAED and Cyclic voltammetry. Best result was obtained at 4.5 V of D.C. A possible mechanism for the intercalation process has been proposed. A promising application of the produced material for supercapacitor application has also been explored in combination with polyaniline.

  2. NiO/nanoporous graphene composites with excellent supercapacitive performance produced by atomic layer deposition

    International Nuclear Information System (INIS)

    Chen, Caiying; Chen, Chaoqiu; Duan, Feifei; Zhao, Shichao; Qin, Yong; Huang, Peipei; Li, Ping; Fan, Jinchuan; Song, Weiguo

    2014-01-01

    Nickel oxide (NiO) is a promising electrode material for supercapacitors because of its low cost and high theoretical specific capacitance of 2573 F g −1 . However, the low electronic conductivity and poor cycling stability of NiO limit its practical applications. To overcome these limitations, an efficient atomic layer deposition (ALD) method is demonstrated here for the fabrication of NiO/nanoporous graphene (NG) composites as electrode materials for supercapacitors. ALD allows uniform deposition of NiO nanoparticles with controlled sizes on the surface of NG, thus offering a novel route to design NiO/NG composites for supercapacitor applications with high surface areas and greatly improved electrical conductivity and cycle stability. Electrochemical measurements reveal that the NiO/NG composites obtained by ALD exhibited excellent specific capacitance of up to ∼1005.8 F g −1 per mass of the composite electrode (the specific capacitance value is up to ∼1897.1 F g −1 based on the active mass of NiO), and stable performance after 1500 cycles. Furthermore, electrochemical performance of the NiO/NG composites is found to strongly depend on the size of NiO nanoparticles. (paper)

  3. Atomic-layer-resolved analysis of surface magnetism by diffraction spectroscopy

    International Nuclear Information System (INIS)

    Matsui, Fumihiko; Matsushita, Tomohiro; Daimon, Hiroshi

    2010-01-01

    X-ray absorption near edge structure (XANES) and X-ray magnetic circular dichroism (XMCD) measurements by Auger-electron-yield detection are powerful analysis tools for the electronic and magnetic structures of surfaces, but all the information from atoms within the electron mean-free-path range is summed into the obtained spectrum. In order to investigate the electronic and magnetic structures of each atomic layer at subsurface, we have proposed a new method, diffraction spectroscopy, which is the combination of X-ray absorption spectroscopy and Auger electron diffraction (AED). From a series of measured thickness dependent AED patterns, we deduced a set of atomic-layer-specific AED patterns arithmetically. Based on these AED patterns, we succeeded in disentangling obtained XANES and XMCD spectra into those from different atomic layers.

  4. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  5. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  6. Lifetime assessment of atomic-layer-deposited Al2O3-Parylene C bilayer coating for neural interfaces using accelerated age testing and electrochemical characterization.

    Science.gov (United States)

    Minnikanti, Saugandhika; Diao, Guoqing; Pancrazio, Joseph J; Xie, Xianzong; Rieth, Loren; Solzbacher, Florian; Peixoto, Nathalia

    2014-02-01

    The lifetime and stability of insulation are critical features for the reliable operation of an implantable neural interface device. A critical factor for an implanted insulation's performance is its barrier properties that limit access of biological fluids to the underlying device or metal electrode. Parylene C is a material that has been used in FDA-approved implantable devices. Considered a biocompatible polymer with barrier properties, it has been used as a substrate, insulation or an encapsulation for neural implant technology. Recently, it has been suggested that a bilayer coating of Parylene C on top of atomic-layer-deposited Al2O3 would provide enhanced barrier properties. Here we report a comprehensive study to examine the mean time to failure of Parylene C and Al2O3-Parylene C coated devices using accelerated lifetime testing. Samples were tested at 60°C for up to 3 months while performing electrochemical measurements to characterize the integrity of the insulation. The mean time to failure for Al2O3-Parylene C was 4.6 times longer than Parylene C coated samples. In addition, based on modeling of the data using electrical circuit equivalents, we show here that there are two main modes of failure. Our results suggest that failure of the insulating layer is due to pore formation or blistering as well as thinning of the coating over time. The enhanced barrier properties of the bilayer Al2O3-Parylene C over Parylene C makes it a promising candidate as an encapsulating neural interface. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  7. Investigation of the electrochemical deposition of thick layers of cadmium telluride

    International Nuclear Information System (INIS)

    Rousset, J.

    2007-04-01

    This research thesis deals with the problem of electrochemical deposition of thick layers of cadmium telluride (CdTe) meeting the requirements of high energy radiation detection. The author first recalls the physicochemical properties of CdTe and the basic principles of radiology. He details the different criteria which define a material for X ray detection. He describes the experimental conditions, the nature and preparation of substrates, and the different electrochemical systems used in this research. He studies the impact of the applied potential on the material properties, and compares previously obtained results available in the literature with those obtained in the chosen pool conditions. He discusses the synthesis of CdTe thick layers for which different methods are tested: static in potential, static in intensity, pulsed. The coatings obtained with a given potential and then with a given current are investigated. Finally, the influence of a thermal treatment in presence or absence of a sintering agent on the morphology, the chemical composition, and the crystalline and electric properties of the deposited material is discussed, and the results of the behaviour under X rays of a electrodeposited layer are presented

  8. Time dependence of the natural passivation process on AISI 304 in an alkaline medium: Atomic force microscopy and scanning Kelvin probe force microscopy as additional tools to electrochemical impedance spectroscopy

    Science.gov (United States)

    Benaioun, N. E.; Maafa, I.; Florentin, A.; Denys, E.; Hakiki, N. E.; Moulayat, N.; Bubendorff, J. L.

    2018-04-01

    Thin surface films formed on AISI 304 samples in an alkaline solution of pH = 13 are studied by atomic force microscopy (AFM), scanning Kelvin probe force microscopy (SKPFM) and electrochemical impedance spectroscopy (EIS) as a function of immersion time. The results reveal that changes on EIS diagrams correspond to topographical modifications on the sample surface as shown by AFM. Both techniques are therefore complementary. The oxide layer is chemically homogenous as shown by SKPFM imaging and our ultra-thin passive layer is an efficient barrier against corrosion.

  9. Improved Electrochemical Cycling Durability in a Nickel Oxide Double-Layered Film.

    Science.gov (United States)

    Hou, Shuai; Zhang, Xiang; Tian, Yanlong; Zhao, Jiupeng; Geng, Hongbin; Qu, Huiying; Zhang, Hangchuan; Zhang, Kun; Wang, Binsheng; Gavrilyuk, Alexander; Li, Yao

    2017-11-16

    For the first time, a crystalline-amorphous double-layered NiO x film has been prepared by reactive radio frequency magnetron sputtering. This film has exhibited improved electrochemical cycling durability, whereas other electrochromic parameters have been maintained at the required level, namely, a short coloration/bleaching time (0.8 s/1.1 s) and an enhanced transmittance modulation range (62.2 %) at λ=550 nm. Additionally, the double-layered film has shown better reversibility than that of amorphous and crystalline single-layered films. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  11. Density of states of adsorbed sulphur atoms on pristine and defective graphene layers

    International Nuclear Information System (INIS)

    Arellano, J S

    2017-01-01

    The density of states for adsorbed sulphur atom on a graphene layer system is discussed for pristine graphene layer and for mono and divacancies on the graphene layer. To our knowledge this is the first time that an entire adsorption of the sulphur atom is reported at the plane of the carbon atoms, when there is a pair of closer vacancies at the graphene layer. (paper)

  12. New development on electrochemical etching processes at the Atomic Energy Organization of Iran

    CERN Document Server

    Sohrabi, M

    1999-01-01

    Some highlights of new developments made in our laboratory at the Atomic Energy Organization of Iran on chemical and electrochemical etching (ECE) of polymer track detectors like polycarbonate (PC) are presented. They include introduction of new ECE chamber systems and methods for production of ECE signs and symbols, and a new versatile ECE chamber (VECE) system for multi-purpose, multi-size, and/or multi-shape detector processing; determination of photoneutron doses in and around high-energy X-ray beams of a 20 MV medical accelerator; verification of the Smythe and Mason equations for ECE of tracks in polymers; ECE of alpha and recoil tracks in PC using PMW, PEW and PEMW etchants; introduction of a novel method using ethylene diamine for treatment of PC detectors with its applications, for example in precision removal of surface layers of PC (e.g. bulk removal rates of about 0.04, 0.15, 0.36, 0.66, and 1.33 mm min sup - sup 1 for 60%, 65%, 70%, 75% and 80% ethylene diamine solution (v/v) in water respectivel...

  13. Electrochemical properties of porous carbon black layer as an electron injector into iodide redox couple

    International Nuclear Information System (INIS)

    Kim, Jung-Min; Rhee, Shi-Woo

    2012-01-01

    Highlights: ► Carbon black (CB) porous layer for triiodide (I 3 − ) ion reduction is coated with spray coating method at 120 °C on the fluorine-doped tin oxide glass. ► The electrochemical impedance spectroscopy is analyzed for a symmetric cell and a new circuit model is applied to identify electrochemical parameters. ► Decreased particle size and increased thickness improve the catalytic activity because of the increase in the surface area and the conductivity of the CB layer. - Abstract: Electrochemical properties of carbon black (CB) porous layer as a counter electrode in dye-sensitized solar cells (DSC) are studied. CB electrode for triiodide (I 3 − ) ion reduction is coated with spray coating method on the fluorine-doped tin oxide glass at 120 °C. The CB particle size is varied from 20 nm to 90 nm and the CB electrode thickness is controlled from 1 μm to 9 μm by controlling the spraying time. The electrochemical impedance spectroscopy is analyzed for a symmetric cell and a new circuit model is applied to identify electrochemical parameters. As the CB particle size is decreased, the catalytic activity is improved because of the increase in the surface area and the conductivity of the CB layer. Increased CB electrode thickness also improves the catalytic activity and leads to the low charge transfer resistance at the electrolyte/CB electrode interface. The CB counter electrode with the particle size of 20 nm and the thickness of 9 μm for DSC shows the energy conversion efficiency of 7.2% with the highest fill factor (FF) of 65.6%, which is similar to the Pt counter electrode with FF of 65.8% and the efficiency of 7.6%.

  14. Electrochemical characterization of single-walled carbon nanotubes for electrochemical double layer capacitors using non-aqueous electrolyte

    International Nuclear Information System (INIS)

    Ruch, P.W.; Koetz, R.; Wokaun, A.

    2009-01-01

    Single-walled carbon nanotubes (SWCNTs) were investigated by cyclic voltammetry and electrochemical impedance spectroscopy in a non-aqueous electrolyte, 1 M Et 4 NBF 4 in acetonitrile, suitable for supercapacitors. Further, in situ dilatometry and in situ conductance measurements were performed on single electrodes and the results compared to an activated carbon, YP17. Both materials show capacitive behavior characteristic of high surface area electrodes for supercapacitors, with the maximum full cell gravimetric capacitance being 34 F/g for YP17 and 20 F/g for SWCNTs at 2.5 V with respect to the total active electrode mass. The electronic resistance of SWCNTs and activated carbon decreases significantly during charging, showing similarities of the two materials during electrochemical doping. The SWCNT electrode expands irreversibly during the first electrochemical potential sweep as verified by in situ dilatometry, indicative of at least partial debundling of the SWCNTs. A reversible periodic swelling and shrinking during cycling is observed for both materials, with the magnitude of expansion depending on the type of ions forming the double layer.

  15. Photoenhanced atomic layer epitaxy. Hikari reiki genshiso epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mashita, M.; Kawakyu, Y. (Toshiba corp., Tokyo (Japan))

    1991-10-01

    The growth temperature range was greatly expanded of atomic layer epitaxy (ALE) expected as the growth process of ultra-thin stacks. Ga layers and As layers were formed one after the other on a GaAs substrate in the atmosphere of trimethylgallium (TMG) or AsH{sub 2} supplied alternately, by KrF excimer laser irradiation normal to the substrate. As a result, the growth temperature range was 460-540{degree}C nearly 10 times that of 500 {plus minus} several degrees centigrade in conventional thermal growth method. Based on the experimental result where light absorption of source molecules adsorbed on a substrate surface was larger than that under gaseous phase condition, new adsorbed layer enhancement model was proposed to explain above irradiation effect verifying it by experiments. As this photoenhancement technique is applied to other materials, possible fabrication of new crystal structures as a super lattice with ultra-thin stacks of single atomic layers is expected because of a larger freedom in material combination for hetero-ALE. 11 refs., 7 figs.

  16. Single-Atom Catalyst of Platinum Supported on Titanium Nitride for Selective Electrochemical Reactions.

    Science.gov (United States)

    Yang, Sungeun; Kim, Jiwhan; Tak, Young Joo; Soon, Aloysius; Lee, Hyunjoo

    2016-02-05

    As a catalyst, single-atom platinum may provide an ideal structure for platinum minimization. Herein, a single-atom catalyst of platinum supported on titanium nitride nanoparticles were successfully prepared with the aid of chlorine ligands. Unlike platinum nanoparticles, the single-atom active sites predominantly produced hydrogen peroxide in the electrochemical oxygen reduction with the highest mass activity reported so far. The electrocatalytic oxidation of small organic molecules, such as formic acid and methanol, also exhibited unique selectivity on the single-atom platinum catalyst. A lack of platinum ensemble sites changed the reaction pathway for the oxygen-reduction reaction toward a two-electron pathway and formic acid oxidation toward direct dehydrogenation, and also induced no activity for the methanol oxidation. This work demonstrates that single-atom platinum can be an efficient electrocatalyst with high mass activity and unique selectivity. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO_2 thin films to produce a new hybrid material coating

    International Nuclear Information System (INIS)

    Drevet, R.; Dragoé, D.; Barthés-Labrousse, M.G.; Chaussé, A.; Andrieux, M.

    2016-01-01

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO_2 thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO_2 thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO_2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO_2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  18. Electrochemically fabricated polypyrrole-cobalt-oxygen coordination complex as high-performance lithium-storage materials.

    Science.gov (United States)

    Guo, Bingkun; Kong, Qingyu; Zhu, Ying; Mao, Ya; Wang, Zhaoxiang; Wan, Meixiang; Chen, Liquan

    2011-12-23

    Current lithium-ion battery (LIB) technologies are all based on inorganic electrode materials, though organic materials have been used as electrodes for years. Disadvantages such as limited thermal stability and low specific capacity hinder their applications. On the other hand, the transition metal oxides that provide high lithium-storage capacity by way of electrochemical conversion reaction suffer from poor cycling stability. Here we report a novel high-performance, organic, lithium-storage material, a polypyrrole-cobalt-oxygen (PPy-Co-O) coordination complex, with high lithium-storage capacity and excellent cycling stability. Extended X-ray absorption fine structure and Raman spectroscopy and other physical and electrochemical characterizations demonstrate that this coordination complex can be electrochemically fabricated by cycling PPy-coated Co(3)O(4) between 0.0 V and 3.0 V versus Li(+)/Li. Density functional theory (DFT) calculations indicate that each cobalt atom coordinates with two nitrogen atoms within the PPy-Co coordination layer and the layers are connected with oxygen atoms between them. Coordination weakens the C-H bonds on PPy and makes the complex a novel lithium-storage material with high capacity and high cycling stability. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Electrochemical sensors and biosensors based on less aggregated graphene.

    Science.gov (United States)

    Bo, Xiangjie; Zhou, Ming; Guo, Liping

    2017-03-15

    As a novel single-atom-thick sheet of sp 2 hybridized carbon atoms, graphene (GR) has attracted extensive attention in recent years because of its unique and remarkable properties, such as excellent electrical conductivity, large theoretical specific surface area, and strong mechanical strength. However, due to the π-π interaction, GR sheets are inclined to stack together, which may seriously degrade the performance of GR with the unique single-atom layer. In recent years, an increasing number of GR-based electrochemical sensors and biosensors are reported, which may reflect that GR has been considered as a kind of hot and promising electrode material for electrochemical sensor and biosensor construction. However, the active sites on GR surface induced by the irreversible GR aggregations would be deeply secluded inside the stacked GR sheets and therefore are not available for the electrocatalysis. So the alleviation or the minimization of the aggregation level for GR sheets would facilitate the exposure of active sites on GR and effectively upgrade the performance of GR-based electrochemical sensors and biosensors. Less aggregated GR with low aggregation and high dispersed structure can be used in improving the electrochemical activity of GR-based electrochemical sensors or biosensors. In this review, we summarize recent advances and new progress for the development of electrochemical sensors based on less aggregated GR. To achieve such goal, many strategies (such as the intercalation of carbon materials, surface modification, and structural engineering) have been applied to alleviate the aggregation level of GR in order to enhance the performance of GR-based electrochemical sensors and biosensors. Finally, the challenges associated with less aggregated GR-based electrochemical sensors and biosensors as well as related future research directions are discussed. Copyright © 2016 Elsevier B.V. All rights reserved.

  20. Facile preparation, optical and electrochemical properties of layer-by-layer V{sub 2}O{sub 5} quadrate structures

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yifu, E-mail: yfzhang@dlut.edu.cn; Zheng, Jiqi; Wang, Qiushi; Hu, Tao; Tian, Fuping; Meng, Changgong

    2017-03-31

    Highlights: • Layer-by-layer V{sub 2}O{sub 5} structures self-assembly by quadrate sheets like “multilayer cake” were synthesized. • Carbon spheres is as the structure-directing reagent like adhesive to guide the formation of layer-by-layer structures. • UV–vis spectrum shows two major absorption bands at about 340 and 478 nm and PL spectrum exhibits the emission peak at 545 nm for V{sub 2}O{sub 5} layer-by-layer structures. • The electrochemical properties of layer-by-layer V{sub 2}O{sub 5} structures are significantly improved in organic electrolyte. - Abstract: Layer-by-layer V{sub 2}O{sub 5} structures self-assembly by quadrate sheets like “multilayer cake” were successfully synthesized using NH{sub 4}VO{sub 3} as the vanadium sources by a facile hydrothermal route and combination of the calcination. The structure and composition were characterized by field emission scanning electron microscopy, energy-dispersive X-ray spectrometer, X-ray powder diffraction, Raman and Fourier transform infrared spectroscopy. The optical properties of the as-obtained V{sub 2}O{sub 5} layer-by-layer structures were investigated by the Ultraviolet–visible spectroscopy and photoluminescence spectrum. The electrochemical properties of the as-obtained V{sub 2}O{sub 5} layer-by-layer structures as electrodes in supercapacitor device were measured by cyclic voltammetry (CV) and galvanostatic charge-discharge (GCD) both in the aqueous and organic electrolyte. The specific capacitance is 347 F g{sup −1} at 1 A g{sup −1} in organic electrolyte, which is improved by 46% compared with 238 F g{sup −1} in aqueous electrolyte. During the cycle performance, the specific capacitances of V{sub 2}O{sub 5} layer-by-layer structures after 100 cycles are 30% and 82% of the initial discharge capacity in the aqueous and organic electrolyte, respectively, indicating the cycle performance is significantly improved in organic electrolyte. Our results turn out that layer-by-layer

  1. Imaging by Electrochemical Scanning Tunneling Microscopy and Deconvolution Resolving More Details of Surfaces Nanomorphology

    DEFF Research Database (Denmark)

    Andersen, Jens Enevold Thaulov

    observed in high-resolution images of metallic nanocrystallites may be effectively deconvoluted, as to resolve more details of the crystalline morphology (see figure). Images of surface-crystalline metals indicate that more than a single atomic layer is involved in mediating the tunneling current......Upon imaging, electrochemical scanning tunneling microscopy (ESTM), scanning electrochemical micro-scopy (SECM) and in situ STM resolve information on electronic structures and on surface topography. At very high resolution, imaging processing is required, as to obtain information that relates...... to crystallographic-surface structures. Within the wide range of new technologies, those images surface features, the electrochemical scanning tunneling microscope (ESTM) provides means of atomic resolution where the tip participates actively in the process of imaging. Two metallic surfaces influence ions trapped...

  2. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  3. Electrochemical and radiochemical material transport examinations in humate-containing montmorillonite a bentonite thin layers

    International Nuclear Information System (INIS)

    Antal, K.; Joo, P.

    1999-01-01

    Various humate-containing H-bentonite layers were investigated using 137 Cs ion transport and radio absorption measuring method. These processes can model radioactive contamination migration in soils exposed to acid rains. Experiment using montmorillonite and bentonite layers are discussed, and the results obtained with electrochemical and radioisotope absorption techniques are presented. (R.P.)

  4. Refined tip preparation by electrochemical etching and ultrahigh vacuum treatment to obtain atomically sharp tips for scanning tunneling microscope and atomic force microscope

    International Nuclear Information System (INIS)

    Hagedorn, Till; Ouali, Mehdi El; Paul, William; Oliver, David; Miyahara, Yoichi; Gruetter, Peter

    2011-01-01

    A modification of the common electrochemical etching setup is presented. The described method reproducibly yields sharp tungsten tips for usage in the scanning tunneling microscope and tuning fork atomic force microscope. In situ treatment under ultrahigh vacuum (p ≤10 -10 mbar) conditions for cleaning and fine sharpening with minimal blunting is described. The structure of the microscopic apex of these tips is atomically resolved with field ion microscopy and cross checked with field emission.

  5. Effect of calcination temperature on microstructure and electrochemical performance of lithium-rich layered oxide cathode materials

    International Nuclear Information System (INIS)

    Ma, Quanxin; Peng, Fangwei; Li, Ruhong; Yin, Shibo; Dai, Changsong

    2016-01-01

    Highlights: • A series of Li-rich layered oxide cathode materials (Li_1_._2Mn_0_._5_6Ni_0_._1_6Co_0_._0_8O_2) were successfully synthesized via a two-step synthesis method. • The effects of calcination temperature on the cathode materials were researched in detail. • A well-crystallized layered structure was obtained as the calcination temperature increased. • The samples calcined in a range of 850–900 °C exhibited excellent electrochemical performance. - Abstract: Lithium-rich layered oxide cathode materials (Li_1_._2Mn_0_._5_6Ni_0_._1_6Co_0_._0_8O_2 (LLMO)) were synthesized via a two-step synthesis method involving co-precipitation and high-temperature calcination. The effects of calcination temperature on the cathode materials were studied in detail. Structural and morphological characterizations revealed that a well-crystallized layered structure was obtained at a higher calcination temperature. Electrochemical performance evaluation revealed that a cathode material obtained at a calcination temperature of 850 °C delivered a high initial discharge capacity of 266.8 mAh g"−"1 at a 0.1 C rate and a capacity retention rate of 95.8% after 100 cycles as well as excellent rate capability. Another sample calcinated at 900 °C exhibited good cycling stability. It is concluded that the structural stability and electrochemical performance of Li-rich layered oxide cathode materials were strongly dependent on calcination temperatures. The results suggest that a calcination temperature in a range of 850–900 °C could promote electrochemical performance of this type of cathode materials.

  6. Effect of calcination temperature on microstructure and electrochemical performance of lithium-rich layered oxide cathode materials

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Quanxin; Peng, Fangwei; Li, Ruhong; Yin, Shibo; Dai, Changsong, E-mail: changsd@hit.edu.cn

    2016-11-15

    Highlights: • A series of Li-rich layered oxide cathode materials (Li{sub 1.2}Mn{sub 0.56}Ni{sub 0.16}Co{sub 0.08}O{sub 2}) were successfully synthesized via a two-step synthesis method. • The effects of calcination temperature on the cathode materials were researched in detail. • A well-crystallized layered structure was obtained as the calcination temperature increased. • The samples calcined in a range of 850–900 °C exhibited excellent electrochemical performance. - Abstract: Lithium-rich layered oxide cathode materials (Li{sub 1.2}Mn{sub 0.56}Ni{sub 0.16}Co{sub 0.08}O{sub 2} (LLMO)) were synthesized via a two-step synthesis method involving co-precipitation and high-temperature calcination. The effects of calcination temperature on the cathode materials were studied in detail. Structural and morphological characterizations revealed that a well-crystallized layered structure was obtained at a higher calcination temperature. Electrochemical performance evaluation revealed that a cathode material obtained at a calcination temperature of 850 °C delivered a high initial discharge capacity of 266.8 mAh g{sup −1} at a 0.1 C rate and a capacity retention rate of 95.8% after 100 cycles as well as excellent rate capability. Another sample calcinated at 900 °C exhibited good cycling stability. It is concluded that the structural stability and electrochemical performance of Li-rich layered oxide cathode materials were strongly dependent on calcination temperatures. The results suggest that a calcination temperature in a range of 850–900 °C could promote electrochemical performance of this type of cathode materials.

  7. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  8. Determination of Te in soldering tin using continuous flowing electrochemical hydride generation atomic fluorescence spectrometry

    International Nuclear Information System (INIS)

    Jiang Xianjuan; Gan Wuer; Han Suping; He Youzhao

    2008-01-01

    An electrochemical hydride generation system was developed for the detection of Te by coupling an electrochemical hydride generator with atomic fluorescence spectrometry. Since TeH 2 is unstable and easily decomposes in solution, a reticular W filament cathode was used in the present system. The TeH 2 generated on the cathode surface was effectively driven out by sweeping gas from the cathode chamber. In addition, a low temperature electrochemical cell (10 deg. C) was applied to reduce the decomposition of TeH 2 in solution. The limit of detection (LOD) was 2.2 ng ml -1 and the relative standard deviation (RSD) was 3.9% for nine consecutive measurements of standard solution. This method was successfully employed for determination of Te in soldering tin material

  9. Macro-mechanics controls quantum mechanics: mechanically controllable quantum conductance switching of an electrochemically fabricated atomic-scale point contact.

    Science.gov (United States)

    Staiger, Torben; Wertz, Florian; Xie, Fangqing; Heinze, Marcel; Schmieder, Philipp; Lutzweiler, Christian; Schimmel, Thomas

    2018-01-12

    Here, we present a silver atomic-scale device fabricated and operated by a combined technique of electrochemical control (EC) and mechanically controllable break junction (MCBJ). With this EC-MCBJ technique, we can perform mechanically controllable bistable quantum conductance switching of a silver quantum point contact (QPC) in an electrochemical environment at room temperature. Furthermore, the silver QPC of the device can be controlled both mechanically and electrochemically, and the operating mode can be changed from 'electrochemical' to 'mechanical', which expands the operating mode for controlling QPCs. These experimental results offer the perspective that a silver QPC may be used as a contact for a nanoelectromechanical relay.

  10. XPS-nanocharacterization of organic layers electrochemically grafted on the surface of SnO{sub 2} thin films to produce a new hybrid material coating

    Energy Technology Data Exchange (ETDEWEB)

    Drevet, R., E-mail: richarddrevet@yahoo.fr [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Dragoé, D.; Barthés-Labrousse, M.G. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France); Chaussé, A. [Université d’Evry Val d’Essonne, LAMBE, CNRS-CEA UMR 8587, Boulevard François Mitterrand, 91025 Evry Cedex (France); Andrieux, M. [Univ. Paris Sud, SP2M-ICMMO, CNRS UMR 8182, Bât. 410, 91405 Orsay Cedex (France)

    2016-10-30

    Graphical abstract: An innovative hybrid material layer is synthesized by combining two processes. SnO{sub 2} thin films are deposited by MOCVD on Si substrates and an organic layer made of carboxyphenyl moieties is electrochemically grafted by the reduction of a diazonium salt. XPS characterizations are carried out to assess the efficiency of the electrochemical grafting. Display Omitted - Highlights: • An innovative hybrid material layer is synthesized by combining two processes. • SnO{sub 2} thin films are deposited by MOCVD on Si substrates. • An organic layer is electrochemically grafted by the reduction of a diazonium salt. • The efficiency of the grafting is accurately assessed by XPS. • Three electrochemical grafting models are proposed. - Abstract: This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO{sub 2}) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO{sub 2} layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.

  11. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  12. A review on electrochemical double-layer capacitors

    International Nuclear Information System (INIS)

    Sharma, Pawan; Bhatti, T.S.

    2010-01-01

    Various energy storage technologies have been developed in the market for various applications. Batteries flywheels, fuel cells are a few which are much common, those are being used in several countries and also research is also carrying on these technologies to make much better them. The electrochemical double-layer capacitor (EDLC) is an emerging technology, which really plays a key part in fulfilling the demands of electronic devices and systems, for present and future. This paper presents the historical background, classification, construction, modeling, testing, and voltage balancing of the EDLC technology. The applications of EDLC in electrical vehicles, power quality, and others are also discussed and their advantages over other storages technologies are also discussed.

  13. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  14. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  15. Method of forming a plasma sprayed interconnection layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Spengler, Charles J.; Folser, George R.; Vora, Shailesh D.; Kuo, Lewis; Richards, Von L.

    1995-01-01

    A dense, substantially gas-tight, electrically conductive interconnection layer is formed on an air electrode structure of an electrochemical cell by (A) providing an electrode surface; (B) forming on a selected portion of the electrode surface, a layer of doped LaCrO.sub.3 particles doped with an element selected from Ca, Sr, Ba, Mg, Co, Ni, Al and mixtures thereof by plasma spraying doped LaCrO.sub.3 powder, preferably compensated with chromium as Cr.sub.2 O.sub.3 and/or dopant element, preferably by plasma arc spraying; and, (C) heating the doped and compensated LaCrO.sub.3 layer to about 1100.degree. C. to 1300.degree. C. to provide a dense, substantially gas-tight, substantially hydration-free, electrically conductive interconnection material bonded to the electrode surface. A solid electrolyte layer can be applied to the unselected portion of the air electrode, and a fuel electrode can be applied to the solid electrolyte, to provide an electrochemical cell.

  16. Electrochemical properties of novel ionic liquids for electric double layer capacitor applications

    International Nuclear Information System (INIS)

    Sato, Takaya; Masuda, Gen; Takagi, Kentaro

    2004-01-01

    An aliphatic quaternary ammonium salt which has a methoxyethyl group on the nitrogen atom formed an ionic liquid (room temperature molten salt) when combined with the tetrafluoroborate (BF 4 - ) and bis(trifluoromethylsulfonyl)imide [TFSI; (CF 3 SO 2 ) 2 N - ] anions. The limiting oxidation and reduction potentials, specific conductivity, and some other physicochemical properties of the novel ionic liquids, N,N-diethyl-N-methyl-N-(2-methoxyethyl)ammonium tetrafluoroborate (DEME-BF 4 ) and DEME-TFSI have been evaluated and compared with those of 1-ethyl-3-methylimidazolium tetrafluoroborate. DEME-BF 4 is a practically useful ionic liquid for electrochemical capacitors as it has a quite wide potential window (6.0 V) and high ionic conductivity (4.8 mS cm -1 at 25 deg. C). We prepared an electric double layer capacitor (EDLC) composed of a pair of activated carbon electrodes and DEME-BF 4 as the electrolyte. This EDLC (working voltage ∼2.5 V) has both, a higher capacity above room temperature and a better charge-discharge cycle durability at 100 deg. C when compared to a conventional EDLC using an organic liquid electrolyte such as a tetraethylammonium tetrafluoroborate in propylene carbonate

  17. Electrochemical surface nitriding of pure iron by molten salt electrochemical process

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, Hiroyuki; Goto, Takuya; Ito, Yasuhiko

    2004-08-11

    Electrochemical surface nitriding of pure iron was investigated in molten LiCl-KCl-Li{sub 3}N systems at 773 K. An outer compound layer and an inner diffusion layer were obtained by means of potentiostatic electrolysis at 1.00 V (versus Li{sup +}/Li). From XRD and SEM analyses, it was confirmed that the obtained compound layer consisted of {epsilon}-Fe{sub 2-3}N and {gamma}'-Fe{sub 4}N; the free energies of formation of the two nitrides are positive and the equilibrium nitrogen partial pressure of those are of the order of 10{sup 4} atm at 773 K. This result suggests that an apparent nitrogen partial pressure of at least the order of 10{sup 4} atm was imposed by the adsorbed nitrogen atoms (N{sub ads}) formed by anodic oxidation of nitride ion (N{sup 3-}) at the iron electrode surface.

  18. Magnetic dichroism in photoemission: a new element-specific magnetometer with atomic-layer resolution

    International Nuclear Information System (INIS)

    Starke, K.; Arenholz, E.; Kaindl, G.

    1998-01-01

    Full text: Magnetic coupling in layered metallic structures has become a key issue in thin-film magnetism since the observation of oscillatory exchange coupling across non-ferromagnetic spacer layers. Although this phenomenon was discovered in rare earths (RE) superlattices, mostly transition-metal systems have been studied and are now applied in data-storage industry. An understanding of the coupling mechanisms has been reached after a fabrication of high-quality interfaces became possible. It allowed, in particular, the experimental finding of induced ferromagnetic order in 'nonmagnetic' atomic layers near an interface, using element-specific probes such as magnetic circular dichroism in x-ray absorption. - In layered RE systems, by contrast, the well known intermiscibility has prevented a preparation of atomically sharp interfaces, and all RE superlattices studied so far showed interdiffusion zones of several atomic layers. In the present overview, we report the first fabrication of atomically flat heteromagnetic RE interfaces, their structural characterization and their magnetic analysis using magnetic dichroism in photoemission (MDPE). This new tool gives access to the magnetization of individual atomic layers near interfaces in favourite cases. Merits of MDPE as a magnetometer are demonstrated at the example of Eu/Gd(0001), where chemical shifts of core-level photoemission lines allow to spectroscopically separate up to four different atomic layers. The high surface sensitivity of MDPE, together with the well known dependence of the core-level binding energies on the coordination number of the photo emitting atom, opens the door to future site-specific studies of magnetism in sub-monolayer systems such as 'nanowires'

  19. Electrochemical Corrosion Behavior of Oxidation Layer on Fe30Mn5Al Alloy

    Directory of Open Access Journals (Sweden)

    ZHU Xue-mei

    2017-08-01

    Full Text Available The Fe30Mn5Al alloy was oxidized at 800℃ in air for 160h, the oxidation-induced layer about 15μm thick near the scale-metal interface was induced to transform to ferrite and become enriched in Fe and depletion in Mn. The effect of the oxidation-induced Mn depletion layer on the electrochemical corrosion behavior of Fe30Mn5Al alloy was evaluated. The results show that in 1mol·L-1 Na2SO4 solution, the anodic polarization curve of the Mn depletion layer exhibits self-passivation, compared with Fe30Mn5Al austenitic alloy, and the corrosion potential Evs SCE is increased to -130mV from -750mV and the passive current density ip is decreased to 29μA/cm2 from 310μA/cm2. The electrochemical impedance spectroscopy(EIS of the Mn depletion layer has the larger diameter of capacitive arc, the higher impedance modulus|Z|, and the wider phase degree range, and the fitted polarization resistant Rt is increased to 9.9kΩ·cm2 from 2.7kΩ·cm2 by using an equivalent electric circuit of Rs-(Rt//CPE. The high insulation of the Mn depletion layer leads to an improved corrosion resistance of Fe30Mn5Al austenitic alloy.

  20. A cell for the controllable thermal treatment and electrochemical characterisation of single crystal alloy electrodes

    DEFF Research Database (Denmark)

    Bondarenko, Alexander S.; Stephens, Ifan E.L.; Chorkendorff, Ib

    2012-01-01

    be performed in this cell. These include preparation and basic voltammetric characterisation of Cu/Pt(111) near-surface and surface alloys where monolayer amounts of Cu are located in the 1st and 2nd layers, respectively. The cell can also be useful for “electrochemical atomic layer epitaxy” to assemble...... multilayers using repetitive underpotential deposition....

  1. Nano-soldering to single atomic layer

    Science.gov (United States)

    Girit, Caglar O [Berkeley, CA; Zettl, Alexander K [Kensington, CA

    2011-10-11

    A simple technique to solder submicron sized, ohmic contacts to nanostructures has been disclosed. The technique has several advantages over standard electron beam lithography methods, which are complex, costly, and can contaminate samples. To demonstrate the soldering technique graphene, a single atomic layer of carbon, has been contacted, and low- and high-field electronic transport properties have been measured.

  2. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  3. Solvothermal synthesis of Li–Al layered double hydroxides and their electrochemical performance

    International Nuclear Information System (INIS)

    Wei, Jinbo; Gao, Zan; Song, Yanchao; Yang, Wanlu; Wang, Jun; Li, Zhanshuang; Mann, Tom; Zhang, Milin; Liu, Lianhe

    2013-01-01

    In this paper, for the first time, Li/Al layered double hydroxides (LDHs) were synthesized by a facile and environment-friendly solvothermal approach. X-ray diffraction patterns show that the as-prepared products belong to the hexagonal phase. Well-defined LDHs particles with spiral-shape (1–2 μm), hexagonal (2–3 μm) and petal-like structures (10–15 μm) have been successfully fabricated by adjusting the content of water/ethanol in the synthesis process. A possible growth mechanism was proposed for the formation of these structures. Their electrochemical performances were investigated by cyclic voltammetry, galvanostatic charge/discharge test and electrochemical impedance spectroscopy. The hexagonal Li/Al LDHs calcined at 450 °C exhibit the specific capacitance of 848 F g −1 at a current density of 1.25 A g −1 . The high specific capacitance and remarkable rate capacity of Li/Al LDHs are promising for applications in capacitors and low-cost aqueous lithium ion batteries. - Graphical abstract: Hexagonal Li/Al layered double hydroxides (LDHs) with high specific surface area and remarkable rate capacity via a facile and environmentally friendly solvothermal approach. Highlights: ► Li/Al LDHs with different morphologies were fabricated by a solvothermal method. ► Hexagonal Li/Al LDHs display better electrochemical performance. ► A possible growth mechanism to explain the different morphology is proposed

  4. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  5. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  6. Characterization of the porosity of silicon nitride thin layers by Electrochemical Impedance Spectroscopy

    International Nuclear Information System (INIS)

    Barrès, T.; Tribollet, B.; Stephan, O.; Montigaud, H.; Boinet, M.; Cohin, Y.

    2017-01-01

    Silicon nitride thin films are widely used as diffusion barriers within stacks in the glass industry but turn out to be porous at the nanometric scale. EIS measurements were conducted on SiNx thin layers deposited on a gold layer. An electrochemical model was established to fit the EIS measurements making use of data from other complementary techniques. In particular, Transmission Electron Microscopy was performed on these thin layers to determine the diameter and the qualitative morphology of the pores. A quantitative determination of the through-porosity of the layer was deduced from the EIS model and was in good agreement with TEM measurements. Moreover, combining EIS with local observations enabled inhomogeneities in the layer to be probed by highlighting a specific region in the layer.

  7. Atomic Layer Deposition of Nickel on ZnO Nanowire Arrays for High-Performance Supercapacitors.

    Science.gov (United States)

    Ren, Qing-Hua; Zhang, Yan; Lu, Hong-Liang; Wang, Yong-Ping; Liu, Wen-Jun; Ji, Xin-Ming; Devi, Anjana; Jiang, An-Quan; Zhang, David Wei

    2018-01-10

    A novel hybrid core-shell structure of ZnO nanowires (NWs)/Ni as a pseudocapacitor electrode was successfully fabricated by atomic layer deposition of a nickel shell, and its capacitive performance was systemically investigated. Transmission electron microscopy and X-ray photoelectron spectroscopy results indicated that the NiO was formed at the interface between ZnO and Ni where the Ni was oxidized by ZnO during the ALD of the Ni layer. Electrochemical measurement results revealed that the Ti/ZnO NWs/Ni (1500 cycles) electrode with a 30 nm thick Ni-NiO shell layer had the best supercapacitor properties including ultrahigh specific capacitance (∼2440 F g -1 ), good rate capability (80.5%) under high current charge-discharge conditions, and a relatively better cycling stability (86.7% of the initial value remained after 750 cycles at 10 A g -1 ). These attractive capacitive behaviors are mainly attributed to the unique core-shell structure and the combined effect of ZnO NW arrays as short charge transfer pathways for ion diffusion and electron transfer as well as conductive Ni serving as channel for the fast electron transport to Ti substrate. This high-performance Ti/ZnO NWs/Ni hybrid structure is expected to be one of a promising electrodes for high-performance supercapacitor applications.

  8. Refined tip preparation by electrochemical etching and ultrahigh vacuum treatment to obtain atomically sharp tips for scanning tunneling microscope and atomic force microscope.

    Science.gov (United States)

    Hagedorn, Till; El Ouali, Mehdi; Paul, William; Oliver, David; Miyahara, Yoichi; Grütter, Peter

    2011-11-01

    A modification of the common electrochemical etching setup is presented. The described method reproducibly yields sharp tungsten tips for usage in the scanning tunneling microscope and tuning fork atomic force microscope. In situ treatment under ultrahigh vacuum (p ≤10(-10) mbar) conditions for cleaning and fine sharpening with minimal blunting is described. The structure of the microscopic apex of these tips is atomically resolved with field ion microscopy and cross checked with field emission. © 2011 American Institute of Physics

  9. Electrochemical Li Topotactic Reaction in Layered SnP3 for Superior Li-Ion Batteries

    Science.gov (United States)

    Park, Jae-Wan; Park, Cheol-Min

    2016-10-01

    The development of new anode materials having high electrochemical performances and interesting reaction mechanisms is highly required to satisfy the need for long-lasting mobile electronic devices and electric vehicles. Here, we report a layer crystalline structured SnP3 and its unique electrochemical behaviors with Li. The SnP3 was simply synthesized through modification of Sn crystallography by combination with P and its potential as an anode material for LIBs was investigated. During Li insertion reaction, the SnP3 anode showed an interesting two-step electrochemical reaction mechanism comprised of a topotactic transition (0.7-2.0 V) and a conversion (0.0-2.0 V) reaction. When the SnP3-based composite electrode was tested within the topotactic reaction region (0.7-2.0 V) between SnP3 and LixSnP3 (x ≤ 4), it showed excellent electrochemical properties, such as a high volumetric capacity (1st discharge/charge capacity was 840/663 mA h cm-3) with a high initial coulombic efficiency, stable cycle behavior (636 mA h cm-3 over 100 cycles), and fast rate capability (550 mA h cm-3 at 3C). This layered SnP3 anode will be applicable to a new anode material for rechargeable LIBs.

  10. Electrochemical Deposition of Conformal and Functional Layers on High Aspect Ratio Silicon Micro/Nanowires.

    Science.gov (United States)

    Ozel, Tuncay; Zhang, Benjamin A; Gao, Ruixuan; Day, Robert W; Lieber, Charles M; Nocera, Daniel G

    2017-07-12

    Development of new synthetic methods for the modification of nanostructures has accelerated materials design advances to furnish complex architectures. Structures based on one-dimensional (1D) silicon (Si) structures synthesized using top-down and bottom-up methods are especially prominent for diverse applications in chemistry, physics, and medicine. Yet further elaboration of these structures with distinct metal-based and polymeric materials, which could open up new opportunities, has been difficult. We present a general electrochemical method for the deposition of conformal layers of various materials onto high aspect ratio Si micro- and nanowire arrays. The electrochemical deposition of a library of coaxial layers comprising metals, metal oxides, and organic/inorganic semiconductors demonstrate the materials generality of the synthesis technique. Depositions may be performed on wire arrays with varying diameter (70 nm to 4 μm), pitch (5 μ to 15 μ), aspect ratio (4:1 to 75:1), shape (cylindrical, conical, hourglass), resistivity (0.001-0.01 to 1-10 ohm/cm 2 ), and substrate orientation. Anisotropic physical etching of wires with one or more coaxial shells yields 1D structures with exposed tips that can be further site-specifically modified by an electrochemical deposition approach. The electrochemical deposition methodology described herein features a wafer-scale synthesis platform for the preparation of multifunctional nanoscale devices based on a 1D Si substrate.

  11. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  12. Electrochemical study of the tarnish layer of silver deposited on glass

    International Nuclear Information System (INIS)

    Amor, Y. Ben; Sutter, E.; Takenouti, H.; Tribollet, B.; Boinet, M.; Faure, R.; Balencie, J.; Durieu, G.

    2014-01-01

    Cyclic voltammetry (CV) and electrochemical impedance spectroscopy (EIS) were used to characterize the tarnished thin layer of silver deposited on glass. Instead of natural tarnishing in air environment, an acceleration of tarnishing process was realized by immersion of Ag covered glass in 10 μM K 2 S medium. The X-ray photoelectron spectroscopy (XPS) shows that tarnishing product formed on the silver surface consisted of Ag 2 S and Ag 2 O. As electrochemical characterization, the measurements were carried out in aerated 0.5 M Na 2 SO 4 solution adjusted at pH 10. The impedance spectra collected in sulphate medium at the open-circuit potential show one capacitive loop in parallel with a high resistance, which reflects a blocking electrode behaviour. However, the equivalent electrical circuit, R s -(CPE//R) is insufficient to reproduce the experimental results correctly. To minimize the dispersion between the experimental and fitted data, the CPE contribution is replaced by two normal power-law distributions of the local resistivity to interpret the tarnishing process in K 2 S medium with respect to the immersion time. These distributions are associated with the Ag 2 S and Ag 2 O layers

  13. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  14. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  15. Fabrication of atomic-scale gold junctions by electrochemical plating using a common medical liquid

    Science.gov (United States)

    Umeno, A.; Hirakawa, K.

    2005-04-01

    Fabrication of nanometer-separated gold junctions has been performed using "iodine tincture," a medical liquid known as a disinfectant, as an etching/deposition electrolyte. In the gold-dissolved iodine tincture, gold electrodes were grown or eroded slowly enough to form quantum point contacts in an atomic scale. The resistance evolution during the electrochemical deposition showed plateaus at integer multiples of the resistance quantum, (2e2/h)-1, at room temperature (e: the elementary charge, h: the Planck constant). Iodine tincture is a commercially available common material, which makes the fabrication process to be simple and cost effective. Moreover, in contrast to the conventional electrochemical approaches, this method is free from highly toxic cyanide compounds or extraordinarily strong acids.

  16. Atomic Layer-Deposited Molybdenum Oxide/Carbon Nanotube Hybrid Electrodes: The Influence of Crystal Structure on Lithium-Ion Capacitor Performance.

    Science.gov (United States)

    Fleischmann, Simon; Zeiger, Marco; Quade, Antje; Kruth, Angela; Presser, Volker

    2018-05-25

    Merging of supercapacitors and batteries promises the creation of electrochemical energy storage devices that combine high specific energy, power, and cycling stability. For that purpose, lithium-ion capacitors (LICs) that store energy by lithiation reactions at the negative electrode and double-layer formation at the positive electrode are currently investigated. In this study, we explore the suitability of molybdenum oxide as a negative electrode material in LICs for the first time. Molybdenum oxide-carbon nanotube hybrid materials were synthesized via atomic layer deposition, and different crystal structures and morphologies were obtained by post-deposition annealing. These model materials are first structurally characterized and electrochemically evaluated in half-cells. Benchmarking in LIC full-cells revealed the influences of crystal structure, half-cell capacity, and rate handling on the actual device level performance metrics. The energy efficiency, specific energy, and power are mainly influenced by the overpotential and kinetics of the lithiation reaction during charging. Optimized LIC cells show a maximum specific energy of about 70 W·h·kg -1 and a high specific power of 4 kW·kg -1 at 34 W·h·kg -1 . The longevity of the LIC cells is drastically increased without significantly reducing the energy by preventing a deep cell discharge, hindering the negative electrode from crossing its anodic potential limit.

  17. Reversible mechano-electrochemical writing of metallic nanostructures with the tip of an atomic force microscope

    Directory of Open Access Journals (Sweden)

    Christian Obermair

    2012-12-01

    Full Text Available We recently introduced a method that allows the controlled deposition of nanoscale metallic patterns at defined locations using the tip of an atomic force microscope (AFM as a “mechano-electrochemical pen”, locally activating a passivated substrate surface for site-selective electrochemical deposition. Here, we demonstrate the reversibility of this process and study the long-term stability of the resulting metallic structures. The remarkable stability for more than 1.5 years under ambient air without any observable changes can be attributed to self-passivation. After AFM-activated electrochemical deposition of copper nanostructures on a polycrystalline gold film and subsequent AFM imaging, the copper nanostructures could be dissolved by reversing the electrochemical potential. Subsequent AFM-tip-activated deposition of different copper nanostructures at the same location where the previous structures were deleted, shows that there is no observable memory effect, i.e., no effect of the previous writing process on the subsequent writing process. Thus, the four processes required for reversible information storage, “write”, “read”, “delete” and “re-write”, were successfully demonstrated on the nanometer scale.

  18. Reversible mechano-electrochemical writing of metallic nanostructures with the tip of an atomic force microscope.

    Science.gov (United States)

    Obermair, Christian; Kress, Marina; Wagner, Andreas; Schimmel, Thomas

    2012-01-01

    We recently introduced a method that allows the controlled deposition of nanoscale metallic patterns at defined locations using the tip of an atomic force microscope (AFM) as a "mechano-electrochemical pen", locally activating a passivated substrate surface for site-selective electrochemical deposition. Here, we demonstrate the reversibility of this process and study the long-term stability of the resulting metallic structures. The remarkable stability for more than 1.5 years under ambient air without any observable changes can be attributed to self-passivation. After AFM-activated electrochemical deposition of copper nanostructures on a polycrystalline gold film and subsequent AFM imaging, the copper nanostructures could be dissolved by reversing the electrochemical potential. Subsequent AFM-tip-activated deposition of different copper nanostructures at the same location where the previous structures were deleted, shows that there is no observable memory effect, i.e., no effect of the previous writing process on the subsequent writing process. Thus, the four processes required for reversible information storage, "write", "read", "delete" and "re-write", were successfully demonstrated on the nanometer scale.

  19. Layer-by-Layer Motif Architectures: Programmed Electrochemical Syntheses of Multilayer Mesoporous Metallic Films with Uniformly Sized Pores.

    Science.gov (United States)

    Jiang, Bo; Li, Cuiling; Qian, Huayu; Hossain, Md Shahriar A; Malgras, Victor; Yamauchi, Yusuke

    2017-06-26

    Although multilayer films have been extensively reported, most compositions have been limited to non-catalytically active materials (e.g. polymers, proteins, lipids, or nucleic acids). Herein, we report the preparation of binder-free multilayer metallic mesoporous films with sufficient accessibility for high electrocatalytic activity by using a programmed electrochemical strategy. By precisely tuning the deposition potential and duration, multilayer mesoporous architectures consisting of alternating mesoporous Pd layers and mesoporous PdPt layers with controlled layer thicknesses can be synthesized within a single electrolyte, containing polymeric micelles as soft templates. This novel architecture, combining the advantages of bimetallic alloys, multilayer architectures, and mesoporous structures, exhibits high electrocatalytic activity for both the methanol oxidation reaction (MOR) and the ethanol oxidation reaction (EOR). © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Pt-Al{sub 2}O{sub 3} dual layer atomic layer deposition coating in high aspect ratio nanopores

    Energy Technology Data Exchange (ETDEWEB)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Goeran; Wijngaart, Wouter van der; Roxhed, Niclas [KTH Royal Institute of Technology, School of Electrical Engineering, Micro and Nanosystems, Osquldas Vaeg 10, SE-10044 Stockholm (Sweden)

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al{sub 2}O{sub 3}) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al{sub 2}O{sub 3} layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 {mu}m thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al{sub 2}O{sub 3} using ALD. (paper)

  1. Atomic Layer Deposited MoS2 as a Carbon and Binder Free Anode in Li-ion Battery

    International Nuclear Information System (INIS)

    Nandi, Dip K; Sen, Uttam K; Choudhury, Devika; Mitra, Sagar; Sarkar, Shaibal K

    2014-01-01

    Molybdenum sulfide is deposited by atomic layer deposition (ALD) using molybdenum hexacarbonyl and hydrogen sulfide. Film growth is studied using in-situ quartz crystal microbalance, ex-situ X-ray reflectivity and ellipsometry. Deposition chemistry is further investigated with in-situ Fourier transform infrared spectroscopy. Self-limiting nature of the reaction is observed, typical of ALD. Saturated growth rate of 2.5 Å per cycle at 170 °C is obtained. As-deposited films are found amorphous in nature. As-grown films are tested as lithium-ion battery anode under half cell configuration. Electrochemical charge-discharge measurements demonstrate a stable cyclic performance with good capacity retention. Discharge capacity of 851 mAh g −1 is obtained after 50 cycles which corresponds to 77% of capacity retention of the initial capacity

  2. Atomic layer deposition of ruthenium on plasma-treated vertically aligned carbon nanotubes for high-performance ultracapacitors.

    Science.gov (United States)

    Kim, Jun Woo; Kim, Byungwoo; Park, Suk Won; Kim, Woong; Shim, Joon Hyung

    2014-10-31

    It is challenging to realize a conformal metal coating by atomic layer deposition (ALD) because of the high surface energy of metals. In this study, ALD of ruthenium (Ru) on vertically aligned carbon nanotubes (CNTs) was carried out. To activate the surface of CNTs that lack surface functional groups essential for ALD, oxygen plasma was applied ex situ before ALD. X-ray photoelectron spectroscopy and Raman spectroscopy confirmed surface activation of CNTs by the plasma pretreatment. Transmission electron microscopy analysis with energy-dispersive x-ray spectroscopy composition mapping showed that ALD Ru grew conformally along CNTs walls. ALD Ru/CNTs were electrochemically oxidized to ruthenium oxide (RuOx) that can be a potentially useful candidate for use in the electrodes of ultracapacitors. Electrode performance of RuOx/CNTs was evaluated using cyclic voltammetry and galvanostatic charge-discharge measurements.

  3. Macro-mechanics controls quantum mechanics: mechanically controllable quantum conductance switching of an electrochemically fabricated atomic-scale point contact

    Science.gov (United States)

    Staiger, Torben; Wertz, Florian; Xie, Fangqing; Heinze, Marcel; Schmieder, Philipp; Lutzweiler, Christian; Schimmel, Thomas

    2018-01-01

    Here, we present a silver atomic-scale device fabricated and operated by a combined technique of electrochemical control (EC) and mechanically controllable break junction (MCBJ). With this EC-MCBJ technique, we can perform mechanically controllable bistable quantum conductance switching of a silver quantum point contact (QPC) in an electrochemical environment at room temperature. Furthermore, the silver QPC of the device can be controlled both mechanically and electrochemically, and the operating mode can be changed from ‘electrochemical’ to ‘mechanical’, which expands the operating mode for controlling QPCs. These experimental results offer the perspective that a silver QPC may be used as a contact for a nanoelectromechanical relay.

  4. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao

    2013-02-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge/crystal orientations and stacking orders of bi-and tri-layer graphene (BLG and TLG) from Raman spectroscopy and transmission electron microscopy (TEM) experiments performed on the same sample. We introduce a new method of transferring graphene flakes onto a normal TEM grid. Using this novel method, we probed the BLG and TLG flakes that had been previously investigated by Raman scattering with high-resolution (atomic) TEM.

  6. Recent progress in layered double hydroxide based materials for electrochemical capacitors: design, synthesis and performance.

    Science.gov (United States)

    Zhao, Mingming; Zhao, Qunxing; Li, Bing; Xue, Huaiguo; Pang, Huan; Chen, Changyun

    2017-10-19

    As representative two-dimensional (2D) materials, layered double hydroxides (LDHs) have received increasing attention in electrochemical energy storage and conversion because of the facile tunability between their composition and morphology. The high dispersion of active species in layered arrays, the simple exfoliation into monolayer nanosheets and chemical modification offer the LDHs an opportunity as active electrode materials in electrochemical capacitors (ECs). LDHs are favourable in providing large specific surface areas, good transport features as well as attractive physicochemical properties. In this review, our purpose is to provide a detailed summary of recent developments in the synthesis and electrochemical performance of the LDHs. Their composites with carbon (carbon quantum dots, carbon black, carbon nanotubes/nanofibers, graphene/graphene oxides), metals (nickel, platinum, silver), metal oxides (TiO 2 , Co 3 O 4 , CuO, MnO 2 , Fe 3 O 4 ), metal sulfides/phosphides (CoS, NiCo 2 S 4 , NiP), MOFs (MOF derivatives) and polymers (PEDOT:PSS, PPy (polypyrrole), P(NIPAM-co-SPMA) and PET) are also discussed in this review. The relationship between structures and electrochemical properties as well as the associated charge-storage mechanisms is discussed. Moreover, challenges and prospects of the LDHs for high-performance ECs are presented. This review sheds light on the sustainable development of ECs with LDH based electrode materials.

  7. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  8. Layered double hydroxide materials coated carbon electrode: New challenge to future electrochemical power devices

    International Nuclear Information System (INIS)

    Djebbi, Mohamed Amine; Braiek, Mohamed; Namour, Philippe; Ben Haj Amara, Abdesslem; Jaffrezic-Renault, Nicole

    2016-01-01

    Highlights: • MgAl and ZnAl LDH nanosheets were chemically synthesized and deposited over carbon electrode materials. • Catalytic performance of both LDHs was investigated for Fe(II) reduction reaction. • Satisfactory results have been achieved with the MgAl LDH material. • MgAl and ZnAl LDH modified carbon felt were applied in MFC as an efficient anode catalyst. • The LDH-modified anode significantly increased power performance of MFC. - Abstract: Layered double hydroxides (LDHs) have been widely used in the past years due to their unique physicochemical properties and promising applications in electroanalytical chemistry. The present paper is going to focus exclusively on magnesium-aluminum and zinc-aluminum layered double hydroxides (MgAl & ZnAl LDHs) in order to investigate the property and structure of active cation sites located within the layer structure. The MgAl and ZnAl LDH nanosheets were prepared by the constant pH co-precipitation method and uniformly supported on carbon-based electrode materials to fabricate an LDH electrode. Characterization by powder x-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy and transmission electron microscopy revealed the LDH form and well-crystallized materials. Wetting surface properties (hydrophilicity and hydrophobicity) of both prepared LDHs were recorded by contact angle measurement show hydrophilic character and basic property. The electrochemical performance of these hybrid materials was investigated by mainly cyclic voltammetry, electrochemical impedance spectroscopy and chronoamperometry techniques to identify the oxidation/reduction processes at the electrode/electrolyte interface and the effect of the divalent metal cations in total reactivity. The hierarchy of the modified electrode proves that the electronic conductivity of the bulk material is considerably dependent on the divalent cation and affects the limiting parameter of the overall redox process. However

  9. Layered double hydroxide materials coated carbon electrode: New challenge to future electrochemical power devices

    Energy Technology Data Exchange (ETDEWEB)

    Djebbi, Mohamed Amine, E-mail: mohamed.djebbi@etu.univ-lyon1.fr [Institut des Sciences Analytiques UMR CNRS 5280, Université Claude Bernard-Lyon 1, 5 rue de la Doua, 69100 Villeurbanne (France); Laboratoire de Physique des Matériaux Lamellaires et Nano-Matériaux Hybrides, Faculté des Sciences de Bizerte, Université de Carthage, 7021 Bizerte (Tunisia); Braiek, Mohamed [Institut des Sciences Analytiques UMR CNRS 5280, Université Claude Bernard-Lyon 1, 5 rue de la Doua, 69100 Villeurbanne (France); Namour, Philippe [Institut des Sciences Analytiques UMR CNRS 5280, Université Claude Bernard-Lyon 1, 5 rue de la Doua, 69100 Villeurbanne (France); Irstea, 5 rue de la Doua, 69100 Villeurbanne (France); Ben Haj Amara, Abdesslem [Laboratoire de Physique des Matériaux Lamellaires et Nano-Matériaux Hybrides, Faculté des Sciences de Bizerte, Université de Carthage, 7021 Bizerte (Tunisia); Jaffrezic-Renault, Nicole [Institut des Sciences Analytiques UMR CNRS 5280, Université Claude Bernard-Lyon 1, 5 rue de la Doua, 69100 Villeurbanne (France)

    2016-11-15

    Highlights: • MgAl and ZnAl LDH nanosheets were chemically synthesized and deposited over carbon electrode materials. • Catalytic performance of both LDHs was investigated for Fe(II) reduction reaction. • Satisfactory results have been achieved with the MgAl LDH material. • MgAl and ZnAl LDH modified carbon felt were applied in MFC as an efficient anode catalyst. • The LDH-modified anode significantly increased power performance of MFC. - Abstract: Layered double hydroxides (LDHs) have been widely used in the past years due to their unique physicochemical properties and promising applications in electroanalytical chemistry. The present paper is going to focus exclusively on magnesium-aluminum and zinc-aluminum layered double hydroxides (MgAl & ZnAl LDHs) in order to investigate the property and structure of active cation sites located within the layer structure. The MgAl and ZnAl LDH nanosheets were prepared by the constant pH co-precipitation method and uniformly supported on carbon-based electrode materials to fabricate an LDH electrode. Characterization by powder x-ray diffraction, Fourier transform infrared spectroscopy, scanning electron microscopy and transmission electron microscopy revealed the LDH form and well-crystallized materials. Wetting surface properties (hydrophilicity and hydrophobicity) of both prepared LDHs were recorded by contact angle measurement show hydrophilic character and basic property. The electrochemical performance of these hybrid materials was investigated by mainly cyclic voltammetry, electrochemical impedance spectroscopy and chronoamperometry techniques to identify the oxidation/reduction processes at the electrode/electrolyte interface and the effect of the divalent metal cations in total reactivity. The hierarchy of the modified electrode proves that the electronic conductivity of the bulk material is considerably dependent on the divalent cation and affects the limiting parameter of the overall redox process. However

  10. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  11. Electrochemical Oxidation of Cyanide Using Platinized Ti Electrodes

    Directory of Open Access Journals (Sweden)

    Aušra VALIŪNIENĖ

    2013-12-01

    Full Text Available The cyanide-containing effluents are dangerous ecological hazards and must be treated before discharging into the environment. Anodic oxidation is one of the best ways to degrade cyanides. Pt anodes as the most efficient material for the cyanide electrochemical degradation are widely used. However, these electrodes are too expensive for industrial purposes. In this work Ti electrodes covered with nano-sized Pt particle layer were prepared and used for the anodic oxidation of cyanide ions. Surface images of Ti electrodes and Ti electrodes covered with different thickness layer of Pt were compared and characterized by the atomic force microscopy (AFM. The products formed in the solution during the CN- ions electrooxidation were examined by the Raman spectroscopy. An electrochemical Fast Fourier transformation (FFT impedance spectroscopy was used to estimate the parameters that reflect real surface roughness of Pt-modified Ti electrodes.DOI: http://dx.doi.org/10.5755/j01.ms.19.4.2514

  12. Low-dimensional carbon and MXene-based electrochemical capacitor electrodes.

    Science.gov (United States)

    Yoon, Yeoheung; Lee, Keunsik; Lee, Hyoyoung

    2016-04-29

    Due to their unique structure and outstanding intrinsic physical properties such as extraordinarily high electrical conductivity, large surface area, and various chemical functionalities, low-dimension-based materials exhibit great potential for application in electrochemical capacitors (ECs). The electrical properties of electrochemical capacitors are determined by the electrode materials. Because energy charge storage is a surface process, the surface properties of the electrode materials greatly influence the electrochemical performance of the cell. Recently, graphene, a single layer of sp(2)-bonded carbon atoms arrayed into two-dimensional carbon nanomaterial, has attracted wide interest as an electrode material for electrochemical capacitor applications due to its unique properties, including a high electrical conductivity and large surface area. Several low-dimensional materials with large surface areas and high conductivity such as onion-like carbons (OLCs), carbide-derived carbons (CDCs), carbon nanotubes (CNTs), graphene, metal hydroxide, transition metal dichalcogenides (TMDs), and most recently MXene, have been developed for electrochemical capacitors. Therefore, it is useful to understand the current issues of low-dimensional materials and their device applications.

  13. Low-dimensional carbon and MXene-based electrochemical capacitor electrodes

    International Nuclear Information System (INIS)

    Yoon, Yeoheung; Lee, Hyoyoung; Lee, Keunsik

    2016-01-01

    Due to their unique structure and outstanding intrinsic physical properties such as extraordinarily high electrical conductivity, large surface area, and various chemical functionalities, low-dimension-based materials exhibit great potential for application in electrochemical capacitors (ECs). The electrical properties of electrochemical capacitors are determined by the electrode materials. Because energy charge storage is a surface process, the surface properties of the electrode materials greatly influence the electrochemical performance of the cell. Recently, graphene, a single layer of sp 2 -bonded carbon atoms arrayed into two-dimensional carbon nanomaterial, has attracted wide interest as an electrode material for electrochemical capacitor applications due to its unique properties, including a high electrical conductivity and large surface area. Several low-dimensional materials with large surface areas and high conductivity such as onion-like carbons (OLCs), carbide-derived carbons (CDCs), carbon nanotubes (CNTs), graphene, metal hydroxide, transition metal dichalcogenides (TMDs), and most recently MXene, have been developed for electrochemical capacitors. Therefore, it is useful to understand the current issues of low-dimensional materials and their device applications. (topical review)

  14. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  15. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  16. The electrochemical transfer reactions and the structure of the iron|oxide layer|electrolyte interface

    International Nuclear Information System (INIS)

    Petrović, Željka; Metikoš-Huković, Mirjana; Babić, Ranko

    2012-01-01

    The thickness, barrier (protecting) and semiconducting properties of the potentiostatically formed oxide films on the pure iron electrode in an aqueous borate buffer solution were investigated by electrochemical quartz crystal nanobalance (EQCN), electrochemical impedance spectroscopy (EIS), and Mott–Schottky (MS) analysis. The thicknesses of the prepassive Fe(II)hydroxide layer (up to monolayer) nucleated on the bare iron surface and the passive Fe(II)/Fe(III) layer (up to 2 nm), deposited on the top of the first one, were determined using in situ gravimetry. Electronic properties of iron prepassive and passive films as well as ionic and electronic transfer reactions at the film|solution interface were discussed on the basis of a band structure model of the surface oxide film and the potential distribution at the interface. The anodic oxide film formation and cathodic decomposition are coupled processes and their reversible inter-conversion is mediated by the availability of free charge carriers on the electrode|solution interface. The structure of the reversible double layer at the iron oxide|solution interface was discussed based on the concept of the specific adsorption of the imidazolium cation on the negatively charged electrode surface at pH > pH pzc .

  17. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  18. Microstructural and electrochemical characterization of environmentally friendly conversion layers on aluminium alloys

    Directory of Open Access Journals (Sweden)

    Palomino Luis Enrique M.

    2003-01-01

    Full Text Available Cerium conversion layers (CeCL have been investigated as a replacement for chromium conversion layers to protect Al alloys against corrosion. In this work the microstructure and the electrochemical behaviour of aluminium alloy 2024 with and without CeCL were investigated using, respectively, SEM-EDX and EIS. EDX results have shown that the presence of dispersed plated Cu particles on the alloy surface enhances the formation of the CeCL increasing the intensity of Ce peaks in the EDX spectra. EIS measurements on conversion-coated samples have shown that the presence of the layer increases the impedance, and that its presence is detected by the presence of a high frequency time constant. Results of potentiodynamic experiments have shown that the corrosion protection afforded by the conversion layer is due to the hindrance of the oxygen reduction reaction and that the pitting potential of the alloy is not changed.

  19. Structure dependent electrochemical performance of Li-rich layered oxides in lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Fang; Yao, Yuze; Wang, Haiyan; Xu, Gui-Liang; Amine, Khalil; Sun, Shi-Gang; Shao, Minhua

    2017-04-08

    Rational and precise control of the structure and dimension of electrode materials is an efficient way to improve their electrochemical performance. In this work, solvothermal or co-precipitation method is used to synthesize lithium-rich layered oxide materials of Li1.2Mn0.56Co0.12Ni0.12O2 (LLO) with various morphologies and structures, including microspheres, microrods, nanoplates, and irregular nanoparticles. These materials exhibit strong structure- dependent electrochemical properties. The porous hierarchical structured LLO microrods exhibit the best performance, delivering a discharge capacity of 264.6 mAh g(-1) at 0.5 C with over 91% retention after 100 cycles. At a high rate of 5 C, a high discharge capacity of 173.6 mAh g(-1) can be achieved. This work reveals the relationship between the morphologies and electrochemical properties of LLO cathode materials, and provides a feasible approach to fabricating robust and high-performance electrode materials for lithium-ion batteries.

  20. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  1. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  2. Overview of atomic layer etching in the semiconductor industry

    International Nuclear Information System (INIS)

    Kanarik, Keren J.; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A.

    2015-01-01

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices

  3. Overview of atomic layer etching in the semiconductor industry

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.; Sriraman, Saravanapriyan; Tan, Samantha; Marks, Jeffrey; Vahedi, Vahid; Gottscho, Richard A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

  4. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  5. Electrochemical synthesis of birnessite-type layered manganese oxides for rechargeable lithium batteries

    Science.gov (United States)

    Nakayama, Masaharu; Kanaya, Taku; Lee, Jong-Won; Popov, Branko N.

    Layered manganese dioxide (MnO 2) films intercalated with Li +, Na + or Mg 2+ ions were synthesized by a one-step electrochemical method. The electrodeposition was potentiostatically performed by applying an anodic potential of 1.0 V vs. Ag/AgCl in an aqueous MnSO 4 solution containing a perchlorate salt of the cation. The electrodeposited oxide films have a birnessite-type layered structure with alkali cations and water molecules between manganese oxide layers. The galvanostatic charge-discharge experiments performed in 1 M LiPF 6-DME/PC solution indicated that the Mg 2+-intercalated MnO 2 electrode exhibits an initial discharge capacity as large as 140 mAh g -1 and it shows a better capacity retention during cycling as compared with the Li +- or Na +-intercalated MnO 2 electrode.

  6. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  7. Layer-by-layer self-assembled nanostructured phthalocyaninatoiron(II)/SWCNT-poly(m-aminobenzenesulfonic acid) hybrid system on gold surface: Electron transfer dynamics and amplification of H{sub 2}O{sub 2} response

    Energy Technology Data Exchange (ETDEWEB)

    Pillay, Jeseelan [Molecular and Nanomaterials Electrochemistry laboratory, Department of Chemistry, University of Pretoria, Pretoria 0002 (South Africa); Ozoemena, Kenneth I. [Molecular and Nanomaterials Electrochemistry laboratory, Department of Chemistry, University of Pretoria, Pretoria 0002 (South Africa)], E-mail: kenneth.ozoemena@up.ac.za

    2009-09-01

    The fabrication of nanostructured platform of poly(m-aminobenzenesulfonic acid) functionalised single-walled carbon nanotubes (SWCNTs-PABS)-iron(II)phthalocyanine nanoparticles (nanoFePc) using layer-by-layer(LBL) self-assembly strategy is described. The substrate build-up, via strong electrostatic interaction, was monitored using atomic force microscopy (AFM) and electrochemical measurements. As the number of bilayers is increased, the electron transfer kinetics of the ferricyaninde/ferrocyanide redox probe is decreased, while the electrochemical reduction of H{sub 2}O{sub 2} at a constant concentration is amplified. The amplification of the electrochemical response to H{sub 2}O{sub 2} detection suggests that this type of electrode could provide an important nano-architectural sensing platform for the development of a sensor.

  8. In situ electrochemical atomic force microscope study on graphite electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Hirasawa, K.A.; Sato, Tomohiro; Asahina, Hitoshi; Yamaguchi, Shoji; Mori, Shoichiro [Mitsubishi Chemical Corp., Inashiki, Ibaraki (Japan). Tsukuba Research Center

    1997-04-01

    Interest in the formation of the solid electrolyte interphase (SEI) film on graphite electrodes has increased recently in the quest to improve the performance of lithium-ion batteries. Topographic and frictional changes on the surface of a highly oriented pyrolytic graphite electrode in 1 M LiCiO{sub 4} ethylene carbonate/ethylmethyl carbonate (1:1) electrolyte were examined during charge and discharge by in situ electrochemical atomic force microscopy and friction force microscopy simultaneously in real-time. Solid electrolyte interphase film formation commenced at approximately 2 V vs. Li/Li{sup +} and stable film formation with an island-like morphology was observed below approximately 0.9 V vs. Li/Li{sup +}. Further experiments on a KS-44 graphite/polyvinylidene difluoride binder composite electrode showed similar phenomena.

  9. Electrochemical Modeling and Performance of a Lithium- and Manganese-Rich Layered Transition-Metal Oxide Positive Electrode

    Energy Technology Data Exchange (ETDEWEB)

    Dees, Dennis W.; Abraham, Daniel P; Lu, Wenquan; Gallagher, Kevin G.; Bettge, Martin; Jansen, Andrew N

    2015-01-21

    The impedance of a lithium- and manganese-rich layered transition-metal oxide (MR-NMC) positive electrode, specifically Li1.2Ni0.15Mn0.55Co0.1O2, is compared to two other transition-metal layered oxide materials, specifically LiNi0.8Co0.15Al0.05O2 (NCA) and Li1.05(Ni1/3Co1/3Mn1/3)0.95O2 (NMC). A more detailed electrochemical impedance spectroscopy (EIS) study is conducted on the LMR-NMC electrode, which includes a range of states-of-charge (SOCs) for both current directions (i.e. charge and discharge) and two relaxation times (i.e. hours and one hundred hours) before the EIS sweep. The LMR-NMC electrode EIS studies are supported by half-cell constant current and galvanostatic intermittent titration technique (GITT) studies. Two types of electrochemical models are utilized to examine the results. The first type is a lithium ion cell electrochemical model for intercalation active material electrodes that includes a complex active material/electrolyte interfacial structure. In conclusion, the other is a lithium ion half-cell electrochemical model that focuses on the unique composite structure of the bulk LMR-NMC materials.

  10. Nanoscale Protection Layers To Mitigate Degradation in High-Energy Electrochemical Energy Storage Systems.

    Science.gov (United States)

    Lin, Chuan-Fu; Qi, Yue; Gregorczyk, Keith; Lee, Sang Bok; Rubloff, Gary W

    2018-01-16

    In the pursuit of energy storage devices with higher energy and power, new ion storage materials and high-voltage battery chemistries are of paramount importance. However, they invite-and often enhance-degradation mechanisms, which are reflected in capacity loss with charge/discharge cycling and sometimes in safety problems. Degradation mechanisms are often driven by fundamentals such as chemical and electrochemical reactions at electrode-electrolyte interfaces, volume expansion and stress associated with ion insertion and extraction, and profound inhomogeneity of electrochemical behavior. While it is important to identify and understand these mechanisms at some reasonable level, it is even more critical to design strategies to mitigate these degradation pathways and to develop means to implement and validate the strategies. A growing set of research highlights the mitigation benefits achievable by forming thin protection layers (PLs) intentionally created as artificial interphase regions at the electrode-electrolyte interface. These advances illustrate a promising-perhaps even generic-pathway for enabling higher-energy and higher-voltage battery configurations. In this Account, we summarize examples of such PLs that serve as mitigation strategies to avoid degradation in lithium metal anodes, conversion-type electrode materials, and alloy-type electrodes. Examples are chosen from a larger body of electrochemical degradation research carried out in Nanostructures for Electrical Energy Storage (NEES), our DOE Energy Frontier Research Center. Overall, we argue on the basis of experimental and theoretical evidence that PLs effectively stabilize the electrochemical interfaces to prevent parasitic chemical and electrochemical reactions and mitigate the structural, mechanical, and compositional degradation of the electrode materials at the electrode-electrolyte interfaces. The evidenced improvement in performance metrics is accomplished by (1) establishing a homogeneous

  11. Selective electrochemical gold deposition onto p-Si (1 0 0) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Santinacci, L; Etcheberry, A [Institut Lavoisier de Versailles (UMR CNRS 8180), University of Versailles-Saint-Quentin, 45 avenue des Etats-Unis, F-78035 Versailles cedex (France); Djenizian, T [Laboratoire Chimie Provence (UMR CNRS 6264), University of Aix-Marseille I-II-III, Centre Saint-Jerome, F-13397 Marseille Cedex 20 (France); Schwaller, P [Laboratory for Mechanics of Materials and Nanostructures, Swiss Federal Laboratory for Materials Testing and Research, Feuerwerkstr. 39, CH-3602 Thun (Switzerland); Suter, T [Laboratory for Corrosion and Materials Integrity, Swiss Federal Laboratory for Materials Testing and Research, Ueberlandstr. 129, CH-8600 Duebendorf (Switzerland); Schmuki, P [Department of Materials Science, LKO-WW4, Friedrich-Alexander-University Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen (Germany)], E-mail: lionel.santinacci@uvsq.fr

    2008-09-07

    In this paper, we report selective electrochemical gold deposition onto p-type Si (1 0 0) into nanoscratches produced through a thin oxide layer using an atomic force microscope. A detailed description of the substrate engraving process is presented. The influence of the main scratching parameters such as the normal applied force, the number of scans and the scanning velocity are investigated as well as the mechanical properties of the substrate. Gold deposition is carried out in a KAu(CN){sub 2} + KCN solution by applying cathodic voltages for various durations. The gold deposition process is investigated by cyclic voltammetry. Reactivity enhancement at the scratched locations was studied by comparing the electrochemical behaviour of intact and engraved surfaces using a micro-electrochemical setup. Selective electrochemical gold deposition is achieved: metallic patterns with a sub-500 nm lateral resolution are obtained demonstrating, therefore, the bearing potential of this patterning technique.

  12. Sodium-Induced Reordering of Atomic Stacks in Black Phosphorus

    KAUST Repository

    Cheng, Yingchun

    2017-01-12

    While theoretical simulations predict contradictory results about how the intercalation of foreign metal atoms affects the order of atomic layers in black phosphorus (BP), no direct experimental visualization work has yet clarified this ambiguity. By in situ electrochemical sodiation of BP inside a high-resolution transmission electron microscope and first-principles calculations, we found that sodium intercalation induces a relative glide of/ ⟨010⟩ {001}, resulting in reordering of atomic stacks from AB to AC in BP. The observed local amorphization in our experiments is triggered by lattice constraints. We predict that intercalation of sodium or other metal atoms introduces n-type carriers in BP. This potentially opens a new field for two-dimensional electronics based on BP.

  13. Sodium-Induced Reordering of Atomic Stacks in Black Phosphorus

    KAUST Repository

    Cheng, Yingchun; Zhu, Yihan; Han, Yu; Liu, Zhongyuan; Yang, Bingchao; Nie, Anmin; Huang, Wei; Shahbazian-Yassar, Reza; Mashayek, Farzad

    2017-01-01

    While theoretical simulations predict contradictory results about how the intercalation of foreign metal atoms affects the order of atomic layers in black phosphorus (BP), no direct experimental visualization work has yet clarified this ambiguity. By in situ electrochemical sodiation of BP inside a high-resolution transmission electron microscope and first-principles calculations, we found that sodium intercalation induces a relative glide of/ ⟨010⟩ {001}, resulting in reordering of atomic stacks from AB to AC in BP. The observed local amorphization in our experiments is triggered by lattice constraints. We predict that intercalation of sodium or other metal atoms introduces n-type carriers in BP. This potentially opens a new field for two-dimensional electronics based on BP.

  14. Electrochemical Grafting of Graphene Nano Platelets with Aryl Diazonium Salts.

    Science.gov (United States)

    Qiu, Zhipeng; Yu, Jun; Yan, Peng; Wang, Zhijie; Wan, Qijin; Yang, Nianjun

    2016-10-26

    To vary interfacial properties, electrochemical grafting of graphene nano platelets (GNP) with 3,5-dichlorophenyl diazonium tetrafluoroborate (aryl-Cl) and 4-nitrobenzene diazonium tetrafluoroborate (aryl-NO 2 ) was realized in a potentiodynamic mode. The covalently bonded aryl layers on GNP were characterized using atomic force microscopy and X-ray photoelectron spectroscopy. Electrochemical conversion of aryl-NO 2 into aryl-NH 2 was conducted. The voltammetric and impedance behavior of negatively and positively charged redox probes (Fe(CN) 6 3-/4- and Ru(NH 3 ) 6 2+/3+ ) on three kinds of aryl layers grafted on GNP reveal that their interfacial properties are determined by the charge states of redox probes and reactive terminal groups (-Cl, -NO 2 , -NH 2 ) in aryl layers. On aryl-Cl and aryl-NH 2 garted GNP, selective and sensitive monitoring of positively charged lead ions as well as negatively charged nitrite and sulfite ions was achieved, respectively. Such a grafting procedure is thus a perfect way to design and control interfacial properties of graphene.

  15. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  16. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  17. The edge- and basal-plane-specific electrochemistry of a single-layer graphene sheet

    Science.gov (United States)

    Yuan, Wenjing; Zhou, Yu; Li, Yingru; Li, Chun; Peng, Hailin; Zhang, Jin; Liu, Zhongfan; Dai, Liming; Shi, Gaoquan

    2013-01-01

    Graphene has a unique atom-thick two-dimensional structure and excellent properties, making it attractive for a variety of electrochemical applications, including electrosynthesis, electrochemical sensors or electrocatalysis, and energy conversion and storage. However, the electrochemistry of single-layer graphene has not yet been well understood, possibly due to the technical difficulties in handling individual graphene sheet. Here, we report the electrochemical behavior at single-layer graphene-based electrodes, comparing the basal plane of graphene to its edge. The graphene edge showed 4 orders of magnitude higher specific capacitance, much faster electron transfer rate and stronger electrocatalytic activity than those of graphene basal plane. A convergent diffusion effect was observed at the sub-nanometer thick graphene edge-electrode to accelerate the electrochemical reactions. Coupling with the high conductivity of a high-quality graphene basal plane, graphene edge is an ideal electrode for electrocatalysis and for the storage of capacitive charges. PMID:23896697

  18. Atomic Layer Deposition of Pd Nanoparticles on TiO₂ Nanotubes for Ethanol Electrooxidation: Synthesis and Electrochemical Properties.

    Science.gov (United States)

    Assaud, Loïc; Brazeau, Nicolas; Barr, Maïssa K S; Hanbücken, Margrit; Ntais, Spyridon; Baranova, Elena A; Santinacci, Lionel

    2015-11-11

    Palladium nanoparticles are grown on TiO2 nanotubes by atomic layer deposition (ALD), and the resulting three-dimensional nanostructured catalysts are studied for ethanol electrooxidation in alkaline media. The morphology, the crystal structure, and the chemical composition of the Pd particles are fully characterized using scanning and transmission electron microscopies, X-ray diffraction, and X-ray photoelectron spectroscopy. The characterization revealed that the deposition proceeds onto the entire surface of the TiO2 nanotubes leading to the formation of well-defined and highly dispersed Pd nanoparticles. The electrooxidation of ethanol on Pd clusters deposited on TiO2 nanotubes shows not only a direct correlation between the catalytic activity and the particle size but also a steep increase of the response due to the enhancement of the metal-support interaction when the crystal structure of the TiO2 nanotubes is modified by annealing at 450 °C in air.

  19. Gold atomic cluster mediated electrochemical aptasensor for the detection of lipopolysaccharide.

    Science.gov (United States)

    Posha, Biyas; Nambiar, Sindhu R; Sandhyarani, N

    2018-03-15

    We have constructed an aptamer immobilized gold atomic cluster mediated, ultrasensitive electrochemical biosensor (Apt/AuAC/Au) for LPS detection without any additional signal amplification strategy. The aptamer self-assemble onto the gold atomic clusters makes Apt/AuAC/Au an excellent platform for the LPS detection. Differential pulse voltammetry and EIS were used for the quantitative LPS detection. The Apt/AuAC/Au sensor offers an ultrasensitive and selective detection of LPS down to 7.94 × 10 -21 M level with a wide dynamic range from 0.01 attomolar to 1pM. The sensor exhibited excellent selectivity and stability. The real sample analysis was performed by spiking the diluted insulin sample with various concentration of LPS and obtained recovery within 2% error value. The sensor is found to be more sensitive than most of the literature reports. The simple and easy way of construction of this sensor provides an efficient and promising detection of an even trace amount of LPS. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Deposition of O atomic layers on Si(100) substrates for epitaxial Si-O superlattices: investigation of the surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Delabie, Annelies; Billen, Arne [KU Leuven, Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Dekkers, Harold; Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Caymax, Matty [IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [KU Leuven, Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, Marc [KU Leuven, Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); IMEC, Kapeldreef 75, 3001 Leuven (Belgium)

    2015-01-01

    Highlights: • Atomic layer is deposited by O{sub 3} chemisorption reaction on H-terminated Si(100). • O-content has critical impact on the epitaxial thickness of the above-deposited Si. • Oxygen atoms at dimer/back bond configurations enable epitaxial Si on O atomic layer. • Oxygen atoms at hydroxyl and more back bonds, disable epitaxial Si on O atomic layer. - Abstract: Epitaxial Si-O superlattices consist of alternating periods of crystalline Si layers and atomic layers of oxygen (O) with interesting electronic and optical properties. To understand the fundamentals of Si epitaxy on O atomic layers, we investigate the O surface species that can allow epitaxial Si chemical vapor deposition using silane. The surface reaction of ozone on H-terminated Si(100) is used for the O deposition. The oxygen content is controlled precisely at and near the atomic layer level and has a critical impact on the subsequent Si deposition. There exists only a small window of O-contents, i.e. 0.7–0.9 atomic layers, for which the epitaxial deposition of Si can be realized. At these low O-contents, the O atoms are incorporated in the Si-Si dimers or back bonds (-OSiH), with the surface Si atoms mainly in the 1+ oxidation state, as indicated by infrared spectroscopy. This surface enables epitaxial seeding of Si. For O-contents higher than one atomic layer, the additional O atoms are incorporated in the Si-Si back bonds as well as in the Si-H bonds, where hydroxyl groups (-Si-OH) are created. In this case, the Si deposition thereon becomes completely amorphous.

  1. Impedance Characterization of the Capacitive field-Effect pH-Sensor Based on a thin-Layer Hafnium Oxide Formed by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Michael LEE

    2014-05-01

    Full Text Available As a sensing element, silicon dioxide (SiO2 has been applied within ion-sensitive field effect transistors (ISFET. However, a requirement of increasing pH-sensitivity and stability has observed an increased number of insulating materials that obtain high-k gate being applied as FETs. The increased high-k gate reduces the required metal oxide layer and, thus, the fabrication of thin hafnium oxide (HfO2 layers by atomic layer deposition (ALD has grown with interest in recent years. This metal oxide presents advantageous characteristics that can be beneficial for the advancements within miniaturization of complementary metal oxide semiconductor (CMOS technology. In this article, we describe a process for fabrication of HfO2 based on ALD by applying water (H2O as the oxygen precursor. As a first, electrochemical impedance spectroscopy (EIS measurements were performed with varying pH (2-10 to demonstrate the sensitivity of HfO2 as a potential pH sensing material. The Nyquist plot demonstrates a high clear shift of the polarization resistance (Rp between pH 6-10 (R2 = 0.9986, Y = 3,054X + 12,100. At acidic conditions (between pH 2-10, the Rp change was small due to the unmodified oxide gate (R2 = 0.9655, Y = 2,104X + 4,250. These preliminary results demonstrate the HfO2 substrate functioned within basic to neutral conditions and establishes a great potential for applying HfO2 as a dielectric material for future pH measuring FET sensors.

  2. Issues involved in the atomic layer deposition of metals

    Science.gov (United States)

    Grubbs, Robert Kimes

    Auger Electron Spectroscopy (AES) was used to study the nucleation and growth of tungsten on aluminum oxide surfaces. Tungsten metal was deposited using Atomic Layer Deposition (ALD) techniques. ALD uses sequential surface reactions to deposit material with atomic layer control. W ALD is performed using sequential exposures of WF6 and Si2H6. The step-wise nature of W ALD allows nucleation studies to be performed by analyzing the W surface concentration after each ALD reaction. Nucleation and growth regions can be identified by quantifying the AES signal intensities from both the W surface and the Al2O3 substrate. W nucleation occurred in 3 ALD reaction cycles. The AES results yielded a nucleation rate of 1.0 A/ALD cycle and a growth rate of ≈3 A/ALD cycle. AES studies also explored the nucleation and growth of Al2O3 on W. Al2O3 nucleated in 1 ALD cycle giving a nucleation rate of 3.5 A/ALD cycle and a subsequent growth rate of 1.0 A/ALD cycle. Mass spectrometry was then used to study the ALD reaction chemistry of tungsten deposition. Because of the step-wise nature of the W ALD chemistry, each W ALD reaction could be studied independently. The gaseous mass products were identified from both the WF6 and Si2H6 reactions. H2, HF and SiF4 mass products were observed for the WF6 reaction. The Si2H6 reaction displayed a room temperature reaction and a 200°C reaction. Products from the room temperature Si2H6 reaction were H2 and SiF3H. The reaction at 200°C yielded only H2 as a reaction product. H2 desorption from the surface contributes to the 200°C Si2H6 reaction. AES was used to confirm that the gas phase reaction products are correlated with a change in the surface species. Atomic hydrogen reduction of metal halides and oganometallic compounds provides another method for depositing metals with atomic layer control. The quantity of atomic hydrogen necessary to perform this chemistry is critical to the metal ALD process. A thermocouple probe was constructed to

  3. Electrochemical activation, voltage decay and hysteresis of Li-rich layered cathode probed by various cobalt content

    KAUST Repository

    Wu, Yingqiang

    2018-02-01

    The high capacity of Li-rich layered cathode materials have attracted great attention for the greater energy density lithium ion (Li-ion) batteries, but the understanding of knowledge associated with electrochemical behaviours are still needed to improve their performances further. In this study, different amount of Co content is designed in Li-rich layered compounds (0.5Li2MnO3·0.5LiMn0.5-xNi0.5-xCo2xO2, 0 ≤ x ≤ 0.2), and the stepwise electrochemical activation process is applied to explore the features. We discover that the substitution of Co3+ ions can accelerate the electrochemical activation of Li2MnO3 component, and the Co-doped compound delivers much higher capacities even they suffer an apparent voltage decay comparing to the Co-free one. Besides, a fast metal ions migration exists (e.g., from the metastable tetrahedral site to the lower energy cubic site) in initial dozens of cycles (e.g., 30 cycles at 0.1C); thereafter, they likely return to the original octahedral site, as demonstrated in the voltage decay and hysteresis analysis.

  4. Revisiting the electrochemical impedance spectroscopy of magnesium with online inductively coupled plasma atomic emission spectroscopy.

    Science.gov (United States)

    Shkirskiy, Viacheslav; King, Andrew D; Gharbi, Oumaïma; Volovitch, Polina; Scully, John R; Ogle, Kevin; Birbilis, Nick

    2015-02-23

    The electrochemical impedance of reactive metals such as magnesium is often complicated by an obvious inductive loop with decreasing frequency of the AC polarising signal. The characterisation and ensuing explanation of this phenomenon has been lacking in the literature to date, being either ignored or speculated. Herein, we couple electrochemical impedance spectroscopy (EIS) with online atomic emission spectroelectrochemistry (AESEC) to simultaneously measure Mg-ion concentration and electrochemical impedance spectra during Mg corrosion, in real time. It is revealed that Mg dissolution occurs via Mg(2+) , and that corrosion is activated, as measured by AC frequencies less than approximately 1 Hz approaching DC conditions. The result of this is a higher rate of Mg(2+) dissolution, as the voltage excitation becomes slow enough to enable all Mg(2+) -enabling processes to adjust in real time. The manifestation of this in EIS data is an inductive loop. The rationalisation of such EIS behaviour, as it relates to Mg, is revealed for the first time by using concurrent AESEC. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Structures and electrochemical performances of pyrolized carbons from graphite oxides for electric double-layer capacitor

    Science.gov (United States)

    Kim, Ick-Jun; Yang, Sunhye; Jeon, Min-Je; Moon, Seong-In; Kim, Hyun-Soo; Lee, Yoon-Pyo; An, Kye-Hyeok; Lee, Young-Hee

    The structural features and the electrochemical performances of pyrolized needle cokes from oxidized cokes are examined and compared with those of KOH-activated needle coke. The structure of needle coke is changed to a single phase of graphite oxide after oxidation treatment with an acidic solution having an NaClO 3/needle coke composition ratio of above 7.5, and the inter-layer distance of the oxidized needle coke is expanded to 6.9 Å with increasing oxygen content. After heating at 200 °C, the oxidized needle coke is reduced to a graphite structure with an inter-layer distance of 3.6 Å. By contrast, a change in the inter-layer distance in KOH-activated needle coke is not observed. An intercalation of pyrolized needle coke, observed on first charge, occurs at 1.0 V. This value is lower than that of KOH-activation needle coke. A capacitor using pyrolized needle coke exhibits a lower internal resistance of 0.57 Ω in 1 kHz, and a larger capacitance per weight and volume of 30.3 F g -1 and 26.9 F ml -1, in the two-electrode system over the potential range 0-2.5 V compared with those of a capacitor using KOH-activation of needle coke. This better electrochemical performance is attributed to a distorted graphene layer structure derived from the process of the inter-layer expansion and shrinkage.

  6. Multilevel electrochemical signal detections of metalloprotein heterolayers for bioelectronic device

    Energy Technology Data Exchange (ETDEWEB)

    Chung, Yong-Ho; Yoo, Si-Youl; Lee, Taek [Department of Chemical and Biomolecular Engineering, Sogang University, 35 Baekbeom-ro(Sinsu-dong), Mapo-gu, Seoul 121-742 (Korea, Republic of); Lee, Hun Joo [Interdisciplinary Program of Integrated Biotechnology, Sogang University, 35 Baekbeomro(Sinsu-dong), Mapo-gu, Seoul 121-742 (Korea, Republic of); Min, Junhong [School of Integrative Engineering, Chung-Ang University, Heukseok-dong, Dongjak-gu, Seoul 156-756 (Korea, Republic of); Choi, Jeong-Woo, E-mail: jwchoi@sogang.ac.kr [Department of Chemical and Biomolecular Engineering, Sogang University, 35 Baekbeom-ro(Sinsu-dong), Mapo-gu, Seoul 121-742 (Korea, Republic of); Interdisciplinary Program of Integrated Biotechnology, Sogang University, 35 Baekbeomro(Sinsu-dong), Mapo-gu, Seoul 121-742 (Korea, Republic of)

    2014-01-31

    In the present study, we investigated the simultaneous detection of multilevel electrochemical signals from various metalloprotein heterolayers for the bioelectronic devices. A layer-by-layer assembly method based on simple electrostatic interaction was introduced to form protein bilayers. The gold substrate was modified with poly (ethylene glycol) thiol acid as the precursor, which introduced negative charges to the surface. Based on the isoelectric point, net-charge controlled metalloproteins by pH adjustment were sequentially immobilized on this negatively charged substrate. The degree of protein immobilization on the gold substrate was confirmed by surface plasmon resonance spectroscopy, and the surface topology changes due to the protein immobilization were confirmed by atomic force microscopy. Redox signals in the protein layers were measured by cyclic voltammetry. As a result, various redox signals generated from different metalloproteins on a single electrode were monitored. This proposed method for the detection of multi-level electrochemical signals can be directly applied to bioelectronic devices that store multi-information in a single electrode. - Highlights: • We fabricated heterolayers composed of various metalloproteins. • Metalloproteins were immobilized by layer-by-layer assembly. • The degree of immobilization was controlled by the net charge of metalloproteins. • Various redox signals generated from heterolayers were well monitored.

  7. Studies on Me/Al-layered double hydroxides (Me = Ni and Co) as electrode materials for electrochemical capacitors

    International Nuclear Information System (INIS)

    Liu Xianming; Zhang Yihe; Zhang Xiaogang; Fu Shaoyun

    2004-01-01

    Me/Al-layered double hydroxides (Me=Ni and Co) prepared by the chemical co-precipitation method have been shown to be outstanding novel materials for electrochemical capacitors. The crystalline structure and the electrochemical properties of the electrodes have been studied by considering the effect of the mole ratio of nickel/cobalt. X-ray diffraction analysis shows that the materials belong to hexagonal system with layered structure. Cyclic voltammetric measurements indicate that Me/Al-layered double hydroxides with the Ni/Co mole ratio of 4:6 exhibit excellent capacitive properties within the potential range of 0.0-0.6 V versus Hg/HgO in 6 mol/L KOH electrolyte. Charge/discharge behaviors have been observed with the highest specific capacitance values of 960 F/g at the current density of 400 mA/g. Impedance studies show that the enhanced electrical properties and high frequency response are attributed to the presence of Co oxides

  8. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  9. Ultrafast atomic layer-by-layer oxygen vacancy-exchange diffusion in double-perovskite LnBaCo2O5.5+δ thin films.

    Science.gov (United States)

    Bao, Shanyong; Ma, Chunrui; Chen, Garry; Xu, Xing; Enriquez, Erik; Chen, Chonglin; Zhang, Yamei; Bettis, Jerry L; Whangbo, Myung-Hwan; Dong, Chuang; Zhang, Qingyu

    2014-04-22

    Surface exchange and oxygen vacancy diffusion dynamics were studied in double-perovskites LnBaCo2O5.5+δ (LnBCO) single-crystalline thin films (Ln = Er, Pr; -0.5 atoms in the LnBCO thin films is taking the layer by layer oxygen-vacancy-exchange mechanism. The first principles density functional theory calculations indicate that hydrogen atoms are present in LnBCO as bound to oxygen forming O-H bonds. This unprecedented oscillation phenomenon provides the first direct experimental evidence of the layer by layer oxygen vacancy exchange diffusion mechanism.

  10. Electrochemical deposition of thin nano-structured layers of CuInS2 for photovoltaic cells

    International Nuclear Information System (INIS)

    Cayzac, R.; Boulc'h, F.; Knauth, P.

    2006-01-01

    In this work, it has been shown that the electrochemical deposition seems to be a promising synthesis technique because the thickness of the layers and their morphology are well adapted to the photovoltaic application. The example of CuInS 2 has been taken. (O.M.)

  11. Fabrication Of Atomic-scale Gold Junctions By Electrochemical Plating Technique Using A Common Medical Disinfectant

    Science.gov (United States)

    Umeno, Akinori; Hirakawa, Kazuhiko

    2005-06-01

    Iodine tincture, a medical liquid familiar as a disinfectant, was introduced as an etching/deposition electrolyte for the fabrication of nanometer-separated gold electrodes. In the gold dissolved iodine tincture, the gold electrodes were grown or eroded slowly in atomic scale, enough to form quantum point contacts. The resistance evolution during the electrochemical deposition showed plateaus at integer multiples of the resistance quantum, (2e2/h)-1, at the room temperature. The iodine tincture is a commercially available common material, which makes the fabrication process to be the simple and cost effective. Moreover, in contrast to the conventional electrochemical approaches, this method is free from highly toxic cyanide compounds or extraordinary strong acid. We expect this method to be a useful interface between single-molecular-scale structures and macroscopic opto-electronic devices.

  12. NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery

    Science.gov (United States)

    Battery Technology News Release: NREL's Advanced Atomic Layer Deposition Enables Lithium-Ion Battery increasingly demanding needs of any battery application. These lithium-ion batteries feature a hybrid solid further customized lithium-ion battery materials for high performance devices by utilizing our patented

  13. Electrochemical hydride generation atomic fluorescence spectrometry for detection of tin in canned foods using polyaniline-modified lead cathode

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Xianjuan [Department of Chemistry, University of Science and Technology of China, Hefei, Anhui 230026 (China); Gan Wuer, E-mail: wgan@ustc.edu.cn [Department of Chemistry, University of Science and Technology of China, Hefei, Anhui 230026 (China); Wan Lingzhong; Deng Yun; Yang Qinghua; He Youzhao [Department of Chemistry, University of Science and Technology of China, Hefei, Anhui 230026 (China)

    2010-12-15

    An electrochemical hydride generation system with polyaniline-modified lead cathode was developed for tin determination by coupling with atomic fluorescence spectrometry. The tin fluorescence signal intensity was improved evidently as the polyaniline membrane could facilitate the transformation process from atomic tin to the SnH{sub 4} and prevent the aggradation of Sn atom on Pb electrode surface. The effects of experimental parameters and interferences have been studied. The limit of detection (LOD) was 1.5 ng mL{sup -1} (3{sigma}) and the relative standard deviation (RSD) was 3.3% for 11 consecutive measurements of 50 ng mL{sup -1} Sn(IV) standard solution.

  14. Spatial Atomic Layer Deposition of transparent conductive oxides

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Poodt, P.; Roozeboom, F.

    2013-01-01

    Undoped and indium doped ZnO films have been grown by Spatial Atomic Layer Deposition at atmospheric pressure. The electrical properties of ZnO films are controlled by varying the indium content in the range from 0 to 15 %. A minimum resistivity value of 3 mΩ•cm is measured in 180 nm thick films for

  15. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  16. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  17. Electrochemical double-layer capacitors based on functionalized graphene

    Science.gov (United States)

    Pope, Michael Allan

    Graphene is a promising electrode material for electrochemical double-layer capacitors (EDLCs) used for energy storage due to its high electrical conductivity and theoretical specific surface area. However, the intrinsic capacitance of graphene is known to be low and governed by the electronic side of the interface. Furthermore, graphene tends to aggregate and stack together when processed into thick electrode films. This significantly lowers the ion-accessible specific surface area (SSA). Maximizing both the SSA and the intrinsic capacitance are the main problems addressed in this thesis in an effort to improve the specific capacitance and energy density of EDLCs. In contrast to pristine graphene, functionalized graphene produced by the thermal exfoliation of graphite oxide contains residual functional groups and lattice defects. To study how these properties affect the double-layer capacitance, a model electrode system capable of measuring the intrinsic electrochemical properties of functionalized graphene was developed. To prevent artifacts and uncertainties related to measurements on porous electrodes, the functionalized graphene sheets (FGSs) were assembled as densely tiled monolayers using a Langmuir-Blodgett technique. In this way, charging can be studied in a well-defined 2D geometry. The possibility of measuring and isolating the intrinsic electrochemical properties of FGS monolayers was first demonstrated by comparing capacitance and redox probe measurements carried out on coatings deposited on passivated gold and single crystal graphite substrates. This monolayer system was then used to follow the double-layer capacitance of the FGS/electrolyte interface as the structure and chemistry of graphene was varied by thermal treatments ranging from 300 °C to 2100 °C. Elemental analysis and Raman spectroscopy were used to determine the resulting chemical and structural transformation upon heat treatment. It was demonstrated that intrinsically defective

  18. Electrochemical functionalization of glassy carbon electrode by reduction of diazonium cations in protic ionic liquid

    International Nuclear Information System (INIS)

    Shul, Galyna; Ruiz, Carlos Alberto Castro; Rochefort, Dominic; Brooksby, Paula A.; Bélanger, Daniel

    2013-01-01

    Protic ionic liquid based on 2-methoxypyridine and trifluoroacetic acid was used as electrolyte for the functionalization of a glassy carbon electrode surface by electrochemical reduction of in situ generated 4-chlorobenzene diazonium and 4-nitrobenzene diazonium cations. The diazonium cations were synthesized in an electrochemical cell by reaction of the corresponding amines with NaNO 2 dissolved in protic ionic liquid. The resulting electrografted organic layers exhibit similar properties to those layers obtained by the derivatization from isolated diazonium salts dissolved in protic ionic liquid. Functionalized glassy carbon electrode surfaces were characterized by cyclic voltammetry, Fourier transform infrared and X-ray photoelectron spectroscopies. Atomic force microscopy thickness measurements revealed that, in our experimental conditions, the use of protic ionic liquid led to the formation of film with a thickness of about 1.5 nm. It is also demonstrated that the nitrobenzene chemisorbed on glassy carbon electrode or dissolved in protic ionic liquid undergoes electrochemical conversion to hydroxyaminobenzene

  19. Interfacial engineering of two-dimensional nano-structured materials by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhuiykov, Serge, E-mail: serge.zhuiykov@ugent.be [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of); Kawaguchi, Toshikazu [Global Station for Food, Land and Water Resources, Global Institution for Collaborative Research and Education, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Graduate School of Environmental Science, Hokkaido University, N10W5 Kita-ku, Sapporo, Hokkaido 060-0810 (Japan); Hai, Zhenyin; Karbalaei Akbari, Mohammad; Heynderickx, Philippe M. [Ghent University Global Campus, Department of Applied Analytical & Physical Chemistry, Faculty of Bioscience Engineering, 119 Songdomunhwa-ro, Yeonsu-Gu, Incheon 406-840 (Korea, Republic of)

    2017-01-15

    Highlights: • Advantages of atomic layer deposition technology (ALD) for two-dimensional nano-crystals. • Conformation of ALD technique and chemistry of precursors. • ALD of semiconductor oxide thin films. • Ultra-thin (∼1.47 nm thick) ALD-developed tungsten oxide nano-crystals on large area. - Abstract: Atomic Layer Deposition (ALD) is an enabling technology which provides coating and material features with significant advantages compared to other existing techniques for depositing precise nanometer-thin two-dimensional (2D) nanostructures. It is a cyclic process which relies on sequential self-terminating reactions between gas phase precursor molecules and a solid surface. ALD is especially advantageous when the film quality or thickness is critical, offering ultra-high aspect ratios. ALD provides digital thickness control to the atomic level by depositing film one atomic layer at a time, as well as pinhole-free films even over a very large and complex areas. Digital control extends to sandwiches, hetero-structures, nano-laminates, metal oxides, graded index layers and doping, and it is perfect for conformal coating and challenging 2D electrodes for various functional devices. The technique’s capabilities are presented on the example of ALD-developed ultra-thin 2D tungsten oxide (WO{sub 3}) over the large area of standard 4” Si substrates. The discussed advantages of ALD enable and endorse the employment of this technique for the development of hetero-nanostructure 2D semiconductors with unique properties.

  20. Performance of Liquid Phase Exfoliated Graphene As Electrochemical Double Layer Capacitors Electrodes

    Science.gov (United States)

    Huffstutler, Jacob; Wasala, Milinda; Richie, Julianna; Winchester, Andrew; Ghosh, Sujoy; Kar, Swastik; Talapatra, Saikat

    2014-03-01

    We will present the results of our investigations of electrochemical double layer capacitors (EDLCs) or supercapacitors (SC) fabricated using liquid-phase exfoliated graphene. Several electrolytes, such as aqueous potassium hydroxide KOH (6M), ionic 1-Butyl-3-methylimidazolium hexafluorophosphate [BMIM][PF6], and ionic 1-butyl-1-methylpyrrolidinium tris(pentafluoroethyl)trifluorophosphate[BMP][FAP] were used. These EDLC's show good performance compared to other carbon nanomaterials based EDLC's devices. We found that the liquid phase exfoliated graphene based devices possess specific capacitance values as high as 262 F/g, when used with ionic liquid electrolyte[BMP][FAP], with power densities (~ 454 W/kg) and energy densities (~ 0.38Wh/kg). Further, these devices indicated rapid charge transfer response even without the use of any binders or specially prepared current collectors. A detailed electrochemical impedance spectroscopy analysis in order to understand the phenomenon of charge storage in these materials will be presented.

  1. Recent advances in polymer supporting layered double hydroxides nanocomposite for electrochemical biosensors

    Science.gov (United States)

    Dhanasekaran, T.; Padmanaban, A.; Gnanamoorthy, G.; Manigandan, R.; Praveen Kumar, S.; Stephen, A.; Narayanan, V.

    2018-01-01

    In recent years, layered double hydroxides (LDHs) materials having emerging due to their ability of intercalate a variety of anions, either organic or inorganic molecules. The most significance of the LDHs has been found potential applications in catalysis, wastewater treatment, and electrochemical sensors. The Mg-Al LDHs (MAL) and Poly-o-phenylenediamine @ Mg-Al LDHs (P-MAL) was prepared via simple one step hydrothermal method. As prepared material was characterized using many techniques such as, the structural and crystal phase was determined from XRD and Raman analyses. The functional groups were depicted using FT-IR spectroscopy. The optical propertied studied using diffuse reflectance spectroscopy UV-vis spectroscopy and the emission property were analyzed from Photoluminescence spectroscopy. The surface morphology and average particle size was analyzed using FESEM microscopy. The prepared polymer composite material P-MAL was further used for highly sensitive electrochemical detection towards dopamine (DA).

  2. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  3. Silicon protected with atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Seger, Brian; Tilley, David S.; Pedersen, Thomas

    2013-01-01

    The semiconducting materials used for photoelectrochemical (PEC) water splitting must withstand the corrosive nature of the aqueous electrolyte over long time scales in order to be a viable option for large scale solar energy conversion. Here we demonstrate that atomic layer deposited titanium di...

  4. Electrochemical characterization of pulsed layer deposited hydroxyapatite-zirconia layers on Ti-21Nb-15Ta-6Zr alloy for biomedical application

    Energy Technology Data Exchange (ETDEWEB)

    Izquierdo, Javier [Department of Chemistry, Universidad de La Laguna, P.O. Box 456, E-38200 La Laguna, Tenerife (Spain); Bolat, Georgiana [“Gheorghe Asachi” Technical University of Iasi, Faculty of Chemical Engineering and Environmental Protection, 73 Prof. Dr. Doc. D. Mangeron Street, 700050 Iasi (Romania); Cimpoesu, Nicanor [“Gheorghe Asachi” Technical University of Iasi, Faculty of Materials Science, 61-63 Prof. Dr. Doc. D. Mangeron Street, 700050 Iasi (Romania); Trinca, Lucia Carmen [Science Department, University of Agricultural Sciences and Veterinary Medicine, M. Sadoveanu Alley 3, 700490 Iasi (Romania); Mareci, Daniel, E-mail: danmareci@yahoo.com [“Gheorghe Asachi” Technical University of Iasi, Faculty of Chemical Engineering and Environmental Protection, 73 Prof. Dr. Doc. D. Mangeron Street, 700050 Iasi (Romania); Souto, Ricardo Manuel, E-mail: rsouto@ull.es [Department of Chemistry, Universidad de La Laguna, P.O. Box 456, E-38200 La Laguna, Tenerife (Spain); Institute of Material Science and Nanotechnology, Universidad de La Laguna, E-38200 La Laguna, Tenerife (Spain)

    2016-11-01

    Highlights: • New quarternary Ti-based alloy for biomaterial application. • Combined hydroxyapatite-zirconia coating produced by pulsed laser deposition. • Porous layer formed on the coated alloy blocks electron transfer reactions. • Electrochemical behaviour consistent with passive film with duplex structure. • HA–ZrO{sub 2} coated Ti-21Nb-15Ta-6Zr exhibits high potential for osseointegration. - Abstract: A new titanium base Ti-21Nb-15Ta-6Zr alloy covered with hydroxyapatite-zirconia (HA–ZrO{sub 2}) by pulsed laser deposition (PLD) technique was characterized regarding its corrosion resistance in simulated physiological Ringer’s solution at 37 °C. For the sake of comparison, Ti-6Al-4V standard implant alloy, with and without hydroxyapatite-zirconia coating, was also characterized. Multiscale electrochemical analysis using both conventional averaging electrochemical techniques, namely electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization, and spatially-resolved microelectrochemical techniques (scanning electrochemical microscopy, SECM) were used to investigate the electrochemical behaviour of the materials. In addition, scanning electron microscopy evidenced that no relevant surface morphology changes occurred on the materials upon immersion in the simulated physiological solution, despite variations in their electrochemical behaviour. Although uncoated metals appear to show better performances during conventional corrosion tests, the response is still quite similar for the HA–ZrO{sub 2} coated materials while providing superior resistance towards electron transfer due to the formation of a more dense film on the surface, thus effectively behaving as a passive material. It is believed corrosion of the HA–ZrO{sub 2} coated Ti-21Nb-15Ta-6Zr alloy will have negligible effect upon biochemical and cellular events at the bone-implant interface and could facilitate osseointegration.

  5. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  6. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  7. Science and Emerging Technology of 2D Atomic Layered Materials and Devices

    Science.gov (United States)

    2017-09-09

    AFRL-AFOSR-JP-TR-2017-0067 Science & Emerging Technology of 2D Atomic Layered Materials and Devices Angel Rubio UNIVERSIDAD DEL PAIS VASCO - EUSKAL...DD-MM-YYYY)      27-09-2017 2.  REPORT TYPE      Final 3.  DATES COVERED (From - To)      19 Feb 2015 to 18 Feb 2017 4.  TITLE AND SUBTITLE Science ...reporting documents for AOARD project 144088, “2D Materials and Devices Beyond Graphene Science & Emerging Technology of 2D Atomic Layered Materials and

  8. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  9. Constructing oxide interfaces and heterostructures by atomic layer-by-layer laser molecular beam epitaxy

    OpenAIRE

    Lei, Qingyu; Golalikhani, Maryam; Davidson, Bruce A.; Liu, Guozhen; Schlom, D. G.; Qiao, Qiao; Zhu, Yimei; Chandrasena, Ravini U.; Yang, Weibing; Gray, Alexander X.; Arenholz, Elke; Farrar, Andrew K.; Tenne, Dmitri A.; Hu, Minhui; Guo, Jiandong

    2016-01-01

    Advancements in nanoscale engineering of oxide interfaces and heterostructures have led to discoveries of emergent phenomena and new artificial materials. Combining the strengths of reactive molecular-beam epitaxy and pulsed-laser deposition, we show here, with examples of Sr1+xTi1-xO3+delta, Ruddlesden-Popper phase Lan+1NinO3n+1 (n = 4), and LaAl1+yO3(1+0.5y)/SrTiO3 interfaces, that atomic layer-by-layer laser molecular-beam epitaxy (ALL-Laser MBE) significantly advances the state of the art...

  10. In Situ X‐Ray Diffraction Studies on Structural Changes of a P2 Layered Material during Electrochemical Desodiation/Sodiation

    DEFF Research Database (Denmark)

    Jung, Young Hwa; Christiansen, Ane Sælland; Johnsen, Rune E.

    2015-01-01

    for understanding the relationship between layered structures and electrochemical properties. A combination of in situ diffraction and ex situ X‐ray absorption spectroscopy reveals the phase transition mechanism for the ternary transition metal system (Fe–Mn–Co) with P2 stacking. In situ synchrotron X‐ray...... in a volumetric contraction of the lattice toward a fully charged state. Observations on the redox behavior of each transition metal in P2–Na0.7Fe0.4Mn0.4Co0.2O2 using X‐ray absorption spectroscopy indicate that all transition metals are involved in the reduction/oxidation process.......Sodium layered oxides with mixed transition metals have received significant attention as positive electrode candidates for sodium‐ion batteries because of their high reversible capacity. The phase transformations of layered compounds during electrochemical reactions are a pivotal feature...

  11. Synthesis and electrochemical properties of nanosized LiFeO2 particles with a layered rocksalt structure for lithium batteries

    International Nuclear Information System (INIS)

    Hirayama, Masaaki; Tomita, Hiroki; Kubota, Kei; Ido, Hidekazu; Kanno, Ryoji

    2012-01-01

    Highlights: ► 40-nm-sized O3-LiFeO 2 exhibits higher discharge capacities and rate characteristics than 400-nm-sized O3-LiFeO 2 . ► The cation disorder of Li and Fe ions might have affected the electrochemical activity of the O3-LiFeO 2 nanoparticles. ► A phase change from a layered structure to a cubic structure during electrochemical cycling. ► The new cubic phase allowed a stable electrochemical reaction between 4.5 and 1.0 V. -- Abstract: Layered rocksalt-type LiFeO 2 particles (O3-LiFeO 2 ) with average particle sizes of ca. 40 and 400 nm were synthesized by an ion exchange reaction from α-NaFeO 2 precursors. X-ray diffraction (XRD) patterns and scanning electron microscopy (SEM) images confirmed the formation of nanosized O3-LiFeO 2 . 40-nm LiFeO 2 exhibited a higher discharge capacity (115 mAh g −1 ) than 400-nm LiFeO 2 (80 mAh g −1 ), and also had better rate characteristics. The downsizing effect and cation disorder between the lithium and iron layers may have improved the electrochemical activity of the LiFeO 2 particles. Transmission electron microscopy (TEM) observation indicated a phase transition from O3-LiFeO 2 to a cubic lattice system during the electrochemical process. The cubic lithium iron oxide exhibited stable electrochemical reactions based on the Fe 2+ /Fe 3+ and Fe 2+ /Fe 0 redox couples at voltages between 4.5 and 1.0 V. The discharge capacities of 40-nm LiFeO 2 were ca. 115, 210, and 390 mAh g −1 under cutoff voltages of 4.5–2.0 V, 4.5–1.5 V, and 4.5–1.0 V, respectively.

  12. Visualization of arrangements of carbon atoms in graphene layers by Raman mapping and atomic-resolution TEM

    KAUST Repository

    Cong, Chunxiao; Li, Kun; Zhang, Xixiang; Yu, Ting

    2013-01-01

    In-plane and out-of-plane arrangements of carbon atoms in graphene layers play critical roles in the fundamental physics and practical applications of these novel two-dimensional materials. Here, we report initial results on the edge

  13. Electrochemical behaviour of iron and AISI 304 stainless steel in simulated acid rain solution

    Energy Technology Data Exchange (ETDEWEB)

    Pilic, Zora; Martinovic, Ivana [Mostar Univ. (Bosnia and Herzegovina). Dept. of Chemistry

    2016-10-15

    The growth mechanism and properties of the oxide films on iron and AISI 304 stainless steel were studied in simulated acid rain (pH 4.5) by means of electrochemical techniques and atomic absorption spectrometry. The layer-pore resistance model was applied to explain a potentiodynamic formation of surface oxides. It was found that the growth of the oxide film on iron takes place by the low-field migration mechanism, while that on the stainless steel takes place by the high-field mechanism. Kinetic parameters were determined. Impedance measurements revealed that Fe surface film has no protective properties at the open circuit potential, while the resistance of stainless steel oxide film is very high. The concentration of the metallic ions released into solution and measured by atomic absorption spectroscopy was in accordance with the results obtained from the electrochemical techniques.

  14. Interactions between C and Cu atoms in single-layer graphene: direct observation and modelling.

    Science.gov (United States)

    Kano, Emi; Hashimoto, Ayako; Kaneko, Tomoaki; Tajima, Nobuo; Ohno, Takahisa; Takeguchi, Masaki

    2016-01-07

    Metal doping into the graphene lattice has been studied recently to develop novel nanoelectronic devices and to gain an understanding of the catalytic activities of metals in nanocarbon structures. Here we report the direct observation of interactions between Cu atoms and single-layer graphene by transmission electron microscopy. We document stable configurations of Cu atoms in the graphene sheet and unique transformations of graphene promoted by Cu atoms. First-principles calculations based on density functional theory reveal a reduction of energy barrier that caused rotation of C-C bonds near Cu atoms. We discuss two driving forces, electron irradiation and in situ heating, and conclude that the observed transformations were mainly promoted by electron irradiation. Our results suggest that individual Cu atoms can promote reconstruction of single-layer graphene.

  15. Electrochemical studies of iron/carbonates system applied to the formation of thin layers of siderite on inert substrates

    International Nuclear Information System (INIS)

    Ithurbide, A.; Peulon, S.; Mandin, Ph.; Beaucaire, C.; Chausse, A.

    2007-01-01

    In order to understand the complex mechanisms of the reactions occurring, a methodology is developed. It is based on the use of compounds electrodeposited under the form of thin layers and which are used then as electrodes to study their interactions with the toxic species. It is in this framework that is studied the electrodeposition of siderite on inert substrates. At first, have been studied iron electrochemical systems in carbonated solutions. These studies have been carried out with classical electrochemical methods (cyclic voltametry, amperometry) coupled to in-situ measurements: quartz microbalance, pH. Different compounds have been obtained under the form of homogeneous and adherent thin layers. The analyses of these depositions, by different ex-situ characterizations (XRD, IR, SEM, EDS..) have revealed particularly the presence of siderite. Then, the influence of several experimental parameters (substrate, potential, medium composition, temperature) on the characteristics of siderite thin layers has been studied. From these experimental results, models have been proposed. (O.M.)

  16. Pseudocapacitive Oxides and Sulfides for High-Performance Electrochemical Energy Storage

    KAUST Repository

    Xia, Chuan

    2018-03-22

    The intermittent nature of several sustainable energy sources such as solar and wind energy has ignited the demand of electrochemical energy storage devices in the form of batteries and electrochemical capacitors. The future generation of electrochemical capacitors will in large part depend on the use of pseudocapacitive materials in one or both electrodes. Developing pseudocapacitors to have both high energy and power density is crucial for future energy storage systems. This dissertation evaluates two different material systems to achieve high energy density pseudocapacitive energy storage. This research presents the successful preparation and application of ternary NiCo2S4, which is based on the surface redox mechanism, in the area of pseudocapacitive energy storage. Attention has been paid to understanding its basic physical properties which can impact its electrochemical behavior. Well-defined single- and double-shell NiCo2S4 hollow spheres were fabricated for pseudocapacitor applications, showing much improved electrochemical storage performance with good energy and power densities, as well as excellent cycling stability. To overcome the complexity of the preparation methods of NiCo2S4 nanostructures, a one-step approach was developed for the first time. Asymmetric pseudocapacitors using NiCo2S4 as cathode and graphene as anode were also fabricated to extend the operation voltage in aqueous electrolyte, and thus enhance the overall capacity of the cells. Furthermore, high-performance on-chip pseudocapacitive energy storage was demonstrated using NiCo2S4 as electrochemically active materials. This dissertation also involves another material system, intercalation pseudocapacitive VO2 (B), that displays a different charge storage mechanism from NiCo2S4. By constructing high-quality, atomically-thin two-dimensional (2D) VO2 (B) sheets using a general monomer-assisted approach, we demonstrate that a rational design of atomically thin, 2D nanostructures of

  17. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  18. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  19. In situ AFM investigation of electrochemically induced surface-initiated atom-transfer radical polymerization.

    Science.gov (United States)

    Li, Bin; Yu, Bo; Zhou, Feng

    2013-02-12

    Electrochemically induced surface-initiated atom-transfer radical polymerization is traced by in situ AFM technology for the first time, which allows visualization of the polymer growth process. It affords a fundamental insight into the surface morphology and growth mechanism simultaneously. Using this technique, the polymerization kinetics of two model monomers were studied, namely the anionic 3-sulfopropyl methacrylate potassium salt (SPMA) and the cationic 2-(metharyloyloxy)ethyltrimethylammonium chloride (METAC). The growth of METAC is significantly improved by screening the ammonium cations by the addition of ionic liquid electrolyte in aqueous solution. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Electrochemical hydride generation atomic fluorescence spectrometry for detection of tin in canned foods using polyaniline-modified lead cathode.

    Science.gov (United States)

    Jiang, Xianjuan; Gan, Wuer; Wan, Lingzhong; Deng, Yun; Yang, Qinghua; He, Youzhao

    2010-12-15

    An electrochemical hydride generation system with polyaniline-modified lead cathode was developed for tin determination by coupling with atomic fluorescence spectrometry. The tin fluorescence signal intensity was improved evidently as the polyaniline membrane could facilitate the transformation process from atomic tin to the SnH(4) and prevent the aggradation of Sn atom on Pb electrode surface. The effects of experimental parameters and interferences have been studied. The limit of detection (LOD) was 1.5 ng mL(-1) (3σ) and the relative standard deviation (RSD) was 3.3% for 11 consecutive measurements of 50 ng mL(-1) Sn(IV) standard solution. Copyright © 2010 Elsevier B.V. All rights reserved.

  1. Layer-by-Layer Self-Assembled Graphene Multilayer Films via Covalent Bonds for Supercapacitor Electrodes

    Directory of Open Access Journals (Sweden)

    Xianbin Liu

    2015-05-01

    Full Text Available To maximize the utilization of its single-atom thin nature, a facile scheme to fabricate graphene multilayer films via a layer-by-layer self-assembled process was presented. The structure of multilayer films was constructed by covalently bonding graphene oxide (GO using p-phenylenediamine (PPD as a covalent cross-linking agent. The assembly process was confirmed to be repeatable and the structure was stable. With the π-π conjugated structure and a large number of spaces in the framework, the graphene multi‐ layer films exhibited excellent electrochemical perform‐ ance. The uniform ultrathin electrode exhibited a capacitance of 41.71 μF/cm2 at a discharge current of 0.1 μA/cm2, and displayed excellent stability of 88.9 % after 1000 charge-discharge cycles.

  2. Morphology, chemical composition , and electrochemical characteristics of colored titanium passive layers

    International Nuclear Information System (INIS)

    Jerkiewicz, G.; Hrapovic, S.; Vatankhah, G.; Luan, B.L.

    1999-01-01

    Brightly and uniformly colored passive layers on Ti are formed by application of AC polarization in aqueous NH 4 BF 4 . A wide spectrum of well-defined colors is accomplished by varying the AC voltage. The passive films are stable in the ambient and in aqueous chloride, perchlorate, sulfate solutions. Optical microscopy and SEM analyses indicate that the passive layers are compact and do not reveal fractures or cracks. XPS characterization of the colored passive layers reveals that their surface-chemical composition depends on the AC polarization voltage. The main constituents of the passive layers are Ti z+ , O 2- , and F - (z varies from 4 to 2 depending on the depth). Fluoride in the film originates form decomposition of NH 4 BF 4 and it accumulates at the inner metal/passive-film interface. XPS depth profiling shows that the higher the AC voltage applied, the thicker the passive film formed. Electrochemical properties of the colored Ti passive layers are determined by recording polarization curves in the -0.8 - 3.2 V, RHE, range and Tafel plots in the hydrogen evolution reaction (HER) region in 1.0 M aqueous H 2 SO 4 solution. The polarization curves show that the corrosion potential of the colored passive layers shifts towards less-negative potential indicating that they are more stable than Ti under the same conditions. The Tafel plots for the HER demonstrate that the passive layers have much higher activity than Ti towards the HER. The Tafel relations reveal new features that can be associated with the partial breakdown/decomposition of the passive layers and with H absorption. (author)

  3. Monocrystalline zinc oxide films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wachnicki, L.; Krajewski, T.; Luka, G.; Witkowski, B.; Kowalski, B.; Kopalko, K.; Domagala, J.Z.; Guziewicz, M.; Godlewski, M.; Guziewicz, E.

    2010-01-01

    In the present work we report on the monocrystalline growth of (00.1) ZnO films on GaN template by the Atomic Layer Deposition technique. The ZnO films were obtained at temperature of 300 o C using dietylzinc (DEZn) as a zinc precursor and deionized water as an oxygen precursor. High resolution X-ray diffraction analysis proves that ZnO layers are monocrystalline with rocking curve FWHM of the 00.2 peak equals to 0.07 o . Low temperature photoluminescence shows a sharp and bright excitonic line with FWHM of 13 meV.

  4. Electrochemical preconcentration and hydride generation methods for trace determination of selenium by atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Bye, R.

    1986-01-01

    The use of atomic absorption spectrometry in combination with two different preconcentration/separation techniques for the determination of trace concentrations of selenium is described. Electrochemical preconcentration onto a platinum electrode with a subsequent atomization of selenium is discussed briefly. Several parameters are considered such as the presence of depolarizers, and the temperature of the electrolyzed solutions. Special attention is payed to the efficiency of the atomization step, and a method to improve this is proposed. Applications of the technique to real samples are also reported. Secondly, the separation of the selenium as the volatile selenium hydride from the sample solution is considered. Several papers in this thesis deal with commonly occurring interferants as nickel and copper and with ways of minimizing or avoiding the interferring effects, whereas other papers relate to more theoretical aspects of the hydride generation process. New methods for the determination of selenium in technical samples with high contents of nickel and copper are also presented

  5. Recent Advances in Layered Ti3 C2 Tx MXene for Electrochemical Energy Storage.

    Science.gov (United States)

    Xiong, Dongbin; Li, Xifei; Bai, Zhimin; Lu, Shigang

    2018-04-01

    Ti 3 C 2 T x , a typical representative among the emerging family of 2D layered transition metal carbides and/or nitrides referred to as MXenes, has exhibited multiple advantages including metallic conductivity, a plastic layer structure, small band gaps, and the hydrophilic nature of its functionalized surface. As a result, this 2D material is intensively investigated for application in the energy storage field. The composition, morphology and texture, surface chemistry, and structural configuration of Ti 3 C 2 T x directly influence its electrochemical performance, e.g., the use of a well-designed 2D Ti 3 C 2 T x as a rechargeable battery anode has significantly enhanced battery performance by providing more chemically active interfaces, shortened ion-diffusion lengths, and improved in-plane carrier/charge-transport kinetics. Some recent progresses of Ti 3 C 2 T x MXene are achieved in energy storage. This Review summarizes recent advances in the synthesis and electrochemical energy storage applications of Ti 3 C 2 T x MXene including supercapacitors, lithium-ion batteries, sodium-ion batteries, and lithium-sulfur batteries. The current opportunities and future challenges of Ti 3 C 2 T x MXene are addressed for energy-storage devices. This Review seeks to provide a rational and in-depth understanding of the relation between the electrochemical performance and the nanostructural/chemical composition of Ti 3 C 2 T x , which will promote the further development of 2D MXenes in energy-storage applications. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Layer-by-layer composite film of nickel phthalocyanine and montmorillonite clay for synergistic effect on electrochemical detection of dopamine

    Science.gov (United States)

    de Lucena, Nathalia C.; Miyazaki, Celina M.; Shimizu, Flávio M.; Constantino, Carlos J. L.; Ferreira, Marystela

    2018-04-01

    Dopamine (DA) abnormal levels are related to diseases which makes important the development of fast, reliable, low-cost and sensitive devices for diagnosis and pharmaceutical controls. Nanostructured film composite of sodium montmorillonite clay (Na+MMT) and nickel phthalocyanine (NiTsPc) was self-assembled by layer-by-layer (LbL) technique and applied as electrochemical sensor for DA in the presence of common natural interferents as ascorbic acid (AA) and uric acid (UA). Three different LbL architecture films were investigated: LbL films of clay (PEI/Na+MMT) and phthalocyanine (PEI/NiTsPc) in a bilayer structure with a conventional polyelectrolyte (PEI) and a composite film formed by both materials to verify the synergistic effect in the LbL film in a quadri-layer assembly (PEI/Na+MMT/PEI/NiTsPc). Structural characterization indicated molecular level interactions between the layers forming the LbL films. The ITO/(PEI/Na+MMT/PEI/NiTsPc)10 electrode exhibited a LOD of 1.0 μmol L-1 and linear range 5-150 μmol L-1.

  7. Microfluidic electrochemical device and process for chemical imaging and electrochemical analysis at the electrode-liquid interface in-situ

    Science.gov (United States)

    Yu, Xiao-Ying; Liu, Bingwen; Yang, Li; Zhu, Zihua; Marshall, Matthew J.

    2016-03-01

    A microfluidic electrochemical device and process are detailed that provide chemical imaging and electrochemical analysis under vacuum at the surface of the electrode-sample or electrode-liquid interface in-situ. The electrochemical device allows investigation of various surface layers including diffuse layers at selected depths populated with, e.g., adsorbed molecules in which chemical transformation in electrolyte solutions occurs.

  8. Two-Dimensional SnO Anodes with a Tunable Number of Atomic Layers for Sodium Ion Batteries

    KAUST Repository

    Zhang, Fan; Zhu, Jiajie; Zhang, Daliang; Schwingenschlö gl, Udo; Alshareef, Husam N.

    2017-01-01

    We have systematically changed the number of atomic layers stacked in 2D SnO nanosheet anodes and studied their sodium ion battery (SIB) performance. The results indicate that as the number of atomic SnO layers in a sheet decreases, both

  9. Atomic Layer Deposition to Enable the Production, Optimization and Protection of Spaceflight Hardware Project

    Data.gov (United States)

    National Aeronautics and Space Administration — Atomic Layer Deposition (ALD) a cost effective nano-manufacturing technique allows for the conformal coating of substrates with atomic control in a benign...

  10. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  11. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  12. Monolayer atomic crystal molecular superlattices

    Science.gov (United States)

    Wang, Chen; He, Qiyuan; Halim, Udayabagya; Liu, Yuanyue; Zhu, Enbo; Lin, Zhaoyang; Xiao, Hai; Duan, Xidong; Feng, Ziying; Cheng, Rui; Weiss, Nathan O.; Ye, Guojun; Huang, Yun-Chiao; Wu, Hao; Cheng, Hung-Chieh; Shakir, Imran; Liao, Lei; Chen, Xianhui; Goddard, William A., III; Huang, Yu; Duan, Xiangfeng

    2018-03-01

    Artificial superlattices, based on van der Waals heterostructures of two-dimensional atomic crystals such as graphene or molybdenum disulfide, offer technological opportunities beyond the reach of existing materials. Typical strategies for creating such artificial superlattices rely on arduous layer-by-layer exfoliation and restacking, with limited yield and reproducibility. The bottom-up approach of using chemical-vapour deposition produces high-quality heterostructures but becomes increasingly difficult for high-order superlattices. The intercalation of selected two-dimensional atomic crystals with alkali metal ions offers an alternative way to superlattice structures, but these usually have poor stability and seriously altered electronic properties. Here we report an electrochemical molecular intercalation approach to a new class of stable superlattices in which monolayer atomic crystals alternate with molecular layers. Using black phosphorus as a model system, we show that intercalation with cetyl-trimethylammonium bromide produces monolayer phosphorene molecular superlattices in which the interlayer distance is more than double that in black phosphorus, effectively isolating the phosphorene monolayers. Electrical transport studies of transistors fabricated from the monolayer phosphorene molecular superlattice show an on/off current ratio exceeding 107, along with excellent mobility and superior stability. We further show that several different two-dimensional atomic crystals, such as molybdenum disulfide and tungsten diselenide, can be intercalated with quaternary ammonium molecules of varying sizes and symmetries to produce a broad class of superlattices with tailored molecular structures, interlayer distances, phase compositions, electronic and optical properties. These studies define a versatile material platform for fundamental studies and potential technological applications.

  13. Modulating the Electrochemical Performances of Layered Cathode Materials for Sodium Ion Batteries through Tuning Coulombic Repulsion between Negatively Charged TMO2 Slabs.

    Science.gov (United States)

    Li, Zheng-Yao; Wang, Huibo; Yang, Wenyun; Yang, Jinbo; Zheng, Lirong; Chen, Dongfeng; Sun, Kai; Han, Songbai; Liu, Xiangfeng

    2018-01-17

    Exploiting advanced layered transition metal oxide cathode materials is of great importance to rechargeable sodium batteries. Layered oxides are composed of negatively charged TMO 2 slabs (TM = transition metal) separated by Na + diffusion layers. Herein, we propose a novel insight, for the first time, to control the electrochemical properties by tuning Coulombic repulsion between negatively charged TMO 2 slabs. Coulombic repulsion can finely tailor the d-spacing of Na ion layers and material structural stability, which can be achieved by employing Na + cations to serve as effective shielding layers between TMO 2 layers. A series of O3-type Na x Mn 1/3 Fe 1/3 Cu 1/6 Mg 1/6 O 2 (x = 1.0, 0.9, 0.8, and 0.7) have been prepared, and Na 0.7 Mn 1/3 Fe 1/3 Cu 1/6 Mg 1/6 O 2 shows the largest Coulombic repulsion between TMO 2 layers, the largest space for Na ion diffusion, the best structural stability, and also the longest Na-O chemical bond with weaker Coulombic attraction, thus leading to the best electrochemical performance. Meanwhile, the thermal stability depends on the Na concentration in pristine materials. Ex situ X-ray absorption (XAS) analysis indicates that Mn, Fe, and Cu ions are all electrochemically active components during insertion and extraction of sodium ion. This study enables some new insights to promote the development of advanced layered Na x TMO 2 materials for rechargeable sodium batteries in the future.

  14. Experimental and Theoretical Structural Investigation of AuPt Nanoparticles Synthesized Using a Direct Electrochemical Method.

    Science.gov (United States)

    Lapp, Aliya S; Duan, Zhiyao; Marcella, Nicholas; Luo, Long; Genc, Arda; Ringnalda, Jan; Frenkel, Anatoly I; Henkelman, Graeme; Crooks, Richard M

    2018-05-11

    In this report, we examine the structure of bimetallic nanomaterials prepared by an electrochemical approach known as hydride-terminated (HT) electrodeposition. It has been shown previously that this method can lead to deposition of a single Pt monolayer on bulk-phase Au surfaces. Specifically, under appropriate electrochemical conditions and using a solution containing PtCl 4 2- , a monolayer of Pt atoms electrodeposits onto bulk-phase Au immediately followed by a monolayer of H atoms. The H atom capping layer prevents deposition of Pt multilayers. We applied this method to ∼1.6 nm Au nanoparticles (AuNPs) immobilized on an inert electrode surface. In contrast to the well-defined, segregated Au/Pt structure of the bulk-phase surface, we observe that HT electrodeposition leads to the formation of AuPt quasi-random alloy NPs rather than the core@shell structure anticipated from earlier reports relating to deposition onto bulk phases. The results provide a good example of how the phase behavior of macro materials does not always translate to the nano world. A key component of this study was the structure determination of the AuPt NPs, which required a combination of electrochemical methods, electron microscopy, X-ray absorption spectroscopy, and theory (DFT and MD).

  15. Electrochemical fabrication and modelling of mechanical behavior of a tri-layer polymer actuator

    International Nuclear Information System (INIS)

    Kaynak, Akif; Yang Chunhui; Lim, Yang C.; Kouzani, Abbas

    2011-01-01

    Stability and performance of electrochemically synthesized tri-layer polypyrrole based actuators were reported. Concentrations were optimized as 0.05 M pyrrole and 0.05 M tetrabutylammonium hexaflurophosphate in propylene carbonate (PC). The force output of the actuators ranged from 0.2 to 0.4 mN. Cyclic deflection tests on PC based actuators for a duration of 3 h indicated that the displacement decreased by 60%. However, actuation could be regenerated by immersing the actuator into the electrolyte solution. Surface resistivity measurements on the actuators prior to and after 3 h continuous deflection did not show any significant change in the resistivity of the PPy layer. A triple-layer model of the polymer actuator was developed based on the classic bending beam theory by considering strain continuity between PPy and PVDF. Results predicted by the model were in good agreement with the experimental data.

  16. Atomic layer deposition and etching methods for far ultraviolet aluminum mirrors

    Science.gov (United States)

    Hennessy, John; Moore, Christopher S.; Balasubramanian, Kunjithapatham; Jewell, April D.; Carter, Christian; France, Kevin; Nikzad, Shouleh

    2017-09-01

    High-performance aluminum mirrors at far ultraviolet wavelengths require transparent dielectric materials as protective coatings to prevent oxidation. Reducing the thickness of this protective layer can result in additional performance gains by minimizing absorption losses, and provides a path toward high Al reflectance in the challenging wavelength range of 90 to 110 nm. We have pursued the development of new atomic layer deposition processes (ALD) for the metal fluoride materials of MgF2, AlF3 and LiF. Using anhydrous hydrogen fluoride as a reactant, these films can be deposited at the low temperatures required for large-area surface-finished optics and polymeric diffraction gratings. We also report on the development and application of an atomic layer etching (ALE) procedure to controllably etch native aluminum oxide. Our ALE process utilizes the same chemistry used in the ALD of AlF3 thin films, allowing for a combination of high-performance evaporated Al layers and ultrathin ALD encapsulation without requiring vacuum transfer. Progress in demonstrating the scalability of this approach, as well as the environmental stability of ALD/ALE Al mirrors are discussed in the context of possible future applications for NASA LUVOIR and HabEx mission concepts.

  17. The role of terminations and coordination atoms on the pseudocapacitance of titanium carbonitride monolayers.

    Science.gov (United States)

    Zhang, Wenqiang; Cheng, Chuan; Fang, Peilin; Tang, Bin; Zhang, Jindou; Huang, Guoming; Cong, Xin; Zhang, Bao; Ji, Xiao; Miao, Ling

    2016-02-14

    Nowadays, MXenes have received extensive concern as a prominent electrode material of electrochemical capacitors. As two important factors to the capacitance, the influence of the intrinsical terminations (F, O and OH) and coordination atoms (C and N) is investigated using first-principles calculations. According to the density of states aligned with the standard hydrogen electrode, it turns out that a Ti3CNO2 monolayer is proven to show an obvious pseudocapacitive behavior, while the bare, F and OH terminated Ti3CN monolayers may only present electrochemical double layer characters in an aqueous electrolyte. Moreover, the illustration of molecular orbitals over the Fermi level are mainly contributed by the d-orbitals of Ti atoms coordinated with O and N atoms, indicating that the redox pseudocapacitance of the Ti3CNO2 monolayer is promoted by the coordination N atoms. Then the superiority of N bonded Ti atoms in accepting charges can be visualized through the charge population. Further, the larger ratio of C/N in the coordination environment of Ti atoms indicates that more electrons can be stored. Our investigation can give an instructional advice in the MXenes-electrode production.

  18. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  19. Reliably counting atomic planes of few-layer graphene (n > 4).

    Science.gov (United States)

    Koh, Yee Kan; Bae, Myung-Ho; Cahill, David G; Pop, Eric

    2011-01-25

    We demonstrate a reliable technique for counting atomic planes (n) of few-layer graphene (FLG) on SiO(2)/Si substrates by Raman spectroscopy. Our approach is based on measuring the ratio of the integrated intensity of the G graphene peak and the optical phonon peak of Si, I(G)/I(Si), and is particularly useful in the range n > 4 where few methods exist. We compare our results with atomic force microscopy (AFM) measurements and Fresnel equation calculations. Then, we apply our method to unambiguously identify n of FLG devices on SiO(2) and find that the mobility (μ ≈ 2000 cm(2) V(-1) s(-1)) is independent of layer thickness for n > 4. Our findings suggest that electrical transport in gated FLG devices is dominated by carriers near the FLG/SiO(2) interface and is thus limited by the environment, even for n > 4.

  20. Micropatterning on cylindrical surfaces via electrochemical etching using laser masking

    International Nuclear Information System (INIS)

    Cho, Chull Hee; Shin, Hong Shik; Chu, Chong Nam

    2014-01-01

    Highlights: • Various micropatterns were fabricated on the cylindrical surface of a stainless steel shaft. • Selective electrochemical dissolution was achieved via a series process of laser masking and electrochemical etching. • Laser masking characteristics on the non-planar surface were investigated. • A uniform mask layer was formed on the cylindrical surface via synchronized laser line scanning with a rotary system. • The characteristics of electrochemical etching on the non-planar surface were investigated. - Abstract: This paper proposes a method of selective electrochemical dissolution on the cylindrical surfaces of stainless steel shafts. Selective electrochemical dissolution was achieved via electrochemical etching using laser masking. A micropatterned recast layer was formed on the surface via ytterbium-doped pulsed fiber laser irradiation. The micropatterned recast layer could be used as a mask layer during the electrochemical etching process. Laser masking condition to form adequate mask layer on the planar surface for etching cannot be used directly on the non-planar surface. Laser masking condition changes depending on the morphological surface. The laser masking characteristics were investigated in order to form a uniform mask layer on the cylindrical surface. To minimize factors causing non-uniformity in the mask layer on the cylindrical surface, synchronized laser line scanning with a rotary system was applied during the laser masking process. Electrochemical etching characteristics were also investigated to achieve deeper etched depth, without collapsing the recast layer. Consequently, through a series process of laser masking and electrochemical etching, various micropatternings were successfully performed on the cylindrical surfaces

  1. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  2. Antireflective conducting nanostructures with an atomic layer deposited an AlZnO layer on a transparent substrate

    International Nuclear Information System (INIS)

    Park, Hyun-Woo; Ji, Seungmuk; Herdini, Diptya Suci; Lim, Hyuneui; Park, Jin-Seong; Chung, Kwun-Bum

    2015-01-01

    Graphical abstract: - Highlights: • We investigated the antireflective conducting nanostructures on a transparent substrate using atomic layer deposited AlZnO films. • The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance. • The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. • The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states. - Abstract: The antireflective conducting nanostructures on a transparent substrate were shown to have enhanced optical and electrical properties via colloidal lithography and atomic layer deposition. The conformal AlZnO layer on a transparent nanostructured substrate exhibited 5.52 × 10 −4 Ω cm in resistivity and 88% in average visible transmittance, both of which were superior to those of a flat transparent conducting substrate. The improvement of transparency was explained by the gradual changes of the refractive index in the film depth direction. The decrease in electrical resistivity is strongly correlated to the increased surface area with the nanostructure and the change of chemical bonding states.

  3. Voltage equilibration for reactive atomistic simulations of electrochemical processes

    International Nuclear Information System (INIS)

    Onofrio, Nicolas; Strachan, Alejandro

    2015-01-01

    We introduce electrochemical dynamics with implicit degrees of freedom (EChemDID), a model to describe electrochemical driving force in reactive molecular dynamics simulations. The method describes the equilibration of external electrochemical potentials (voltage) within metallic structures and their effect on the self-consistent partial atomic charges used in reactive molecular dynamics. An additional variable assigned to each atom denotes the local potential in its vicinity and we use fictitious, but computationally convenient, dynamics to describe its equilibration within connected metallic structures on-the-fly during the molecular dynamics simulation. This local electrostatic potential is used to dynamically modify the atomic electronegativities used to compute partial atomic changes via charge equilibration. Validation tests show that the method provides an accurate description of the electric fields generated by the applied voltage and the driving force for electrochemical reactions. We demonstrate EChemDID via simulations of the operation of electrochemical metallization cells. The simulations predict the switching of the device between a high-resistance to a low-resistance state as a conductive metallic bridge is formed and resistive currents that can be compared with experimental measurements. In addition to applications in nanoelectronics, EChemDID could be useful to model electrochemical energy conversion devices

  4. Ionic double layer of atomically flat gold formed on mica templates

    International Nuclear Information System (INIS)

    Chilcott, Terry C.; Wong, Elicia L.S.; Coster, Hans G.L.; Coster, Adelle C.F.; James, Michael

    2009-01-01

    Electrical impedance spectroscopy characterisations of gold surfaces formed on mica templates in contact with potassium chloride electrolytes were performed at the electric potential of zero charge over a frequency range of 6 x 10 -3 to 100 x 10 3 Hz. They revealed constant-phase-angle (CPA) behaviour with a frequency exponent value of 0.96 for surfaces that were also characterised as atomically flat using atomic force microscopy (AFM). As the frequency exponent value was only marginally less than unity, the CPA behaviour yielded a realistic estimate for the capacitance of the ionic double layer. The retention of the CPA behaviour was attributed to specific adsorption of chloride ions which was detected as an adsorption conductance element in parallel with the CPA impedance element. Significant variations in the ionic double layer capacitance as well as the adsorption conductance were observed for electrolyte concentrations ranging from 33 μM to 100 mM, but neither of these variations correlated with concentration. This is consistent with the electrical properties of the interface deriving principally from the inner or Stern region of the double layer.

  5. Probing the characteristics of casein as green binder for non-aqueous electrochemical double layer capacitors' electrodes

    Science.gov (United States)

    Varzi, Alberto; Raccichini, Rinaldo; Marinaro, Mario; Wohlfahrt-Mehrens, Margret; Passerini, Stefano

    2016-09-01

    Casein from bovine milk is evaluated in this work as binding agent for electrochemical double layer capacitors (EDLCs) electrodes. It is demonstrated that casein provides excellent adhesion strength to the current collector (1187 kPa compared to 51 kPa achieved with PVdF), thus leading to mechanically stable electrodes. At the same time, it offers high thermal stability (above 200 °C) and electrochemical stability in organic electrolytes. Apparently though, the casein-based electrodes offer lower electronic conductivity than those based on other state-of-the-art binders, which can limit the rate performance of the resulting EDLC. In the attempt of improving the electrochemical performance, it is found that the application of a pressing step can solve this issue, leading to excellent rate capability (up to 84% capacitance retention at 50 mA cm-2) and cycling stability (96.8% after 10,000 cycles at 10 mA cm-2) in both PC- and ACN-based electrolytes. Although the adhesive power casein is known since ancient times, this report presents the first proof of concept of its employment in electrochemical power sources.

  6. Aging of electrochemical double layer capacitors with acetonitrile-based electrolyte at elevated voltages

    International Nuclear Information System (INIS)

    Ruch, P.W.; Cericola, D.; Foelske-Schmitz, A.; Koetz, R.; Wokaun, A.

    2010-01-01

    Laboratory-scale electrochemical capacitor cells with bound activated carbon electrodes and acetonitrile-based electrolyte were aged at various elevated constant cell voltages between 2.75 V and 4.0 V. During the constant voltage tests, the cell capacitance as well as the capacitance and resistance of each electrode was determined. Following each aging experiment, the cells were analyzed by means of electrochemical impedance spectroscopy, and the individual electrodes were characterized by gas adsorption and X-ray photoelectron spectroscopy. At cell voltages above 3.0 V, the positive electrode ages much faster than the negative. Both the capacitance loss and resistance increase of the cell could be totally attributed to the positive electrode. At cell voltages above 3.5 V also the negative electrode aged significantly. X-ray photoelectron spectroscopy indicated the presence of degradation products on the electrode surface with a much thicker layer on the positive electrode. Simultaneously, a significant decrease in electrode porosity could be detected by gas adsorption.

  7. Boron and Nitrogen Codoped Carbon Layers of LiFePO4 Improve the High-Rate Electrochemical Performance for Lithium Ion Batteries.

    Science.gov (United States)

    Zhang, Jinli; Nie, Ning; Liu, Yuanyuan; Wang, Jiao; Yu, Feng; Gu, Junjie; Li, Wei

    2015-09-16

    An evolutionary composite of LiFePO4 with nitrogen and boron codoped carbon layers was prepared by processing hydrothermal-synthesized LiFePO4. This novel codoping method is successfully applied to LiFePO4 for commercial use, and it achieved excellent electrochemical performance. The electrochemical performance can be improved through single nitrogen doping (LiFePO4/C-N) or boron doping (LiFePO4/C-B). When modifying the LiFePO4/C-B with nitrogen (to synthesis LiFePO4/C-B+N) the undesired nonconducting N-B configurations (190.1 and 397.9 eV) are generated. This decreases the electronic conductivity from 2.56×10(-2) to 1.30×10(-2) S cm(-1) resulting in weak electrochemical performance. Nevertheless, using the opposite order to decorate LiFePO4/C-N with boron (to obtain LiFePO4/C-N+B) not only eliminates the nonconducting N-B impurity, but also promotes the conductive C-N (398.3, 400.3, and 401.1 eV) and C-B (189.5 eV) configurations-this markedly improves the electronic conductivity to 1.36×10(-1) S cm(-1). Meanwhile the positive doping strategy leads to synergistic electrochemical activity distinctly compared with single N- or B-doped materials (even much better than their sum capacity at 20 C). Moreover, due to the electron and hole-type carriers donated by nitrogen and boron atoms, the N+B codoped carbon coating tremendously enhances the electrochemical property: at the rate of 20 C, the codoped sample can elevate the discharge capacity of LFP/C from 101.1 mAh g(-1) to 121.6 mAh g(-1), and the codoped product based on commercial LiFePO4/C shows a discharge capacity of 78.4 mAh g(-1) rather than 48.1 mAh g(-1). Nevertheless, the B+N codoped sample decreases the discharge capacity of LFP/C from 101.1 mAh g(-1) to 95.4 mAh g(-1), while the commercial LFP/C changes from 48.1 mAh g(-1) to 40.6 mAh g(-1).

  8. Influence of the thickness of electrochemically deposited polyaniline used as hole transporting layer on the behaviour of polymer light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, J.L. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain)], E-mail: j.l.alonso@umh.es; Ferrer, J.C. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain); Cotarelo, M.A.; Montilla, F. [Dpto. de Quimica Fisica e Instituto Universitario de Materiales de Alicante, Apdo. de Correos 99, E-03080, Alicante (Spain); Fernandez de Avila, S. [Dpto. de Fisica y Arquitectura de Computadores, Universidad Miguel Hernandez, Av. de la Universidad s/n, Ed. Torrepinet, 03202, Elche, Alicante (Spain)

    2009-02-27

    An experimental study about the influence of the thickness of electrochemically deposited polyaniline (PANI), used as hole-transporting layer, on the behaviour of polymer light emitting diodes is presented. Two sets of devices with a different conjugated polymer used as active layer were prepared. Poly(9-vinylcarbazole) was used for the first type of devices, whereas Poly[2-methoxy-5-(3',7'-dimethyloctyloxy)-1,4-phenylene-vinylene] was used for the second type. Each set consists of five polymeric diodes in which the hole-transporting layer has been varied. In one case of each set no layer was deposited, in other one a Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) layer was deposited, and in the rest of the diodes a different thickness of electrochemically deposited PANI was employed. The optic and electronic characterization of the devices show that controlling the thickness of the PANI hole transporting layer, both the maximum emission peak of the electroluminescence curves and the driving voltage could be tuned. Furthermore, an exponential behaviour has been demonstrated for the maximum intensity of the electroluminescence curves as a function of the applied excitation voltage between anode and cathode.

  9. Influence of the thickness of electrochemically deposited polyaniline used as hole transporting layer on the behaviour of polymer light-emitting diodes

    International Nuclear Information System (INIS)

    Alonso, J.L.; Ferrer, J.C.; Cotarelo, M.A.; Montilla, F.; Fernandez de Avila, S.

    2009-01-01

    An experimental study about the influence of the thickness of electrochemically deposited polyaniline (PANI), used as hole-transporting layer, on the behaviour of polymer light emitting diodes is presented. Two sets of devices with a different conjugated polymer used as active layer were prepared. Poly(9-vinylcarbazole) was used for the first type of devices, whereas Poly[2-methoxy-5-(3',7'-dimethyloctyloxy)-1,4-phenylene-vinylene] was used for the second type. Each set consists of five polymeric diodes in which the hole-transporting layer has been varied. In one case of each set no layer was deposited, in other one a Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) layer was deposited, and in the rest of the diodes a different thickness of electrochemically deposited PANI was employed. The optic and electronic characterization of the devices show that controlling the thickness of the PANI hole transporting layer, both the maximum emission peak of the electroluminescence curves and the driving voltage could be tuned. Furthermore, an exponential behaviour has been demonstrated for the maximum intensity of the electroluminescence curves as a function of the applied excitation voltage between anode and cathode

  10. Atomic scale imaging of competing polar states in a Ruddlesden–Popper layered oxide

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J.; Schlom, Darrell G.; Alem, Nasim; Gopalan, Venkatraman

    2016-01-01

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden–Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure. PMID:27578622

  11. Atomic scale imaging of competing polar states in a Ruddlesden-Popper layered oxide.

    Science.gov (United States)

    Stone, Greg; Ophus, Colin; Birol, Turan; Ciston, Jim; Lee, Che-Hui; Wang, Ke; Fennie, Craig J; Schlom, Darrell G; Alem, Nasim; Gopalan, Venkatraman

    2016-08-31

    Layered complex oxides offer an unusually rich materials platform for emergent phenomena through many built-in design knobs such as varied topologies, chemical ordering schemes and geometric tuning of the structure. A multitude of polar phases are predicted to compete in Ruddlesden-Popper (RP), An+1BnO3n+1, thin films by tuning layer dimension (n) and strain; however, direct atomic-scale evidence for such competing states is currently absent. Using aberration-corrected scanning transmission electron microscopy with sub-Ångstrom resolution in Srn+1TinO3n+1 thin films, we demonstrate the coexistence of antiferroelectric, ferroelectric and new ordered and low-symmetry phases. We also directly image the atomic rumpling of the rock salt layer, a critical feature in RP structures that is responsible for the competing phases; exceptional quantitative agreement between electron microscopy and density functional theory is demonstrated. The study shows that layered topologies can enable multifunctionality through highly competitive phases exhibiting diverse phenomena in a single structure.

  12. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    Energy Technology Data Exchange (ETDEWEB)

    Chubenko, E. B., E-mail: eugene.chubenko@gmail.com; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P. [Belarusian State University of Information and RadioElectronics (Belarus)

    2016-03-15

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  13. Influence of the Surface Layer on the Electrochemical Deposition of Metals and Semiconductors into Mesoporous Silicon

    International Nuclear Information System (INIS)

    Chubenko, E. B.; Redko, S. V.; Sherstnyov, A. I.; Petrovich, V. A.; Kotov, D. A.; Bondarenko, V. P.

    2016-01-01

    The influence of the surface layer on the process of the electrochemical deposition of metals and semiconductors into porous silicon is studied. It is shown that the surface layer differs in structure and electrical characteristics from the host porous silicon bulk. It is established that a decrease in the conductivity of silicon crystallites that form the surface layer of porous silicon has a positive effect on the process of the filling of porous silicon with metals and semiconductors. This is demonstrated by the example of nickel and zinc oxide. The effect can be used for the formation of nanocomposite materials on the basis of porous silicon and nanostructures with a high aspect ratio.

  14. Ultra-trace determination of methylmercuy in seafood by atomic fluorescence spectrometry coupled with electrochemical cold vapor generation

    Energy Technology Data Exchange (ETDEWEB)

    Zu, Wenchuan, E-mail: zuhongshuai@126.com [Beijing Institute of Technology, College of Chemistry, Beijing 100081 (China); Beijing Center for Physical & Chemical Analysis, Beijing 100089 (China); Wang, Zhenghao [Beijing Normal University, College of Chemistry, Beijing 100875 (China)

    2016-03-05

    Highlights: • Methylmercury detection by ECVG-AFS without pre-separation by HPLC is proposed. • Methylmercury is atomized by direct electrochemical reduction with no reductant. • Remarkably better sensitivity is obtained than the traditional HPLC-UV-AFS method. • Glassy carbon is the best cathode material to generate Hg vapor from methylmercury. - Abstract: A homemade electrochemical flow cell was adopted for the determination of methylmercury. The cold vapor of mercury atoms was generated from the surface of glassycarbon cathode through the method of electrolytic reduction and detected by atomic fluorescence spectroscopy subsequently. The operating conditions were optimized with 2 ng mL{sup −1} methylmercury standard solution. The caliberation curve was favorably linear when the concentrations of standard HgCH{sub 3}{sup +} solutions were in the range of 0.2–5 ng mL{sup −1}(as Hg). Under the optimized conditions, the limit of detection (LOD) for methylmercury was 1.88 × 10{sup −3} ng mL{sup −1} and the precision evaluated by relative standard deviation was 2.0% for six times 2 ng mL{sup −1} standard solution replicates. The terminal analytical results of seafood samples, available from local market, showed that the methylmercury content ranged within 3.7–45.8 ng g{sup −1}. The recoveries for methylmercury spiked samples were found to be in the range of 87.6–103.6% and the relative standard deviations below 5% (n = 6)were acquired, which showed this method was feasible for real sample analysis.

  15. Preface: Special Topic on Atomic and Molecular Layer Processing: Deposition, Patterning, and Etching

    Science.gov (United States)

    Engstrom, James R.; Kummel, Andrew C.

    2017-02-01

    Thin film processing technologies that promise atomic and molecular scale control have received increasing interest in the past several years, as traditional methods for fabrication begin to reach their fundamental limits. Many of these technologies involve at their heart phenomena occurring at or near surfaces, including adsorption, gas-surface reactions, diffusion, desorption, and re-organization of near-surface layers. Moreover many of these phenomena involve not just reactions occurring under conditions of local thermodynamic equilibrium but also the action of energetic species including electrons, ions, and hyperthermal neutrals. There is a rich landscape of atomic and molecular scale interactions occurring in these systems that is still not well understood. In this Special Topic Issue of The Journal of Chemical Physics, we have collected recent representative examples of work that is directed at unraveling the mechanistic details concerning atomic and molecular layer processing, which will provide an important framework from which these fields can continue to develop. These studies range from the application of theory and computation to these systems to the use of powerful experimental probes, such as X-ray synchrotron radiation, probe microscopies, and photoelectron and infrared spectroscopies. The work presented here helps in identifying some of the major challenges and direct future activities in this exciting area of research involving atomic and molecular layer manipulation and fabrication.

  16. Atomic layer MoS2-graphene van der Waals heterostructure nanomechanical resonators.

    Science.gov (United States)

    Ye, Fan; Lee, Jaesung; Feng, Philip X-L

    2017-11-30

    Heterostructures play significant roles in modern semiconductor devices and micro/nanosystems in a plethora of applications in electronics, optoelectronics, and transducers. While state-of-the-art heterostructures often involve stacks of crystalline epi-layers each down to a few nanometers thick, the intriguing limit would be hetero-atomic-layer structures. Here we report the first experimental demonstration of freestanding van der Waals heterostructures and their functional nanomechanical devices. By stacking single-layer (1L) MoS 2 on top of suspended single-, bi-, tri- and four-layer (1L to 4L) graphene sheets, we realize an array of MoS 2 -graphene heterostructures with varying thickness and size. These heterostructures all exhibit robust nanomechanical resonances in the very high frequency (VHF) band (up to ∼100 MHz). We observe that fundamental-mode resonance frequencies of the heterostructure devices fall between the values of graphene and MoS 2 devices. Quality (Q) factors of heterostructure resonators are lower than those of graphene but comparable to those of MoS 2 devices, suggesting interface damping related to interlayer interactions in the van der Waals heterostructures. This study validates suspended atomic layer heterostructures as an effective device platform and provides opportunities for exploiting mechanically coupled effects and interlayer interactions in such devices.

  17. Partially oxidized atomic cobalt layers for carbon dioxide electroreduction to liquid fuel

    Science.gov (United States)

    Gao, Shan; Lin, Yue; Jiao, Xingchen; Sun, Yongfu; Luo, Qiquan; Zhang, Wenhua; Li, Dianqi; Yang, Jinlong; Xie, Yi

    2016-01-01

    Electroreduction of CO2 into useful fuels, especially if driven by renewable energy, represents a potentially ‘clean’ strategy for replacing fossil feedstocks and dealing with increasing CO2 emissions and their adverse effects on climate. The critical bottleneck lies in activating CO2 into the CO2•- radical anion or other intermediates that can be converted further, as the activation usually requires impractically high overpotentials. Recently, electrocatalysts based on oxide-derived metal nanostructures have been shown to enable CO2 reduction at low overpotentials. However, it remains unclear how the electrocatalytic activity of these metals is influenced by their native oxides, mainly because microstructural features such as interfaces and defects influence CO2 reduction activity yet are difficult to control. To evaluate the role of the two different catalytic sites, here we fabricate two kinds of four-atom-thick layers: pure cobalt metal, and co-existing domains of cobalt metal and cobalt oxide. Cobalt mainly produces formate (HCOO-) during CO2 electroreduction; we find that surface cobalt atoms of the atomically thin layers have higher intrinsic activity and selectivity towards formate production, at lower overpotentials, than do surface cobalt atoms on bulk samples. Partial oxidation of the atomic layers further increases their intrinsic activity, allowing us to realize stable current densities of about 10 milliamperes per square centimetre over 40 hours, with approximately 90 per cent formate selectivity at an overpotential of only 0.24 volts, which outperforms previously reported metal or metal oxide electrodes evaluated under comparable conditions. The correct morphology and oxidation state can thus transform a material from one considered nearly non-catalytic for the CO2 electroreduction reaction into an active catalyst. These findings point to new opportunities for manipulating and improving the CO2 electroreduction properties of metal systems

  18. Atomic layer deposition for high-efficiency crystalline silicon solar cells

    NARCIS (Netherlands)

    Macco, B.; van de Loo, B.W.H.; Kessels, W.M.M.; Bachmann, J.

    2017-01-01

    This chapter illustrates that Atomic Layer Deposition (ALD) is in fact an enabler of novel high-efficiency Si solar cells, owing to its merits such as a high material quality, precise thickness control, and the ability to prepare film stacks in a well-controlled way. It gives an overview of the

  19. Atmospheric spatial atomic layer deposition of in-doped ZnO

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Roozeboom, F.; Poodt, P.

    2014-01-01

    Indium-doped zinc oxide (ZnO:In) has been grown by spatial atomic layer deposition at atmospheric pressure (spatial-ALD). Trimethyl indium (TMIn), diethyl zinc (DEZ) and deionized water have been used as In, Zn and O precursor, respectively. The metal content of the films is controlled in the range

  20. Atomic-scale structure of single-layer MoS2 nanoclusters

    DEFF Research Database (Denmark)

    Helveg, S.; Lauritsen, J. V.; Lægsgaard, E.

    2000-01-01

    We have studied using scanning tunneling microscopy (STM) the atomic-scale realm of molybdenum disulfide (MoS2) nanoclusters, which are of interest as a model system in hydrodesulfurization catalysis. The STM gives the first real space images of the shape and edge structure of single-layer MoS2...

  1. Enhanced electrical properties of dual-layer channel ZnO thin film transistors prepared by atomic layer deposition

    Science.gov (United States)

    Li, Huijin; Han, Dedong; Dong, Junchen; Yu, Wen; Liang, Yi; Luo, Zhen; Zhang, Shengdong; Zhang, Xing; Wang, Yi

    2018-05-01

    The thin film transistors (TFTs) with a dual-layer channel structure combing ZnO thin layer grown at 200 °C and ZnO film grown at 120 °C by atomic layer deposition are fabricated. The dual-layer channel TFT exhibits a low leakage current of 2.8 × 10-13 A, Ion/Ioff ratio of 3.4 × 109, saturation mobility μsat of 12 cm2 V-1 s-1, subthreshold swing (SS) of 0.25 V/decade. The SS value decreases to 0.18 V/decade after the annealing treatment in O2 due to the reduction of the trap states at the channel/dielectric interface and in the bulk channel layer. The enhanced performance obtained from the dual-layer channel TFTs is due to the ability of maintaining high mobility and suppressing the increase in the off-current at the same time.

  2. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  3. Ultrathin Graphene Membranes as Flexible Electrodes for Electrochemical Double Layer Capacitors

    Science.gov (United States)

    Talapatra, Saikat; Kar, Swastik; Shah, Rakesh; Ghosh, Sujoy; An, Xiaohong; Simmons, Trevor; Washington, Morris; Nayak, Saroj

    2010-03-01

    We will present the results of our investigations of electrochemical double layer capacitors (EDLCs) or supercapacitors (SC) fabricated using graphene based ultra thin membranes. These EDLC's show far superior performance compared to other carbon nanomaterials based EDLC's devices. We found that the graphene based devices possess specific capacitance values as high as 120 F/g, with impressive power densities (˜105 kW/kg) and energy densities (˜9.2 Wh/kg). Further, these devices indicated rapid charge transfer response even without the use of any binders or specially prepared current collectors. Our ultracapacitors reflect a significant improvement over previously reported graphene-based ultracapacitors and are substantially better than those obtained with carbon nanotubes.

  4. Materials for electrochemical capacitors

    Science.gov (United States)

    Simon, Patrice; Gogotsi, Yury

    2008-11-01

    Electrochemical capacitors, also called supercapacitors, store energy using either ion adsorption (electrochemical double layer capacitors) or fast surface redox reactions (pseudo-capacitors). They can complement or replace batteries in electrical energy storage and harvesting applications, when high power delivery or uptake is needed. A notable improvement in performance has been achieved through recent advances in understanding charge storage mechanisms and the development of advanced nanostructured materials. The discovery that ion desolvation occurs in pores smaller than the solvated ions has led to higher capacitance for electrochemical double layer capacitors using carbon electrodes with subnanometre pores, and opened the door to designing high-energy density devices using a variety of electrolytes. Combination of pseudo-capacitive nanomaterials, including oxides, nitrides and polymers, with the latest generation of nanostructured lithium electrodes has brought the energy density of electrochemical capacitors closer to that of batteries. The use of carbon nanotubes has further advanced micro-electrochemical capacitors, enabling flexible and adaptable devices to be made. Mathematical modelling and simulation will be the key to success in designing tomorrow's high-energy and high-power devices.

  5. Metal-Insulator-Metal Single Electron Transistors with Tunnel Barriers Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Golnaz Karbasian

    2017-03-01

    Full Text Available Single electron transistors are nanoscale electron devices that require thin, high-quality tunnel barriers to operate and have potential applications in sensing, metrology and beyond-CMOS computing schemes. Given that atomic layer deposition is used to form CMOS gate stacks with low trap densities and excellent thickness control, it is well-suited as a technique to form a variety of tunnel barriers. This work is a review of our recent research on atomic layer deposition and post-fabrication treatments to fabricate metallic single electron transistors with a variety of metals and dielectrics.

  6. Molecular dynamics simulation of chemical sputtering of hydrogen atom on layer structured graphite

    International Nuclear Information System (INIS)

    Ito, A.; Wang, Y.; Irle, S.; Morokuma, K.; Nakamura, H.

    2008-10-01

    Chemical sputtering of hydrogen atom on graphite was simulated using molecular dynamics. Especially, the layer structure of the graphite was maintained by interlayer intermolecular interaction. Three kinds of graphite surfaces, flat (0 0 0 1) surface, armchair (1 1 2-bar 0) surface and zigzag (1 0 1-bar 0) surface, are dealt with as targets of hydrogen atom bombardment. In the case of the flat surface, graphene layers were peeled off one by one and yielded molecules had chain structures. On the other hand, C 2 H 2 and H 2 are dominant yielded molecules on the armchair and zigzag surfaces, respectively. In addition, the interaction of a single hydrogen isotope on a single graphene is investigated. Adsorption, reflection and penetration rates are obtained as functions of incident energy and explain hydrogen retention on layered graphite. (author)

  7. Atomic layer deposition of high-mobility hydrogen-doped zinc oxide

    NARCIS (Netherlands)

    Macco, B.; Knoops, H.C.M.; Verheijen, M.A.; Beyer, W.; Creatore, M.; Kessels, W.M.M.

    2017-01-01

    In this work, atomic layer deposition (ALD) has been employed to prepare high-mobility H-doped zinc oxide (ZnO:H) films. Hydrogen doping was achieved by interleaving the ZnO ALD cycles with H2 plasma treatments. It has been shown that doping with H2 plasma offers key advantages over traditional

  8. Defect physics vis-à-vis electrochemical performance in layered mixed-metal oxide cathode materials

    Science.gov (United States)

    Hoang, Khang; Johannes, Michelle

    Layered mixed-metal oxides with different compositions of (Ni,Co,Mn) [NCM] or (Ni,Co,Al) [NCA] have been used in commercial lithium-ion batteries. Yet their defect physics and chemistry is still not well understood, despite having important implications for the electrochemical performance. In this presentation, we report a hybrid density functional study of intrinsic point defects in the compositions LiNi1/3Co1/3Mn1/3O2 (NCM1/3) and LiNi1/3Co1/3Al1/3O2 (NCA1/3) which can also be regarded as model compounds for NCM and NCA. We will discuss defect landscapes in NCM1/3 and NCA1/3 under relevant synthesis conditions with a focus on the formation of metal antisite defects and its implications on the electrochemical properties and ultimately the design of NCM and NCA cathode materials.

  9. Passivation of Si(111) surfaces with electrochemically grafted thin organic films

    Science.gov (United States)

    Roodenko, K.; Yang, F.; Hunger, R.; Esser, N.; Hinrichs, K.; Rappich, J.

    2010-09-01

    Ultra thin organic films (about 5 nm thick) of nitrobenzene and 4-methoxydiphenylamine were deposited electrochemically on p-Si(111) surfaces from benzene diazonium compounds. Studies based on atomic force microscopy, infrared spectroscopic ellipsometry and x-ray photoelectron spectroscopy showed that upon exposure to atmospheric conditions the oxidation of the silicon interface proceed slower on organically modified surfaces than on unmodified hydrogen passivated p-Si(111) surfaces. Effects of HF treatment on the oxidized organic/Si interface and on the organic layer itself are discussed.

  10. Interface control of atomic layer deposited oxide coatings by filtered cathodic arc deposited sublayers for improved corrosion protection

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, Emma, E-mail: emma.harkonen@helsinki.fi [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland); Tervakangas, Sanna; Kolehmainen, Jukka [DIARC-Technology Inc., Espoo (Finland); Díaz, Belén; Światowska, Jolanta; Maurice, Vincent; Seyeux, Antoine; Marcus, Philippe [Laboratoire de Physico-Chimie des Surfaces, CNRS (UMR 7075) – Chimie ParisTech (ENSCP), F-75005 Paris (France); Fenker, Martin [FEM Research Institute, Precious Metals and Metals Chemistry, D-73525 Schwäbisch Gmünd (Germany); Tóth, Lajos; Radnóczi, György [Research Centre for Natural Sciences HAS, (MTA TKK), Budapest (Hungary); Ritala, Mikko [Laboratory of Inorganic Chemistry, University of Helsinki, P.O. Box 55, FIN-00014 Helsinki (Finland)

    2014-10-15

    Sublayers grown with filtered cathodic arc deposition (FCAD) were added under atomic layer deposited (ALD) oxide coatings for interface control and improved corrosion protection of low alloy steel. The FCAD sublayer was either Ta:O or Cr:O–Ta:O nanolaminate, and the ALD layer was Al{sub 2}O{sub 3}–Ta{sub 2}O{sub 5} nanolaminate, Al{sub x}Ta{sub y}O{sub z} mixture or graded mixture. The total thicknesses of the FCAD/ALD duplex coatings were between 65 and 120 nm. Thorough analysis of the coatings was conducted to gain insight into the influence of the FCAD sublayer on the overall coating performance. Similar characteristics as with single FCAD and ALD coatings on steel were found in the morphology and composition of the duplex coatings. However, the FCAD process allowed better control of the interface with the steel by reducing the native oxide and preventing its regrowth during the initial stages of the ALD process. Residual hydrocarbon impurities were buried in the interface between the FCAD layer and steel. This enabled growth of ALD layers with improved electrochemical sealing properties, inhibiting the development of localized corrosion by pitting during immersion in acidic NaCl and enhancing durability in neutral salt spray testing. - Highlights: • Corrosion protection properties of ALD coatings were improved by FCAD sublayers. • The FCAD sublayer enabled control of the coating-substrate interface. • The duplex coatings offered improved sealing properties and durability in NSS. • The protective properties were maintained during immersion in a corrosive solution. • The improvements were due to a more ideal ALD growth on the homogeneous FCAD oxide.

  11. Hydrogen–argon plasma pre-treatment for improving the anti-corrosion properties of thin Al2O3 films deposited using atomic layer deposition on steel

    International Nuclear Information System (INIS)

    Härkönen, Emma; Potts, Stephen E.; Kessels, Wilhelmus M.M.; Díaz, Belén; Seyeux, Antoine; Światowska, Jolanta; Maurice, Vincent; Marcus, Philippe; Radnóczi, György; Tóth, Lajos; Kariniemi, Maarit; Niinistö, Jaakko; Ritala, Mikko

    2013-01-01

    The effect of H 2 –Ar plasma pre-treatment prior to thermal atomic layer deposition (ALD) and plasma-enhanced atomic layer deposition (PEALD) of Al 2 O 3 films on steel for corrosion protection was investigated. Time-of-flight secondary ion mass spectrometry and transmission electron microscopy were used to observe the changes in the interface. The electrochemical properties of the samples were studied with polarization measurements, and the coating porosities were calculated from the polarization results for easier comparison of the coatings. Prior to thermal ALD the plasma pre-treatment was observed to reduce the amount of impurities at the interface and coating porosity by 1–3 orders of magnitude. The anti-corrosion properties of the PEALD coatings could also be improved by the pre-treatment. However, exposure of the pre-treatment plasma activated steel surface to oxygen plasma species in PEALD led to facile oxide layer formation in the interface. The oxide layer formed this way was thicker than the native oxide layer and appeared to be detrimental to the protective properties of the coating. The best performance for PEALD Al 2 O 3 coatings was achieved when, after the plasma pre-treatment, the surface was given time to regrow a thin protective interfacial oxide prior to exposure to the oxygen plasma. The different effects that thermal and plasma-enhanced ALD have on the substrate-coating interface were compared. The reactivity of the oxygen precursor was shown to have a significant influence on substrate surface in the early stages of film growth and thereafter also on the overall quality of the protective film. - Highlights: • Influence of H 2 –Ar plasma pre-treatment to ALD coatings on steel was studied. • The pre-treatment modified the coating–substrate interface composition and thickness. • The pre-treatment improved the barrier properties of the coatings

  12. Influence of electrical parameters on morphology of nanostructured TiO2 layers developed by electrochemical anodization

    Directory of Open Access Journals (Sweden)

    Strnad Gabriela

    2017-01-01

    Full Text Available Ti6Al4V alloy micro rough surfaces with TiO2 self-organized nanostructured layers were synthesized using electrochemical anodization in phosphate/fluoride electrolyte, at different end potentials (5V, 10V, 15V, and 20 V. The current – time characteristics were recorded, and the link between current evolution and the morphology of developing oxide layers was investigated. On flat surfaces of Ti6Al4V alloy we developed TiO2 layers with different morphologies (random pores, nanopores of 25…50 nm, and highly organized nanotubes of 50…100 nm in diameter depending on electrical parameters of anodization process. In our anodization cell, in optimized conditions, we are able to superimpose nanostructured oxide layers (nanotubular or nanoporous over micro structured surfaces of titanium based materials used for biomedical implants.

  13. Electrochemical activation, voltage decay and hysteresis of Li-rich layered cathode probed by various cobalt content

    KAUST Repository

    Wu, Yingqiang; Xie, Leqiong; He, Xiangming; Zhuo, Linhai; Wang, Limin; Ming, Jun

    2018-01-01

    to improve their performances further. In this study, different amount of Co content is designed in Li-rich layered compounds (0.5Li2MnO3·0.5LiMn0.5-xNi0.5-xCo2xO2, 0 ≤ x ≤ 0.2), and the stepwise electrochemical activation process is applied to explore

  14. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  15. Morphological reason for enhancement of electrochemical double layer capacitances of various acetylene blacks by electrochemical polarization

    International Nuclear Information System (INIS)

    Kim, Taegon; Ham, Chulho; Rhee, Choong Kyun; Yoon, Seong-Ho; Tsuji, Masaharu; Mochida, Isao

    2008-01-01

    Enhancement of electrochemical capacitance and morphological variations of various acetylene blacks caused by electrochemical polarization are presented. Acetylene blacks of different mean particle diameters were modified by air-oxidation and heat treatment to diversify the morphologies of the acetylene blacks before electrochemical polarization. The various acetylene blacks were electrochemically oxidized at 1.6 V (vs. Ag/AgCl) for 10 s and the polarization step was repeated until the capacitance values did not change any longer. These polarization steps enhanced the capacitances of the acetylene blacks and the specific enhancement factors range from 2 to 5.5. Such an enhancement is strongly related to morphological modification as revealed by transmission electron microscopic observations. The electrochemical polarization resulted in formation of tiny graphene sheets on the wide graphitic carbon surfaces, which were most responsible for the observed capacitive enhancement. Although the pseudo-capacitance increased after polarization by forming oxygenated species on the surfaces, its contribution to the total capacitance was less than 10%. The mechanism of the formation of the tiny graphene sheets during the electrochemical oxidation is described schematically

  16. Atomic-layer deposited passivation schemes for c-Si solar cells

    NARCIS (Netherlands)

    van de Loo, B.W.H.; Macco, B.; Melskens, J.; Verheijen, M.A.; Kessels, W.M.M.E.

    2016-01-01

    A review of recent developments in the field of passivation of c-Si surfaces is presented, with a particular focus on materials that can be prepared by atomic layer deposition (ALD). Besides Al2O3, various other novel passivation schemes have recently been developed, such as Ga2O3, Ta2O5,

  17. Atomic layer deposition of superparamagnetic and ferrimagnetic magnetite thin films

    International Nuclear Information System (INIS)

    Zhang, Yijun; Liu, Ming; Ren, Wei; Zhang, Yuepeng; Chen, Xing; Ye, Zuo-Guang

    2015-01-01

    One of the key challenges in realizing superparamagnetism in magnetic thin films lies in finding a low-energy growth way to create sufficiently small grains and magnetic domains which allow the magnetization to randomly and rapidly reverse. In this work, well-defined superparamagnetic and ferrimagnetic Fe 3 O 4 thin films are successfully prepared using atomic layer deposition technique by finely controlling the growth condition and post-annealing process. As-grown Fe 3 O 4 thin films exhibit a conformal surface and poly-crystalline nature with an average grain size of 7 nm, resulting in a superparamagnetic behavior with a blocking temperature of 210 K. After post-annealing in H 2 /Ar at 400 °C, the as-grown α−Fe 2 O 3 sample is reduced to Fe 3 O 4 phase, exhibiting a ferrimagnetic ordering and distinct magnetic shape anisotropy. Atomic layer deposition of magnetite thin films with well-controlled morphology and magnetic properties provides great opportunities for integrating with other order parameters to realize magnetic nano-devices with potential applications in spintronics, electronics, and bio-applications

  18. Scanning electrochemical microscopy for the fabrication of copper nanowires: Atomic contacts with quantized conductance, and molecular adsorption effect

    International Nuclear Information System (INIS)

    Janin, Marion; Ghilane, Jalal; Lacroix, Jean-Christophe

    2012-01-01

    Highlights: ► Electrochemistry and SECM to generate copper nanowires with quantized conductance. ► Stable atomic contacts lasting for several hundreds of seconds have been obtained. ► The quantized conductances are independent of the tip and gap size. ► The method allows contacts to be generated in the presence of chosen molecules. ► Four-electrode configuration opens the route to redox gated atomic contact. - Abstract: Scanning electrochemical microscopy, SECM, is proposed as a tool for the fabrication of copper nanowires. In a first step, configuration based on two electrodes, a platinum UME (cathode) and a copper substrate (anode), operating in the SECM configuration was employed. For nanowires generated in water the conductance changes stepwise and varies by integer values of the conductance quantum G 0 . The formation of atomic contacts is supported by the ohmic behavior of the I–V curve. It depends neither on the UME tip radius nor on the initial gap size between tip and substrate. Atomic contacts generated in aqueous solutions of sodium dodecyl sulfate (SDS) below the critical micellar concentration (CMC) have conductances below 1G 0 attributed to molecular adsorption on the contact. In some cases, the nanowires have low conductance, 0.01G 0 . The corresponding I–V curve shows tunneling rather than ohmic behavior, suggesting that molecular junctions are formed with a few surfactant molecules trapped between the two electrodes. Finally, copper nanowires with quantized conductance have been generated using the SECM operating in a four-electrode setup. Thanks to the reference electrode, this configuration leads to better control of the potential of each working electrode; this setup will make it possible to evaluate the conductance variation and/or modulation upon electrochemical stimuli.

  19. Electrochemically-induced reversible transition from the tunneled to layered polymorphs of manganese dioxide

    Science.gov (United States)

    Lee, Boeun; Yoon, Chong Seung; Lee, Hae Ri; Chung, Kyung Yoon; Cho, Byung Won; Oh, Si Hyoung

    2014-08-01

    Zn-ion batteries are emerging energy storage systems eligible for large-scale applications, such as electric vehicles. These batteries consist of totally environmentally-benign electrode materials and potentially manufactured very economically. Although Zn/α-MnO2 systems produce high energy densities of 225 Wh kg-1, larger than those of conventional Mg-ion batteries, they show significant capacity fading during long-term cycling and suffer from poor performance at high current rates. To solve these problems, the concrete reaction mechanism between α-MnO2 and zinc ions that occur on the cathode must be elucidated. Here, we report the intercalation mechanism of zinc ions into α-MnO2 during discharge, which involves a reversible phase transition of MnO2 from tunneled to layered polymorphs by electrochemical reactions. This transition is initiated by the dissolution of manganese from α-MnO2 during discharge process to form layered Zn-birnessite. The original tunneled structure is recovered by the incorporation of manganese ions back into the layers of Zn-birnessite during charge process.

  20. Electrochemically Produced Graphene for Microporous Layers in Fuel Cells.

    Science.gov (United States)

    Najafabadi, Amin Taheri; Leeuwner, Magrieta J; Wilkinson, David P; Gyenge, Előd L

    2016-07-07

    The microporous layer (MPL) is a key cathodic component in proton exchange membrane fuel cells owing to its beneficial influence on two-phase mass transfer. However, its performance is highly dependent on material properties such as morphology, porous structure, and electrical resistance. To improve water management and performance, electrochemically exfoliated graphene (EGN) microsheets are considered as an alternative to the conventional carbon black (CB) MPLs. The EGN-based MPLs decrease the kinetic overpotential and the Ohmic potential loss, whereas the addition of CB to form a composite EGN+CB MPL improves the mass-transport limiting current density drastically. This is reflected by increases of approximately 30 and 70 % in peak power densities at 100 % relative humidity (RH) compared with those for CB- and EGN-only MPLs, respectively. The composite EGN+CB MPL also retains the superior performance at a cathode RH of 20 %, whereas the CB MPL shows significant performance loss. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Atomic Layer Control of Thin Film Growth Using Binary Reaction Sequence Chemistry

    National Research Council Canada - National Science Library

    George, Steven

    1997-01-01

    Our research is focusing on the atomic layer control of thin film growth. Our goal is to deposit films with precise control of thickness and conformality on both flat and high aspect ratio structures...

  2. TiO{sub 2} nanofiber solid-state dye sensitized solar cells with thin TiO{sub 2} hole blocking layer prepared by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Li, Jinwei; Chen, Xi; Xu, Weihe [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States); Nam, Chang-Yong, E-mail: cynam@bnl.gov [Center for Functional Nanomaterials, Brookhaven National Laboratory, Upton, NY 11973 (United States); Shi, Yong, E-mail: Yong.Shi@stevens.edu [Department of Mechanical Engineering, Stevens Institute of Technology, Hoboken, NJ 07030 (United States)

    2013-06-01

    We incorporated a thin but structurally dense TiO{sub 2} layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO{sub 2} nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO{sub 2} layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO{sub 2} precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO{sub 2} layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO{sub 2} blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO{sub 2} layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime.

  3. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  4. Growth of AlN/Pt heterostructures on amorphous substrates at low temperatures via atomic layer epitaxy

    International Nuclear Information System (INIS)

    Nepal, N.; Goswami, R.; Qadri, S.B.; Mahadik, N.A.; Kub, F.J.; Eddy, C.R.

    2014-01-01

    Recent results on atomic layer epitaxy (ALE) growth and characterization of (0 0 0 1)AlN on highly oriented (1 1 1)Pt layers on amorphous HfO 2 /Si(1 0 0) are reported. HfO 2 was deposited by atomic layer deposition on Si(1 0 0) followed by ALE growth of Pt(15 nm) and, subsequently, AlN(60 nm) at 500 °C. Based on the X-ray diffraction and transmission electron microscopy measurements, the Pt and AlN layers are highly oriented along the (1 1 1) and (0 0 0 2) directions, respectively. Demonstrations of AlN/Pt heterostructures open up the possibility of new state-of-the-art microelectromechanical systems devices

  5. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  6. 2D-PES/XAS method for atomic-layer-resolved magnetic structure analysis

    International Nuclear Information System (INIS)

    Matsui, F.; Daimon, H.; Matsushita, T.; Guo, F.Z.

    2008-01-01

    Photoelectron and Auger electron angular distributions from a localized core level provide information on atomic configurations. Forward-focusing peaks indicate the directions of atoms surrounding the excited atom. X-ray absorption fine structure and X-ray magnetic circular dichroism measurements by Auger electron yield detection on the other hand are excellent methods for studying of the electronic and magnetic structures of surfaces, adsorbates, and thin films. However, all the information from atoms within the electron mean-free-path region is averaged into the obtained spectra. Here, we introduce a new method of X-ray absorption spectroscopy (XAS) combined with measurements of Auger electron angular distribution using a display-type analyzer. Taking advantage of the forward-focusing peak as an excellent element- and site-selective probe, 2D-XAS enables direct access to the individual electronic and magnetic structures of each atomic layer. This method was applied to studying the electronic and magnetic structures of Ni thin film at atomic level. (author)

  7. Ru-decorated Pt nanoparticles on N-doped multi-walled carbon nanotubes by atomic layer deposition for direct methanol fuel cells

    DEFF Research Database (Denmark)

    Johansson, Anne-Charlotte Elisabeth Birgitta; Yang, R.B.; Haugshøj, K.B.

    2013-01-01

    We present atomic layer deposition (ALD) as a new method for the preparation of highly dispersed Ru-decorated Pt nanoparticles for use as catalyst in direct methanol fuel cells (DMFCs). The nanoparticles were deposited onto N-doped multi-walled carbon nanotubes (MWCNTs) at 250 °C using trimethyl......(methylcyclopentadienyl)platinum MeCpPtMe3, bis(ethylcyclopentadienyl)ruthenium Ru(EtCp)2 and O2 as the precursors. Catalysts with 5, 10 and 20 ALD Ru cycles grown onto the CNT-supported ALD Pt nanoparticles (150 cycles) were prepared and tested towards the electro-oxidation of CO and methanol, using cyclic voltammetry...... and chronoamperometry in a three-electrode electrochemical set-up. The catalyst decorated with 5 ALD Ru cycles was of highest activity in both reactions, followed by the ones with 10 and 20 ALD Ru cycles. It is demonstrated that ALD is a promising technique in the field of catalysis as highly dispersed nanoparticles...

  8. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  9. Development of an Electrochemical Metal-Ion Biosensor Using Self-Assembled Peptide Nanofibrils

    DEFF Research Database (Denmark)

    Viguier, Bruno; Zor, Kinga; Kasotakis, Emmanouil

    2011-01-01

    . These nanofibrils were obtained under aqueous conditions, at room temperature and outside the clean room. The functionalized gold electrode was evaluated by cyclic voltammetry, impedance spectroscopy, energy dispersive X-ray and atomic force microscopy. The obtained results displayed a layer of nanofibrils able......This article describes the combination of self-assembled peptide nanofibrils with metal electrodes for the development of an electrochemical metal-ion biosensor. The biological nanofibrils were immobilized on gold electrodes and used as biorecognition elements for the complexation with copper ions...

  10. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  11. SISGR: Improved Electrical Energy Storage with Electrochemical Double Layer Capacitance Based on Novel Carbon Electrodes, New Electrolytes, and Thorough Development of a Strong Science Base

    Energy Technology Data Exchange (ETDEWEB)

    Ruoff, Rodney S. [PI; Alam, Todd M. [co-PI; Bielawski, Christopher W. [co-PI; Chabal, Yves [co-PI; Hwang, Gyeong [co-PI; Ishii, Yoshitaka [co-PI; Rogers, Robin [co-PI

    2014-07-23

    The broad objective of the SISGR program is to advance the fundamental scientific understanding of electrochemical double layer capacitance (EDLC) and thus of ultracapacitor systems composed of a new type of electrode based on chemically modified graphene (CMG) and (primarily) with ionic liquids (ILs) as the electrolyte. Our team has studied the interplay between graphene-based and graphene-derived carbons as the electrode materials in electrochemical double layer capacitors (EDLC) systems on the one hand, and electrolytes including novel ionic liquids (ILs), on the other, based on prior work on the subject.

  12. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  13. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  14. Copper atomic-scale transistors.

    Science.gov (United States)

    Xie, Fangqing; Kavalenka, Maryna N; Röger, Moritz; Albrecht, Daniel; Hölscher, Hendrik; Leuthold, Jürgen; Schimmel, Thomas

    2017-01-01

    We investigated copper as a working material for metallic atomic-scale transistors and confirmed that copper atomic-scale transistors can be fabricated and operated electrochemically in a copper electrolyte (CuSO 4 + H 2 SO 4 ) in bi-distilled water under ambient conditions with three microelectrodes (source, drain and gate). The electrochemical switching-on potential of the atomic-scale transistor is below 350 mV, and the switching-off potential is between 0 and -170 mV. The switching-on current is above 1 μA, which is compatible with semiconductor transistor devices. Both sign and amplitude of the voltage applied across the source and drain electrodes ( U bias ) influence the switching rate of the transistor and the copper deposition on the electrodes, and correspondingly shift the electrochemical operation potential. The copper atomic-scale transistors can be switched using a function generator without a computer-controlled feedback switching mechanism. The copper atomic-scale transistors, with only one or two atoms at the narrowest constriction, were realized to switch between 0 and 1 G 0 ( G 0 = 2e 2 /h; with e being the electron charge, and h being Planck's constant) or 2 G 0 by the function generator. The switching rate can reach up to 10 Hz. The copper atomic-scale transistor demonstrates volatile/non-volatile dual functionalities. Such an optimal merging of the logic with memory may open a perspective for processor-in-memory and logic-in-memory architectures, using copper as an alternative working material besides silver for fully metallic atomic-scale transistors.

  15. In situ surface X-ray diffraction studies of the copper-electrolyte interface. Atomic structure and homoepitaxial grwoth

    Energy Technology Data Exchange (ETDEWEB)

    Golks, Frederik

    2011-05-19

    Copper electrodeposition is the predominantly used technique for on-chip wiring in the fabrication of ultra-large scale integrated (ULSI) microchips. In this 'damascene copper electroplating' process, multicomponent electrolytes containing organic additives realize void-free filling of trenches with high aspect ratio ('superconformal deposition'). Despite manifold studies, motivated by the continuous trend to shrink wiring dimensions and thus the demand of optimized plating baths, detailed knowledge on the growth mechanism - in presence and absence of additives - is still lacking. Using a recently developed hanging meniscus X-ray transmission cell, brilliant synchrotron x-rays and a fast, one-dimensional detector system, unique real-time in situ surface X-ray diffraction studies of copper electrodeposition were performed under realistic reaction conditions, approaching rates of technological relevance. Preparatory measurements of the electrochemical dissolution of Au(001) in chloride-containing electrolyte demonstrated the capability of this powerful technique, specifically the possibility to follow atomic-scale deposition or dissolution processes with a time resolution down to five milliseconds. The electrochemical as well as structural characterization of the Cu(001)- and Cu(111)-electrolyte interfaces provided detailed insight into the complex atomic-scale structures in presence of specifically adsorbed chloride on these surfaces. The interface of Cu(001) in chloride-containing electrolyte exhibits a continuous surface phase transition of a disordered Cl adlayer to a c(2 x 2) Cl adlayer with increasing potential. The latter was found to induce a small vertical corrugation of substrate atoms, which can be ascribed to lattice relaxations induced by the presence of coadsorbed water molecules and cations in the outer part of the electrochemical double layer. The study of the specific adsorption of chloride on Cu(111) from acidic aqueous

  16. In situ surface X-ray diffraction studies of the copper-electrolyte interface. Atomic structure and homoepitaxial grwoth

    Energy Technology Data Exchange (ETDEWEB)

    Golks, Frederik

    2011-05-19

    Copper electrodeposition is the predominantly used technique for on-chip wiring in the fabrication of ultra-large scale integrated (ULSI) microchips. In this 'damascene copper electroplating' process, multicomponent electrolytes containing organic additives realize void-free filling of trenches with high aspect ratio ('superconformal deposition'). Despite manifold studies, motivated by the continuous trend to shrink wiring dimensions and thus the demand of optimized plating baths, detailed knowledge on the growth mechanism - in presence and absence of additives - is still lacking. Using a recently developed hanging meniscus X-ray transmission cell, brilliant synchrotron x-rays and a fast, one-dimensional detector system, unique real-time in situ surface X-ray diffraction studies of copper electrodeposition were performed under realistic reaction conditions, approaching rates of technological relevance. Preparatory measurements of the electrochemical dissolution of Au(001) in chloride-containing electrolyte demonstrated the capability of this powerful technique, specifically the possibility to follow atomic-scale deposition or dissolution processes with a time resolution down to five milliseconds. The electrochemical as well as structural characterization of the Cu(001)- and Cu(111)-electrolyte interfaces provided detailed insight into the complex atomic-scale structures in presence of specifically adsorbed chloride on these surfaces. The interface of Cu(001) in chloride-containing electrolyte exhibits a continuous surface phase transition of a disordered Cl adlayer to a c(2 x 2) Cl adlayer with increasing potential. The latter was found to induce a small vertical corrugation of substrate atoms, which can be ascribed to lattice relaxations induced by the presence of coadsorbed water molecules and cations in the outer part of the electrochemical double layer. The study of the specific adsorption of chloride on Cu(111) from acidic aqueous electrolyte revealed a

  17. Atomic layer-deposited Al–HfO{sub 2}/SiO{sub 2} bi-layers towards 3D charge trapping non-volatile memory

    Energy Technology Data Exchange (ETDEWEB)

    Congedo, Gabriele, E-mail: gabriele.congedo@mdm.imm.cnr.it; Wiemer, Claudia; Lamperti, Alessio; Cianci, Elena; Molle, Alessandro; Volpe, Flavio G.; Spiga, Sabina, E-mail: sabina.spiga@mdm.imm.cnr

    2013-04-30

    A metal/oxide/high-κ dielectric/oxide/silicon (MOHOS) planar charge trapping memory capacitor including SiO{sub 2} as tunnel oxide, Al–HfO{sub 2} as charge trapping layer, SiO{sub 2} as blocking oxide and TaN metal gate was fabricated and characterized as test vehicle in the view of integration into 3D cells. The thin charge trapping layer and blocking oxide were grown by atomic layer deposition, the technique of choice for the implementation of these stacks into 3D structures. The oxide stack shows a good thermal stability for annealing temperature of 900 °C in N{sub 2}, as required for standard complementary metal–oxide–semiconductor processes. MOHOS capacitors can be efficiently programmed and erased under the applied voltages of ± 20 V to ± 12 V. When compared to a benchmark structure including thin Si{sub 3}N{sub 4} as charge trapping layer, the MOHOS cell shows comparable program characteristics, with the further advantage of the equivalent oxide thickness scalability due to the high dielectric constant (κ) value of 32, and an excellent retention even for strong testing conditions. Our results proved that high-κ based oxide structures grown by atomic layer deposition can be of interest for the integration into three dimensionally stacked charge trapping devices. - Highlights: ► Charge trapping device with Al–HfO{sub 2} storage layer is fabricated and characterized. ► Al–HfO{sub 2} and SiO{sub 2} blocking oxides are deposited by atomic layer deposition. ► The oxide stack shows a good thermal stability after annealing at 900 °C. ► The device can be efficiently programmed/erased and retention is excellent. ► The oxide stack could be used for 3D-stacked Flash non-volatile memories.

  18. High-performance lithium-rich layered oxide materials: Effects of chelating agents on microstructure and electrochemical properties

    International Nuclear Information System (INIS)

    Li, Lingjun; Xu, Ming; Chen, Zhaoyong; Zhou, Xiang; Zhang, Qiaobao; Zhu, Huali; Wu, Chun; Zhang, Kaili

    2015-01-01

    The mechanisms and effects of three typical chelating agents, namely glucose, citric acid and sucrose on the sol-gel synthesis process, electrochemical degradation and structural evolution of 0.5Li 2 MnO 3 ·0.5LiNi 0.5 Co 0.2 Mn 0.3 O 2 (LLMO) materials are systematically compared for the first time. X-ray diffraction, scanning electron microscopy, X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy analysis indicate that the sample synthesized from sucrose owns well structure, homogenous distribution, low Ni 3+ concentration and good surface structural stability during cycling, respectively. Electrochemical tests further prove that the LLMO material obtained from sucrose maintains 258.4 mAh g −1 with 94.8% capacity retention after 100 cycles at 0.2 C. The superior electrochemical performance can be ascribed to the exceptional complexing mechanism of sucrose, compared to those of the glucose and citric acid. Namely, one mole sucrose can be hydrolyzed into two different monosaccharides and further chelates three M (Li, Ni, Co and Mn) ions to form a more uniform ion-chelated matrix during sol-gel process. This discovery is an important step towards understanding the selection criterion of chelating agents for sol-gel method, that chelating agent with excellent complexing capability is beneficial to the distribution, structural stability and electrochemical properties of advanced lithium-rich layered materials

  19. Label-free electrochemical aptasensor constructed by layer-by-layer technology for sensitive and selective detection of cancer cells.

    Science.gov (United States)

    Wang, Tianshu; Liu, Jiyang; Gu, Xiaoxiao; Li, Dan; Wang, Jin; Wang, Erkang

    2015-07-02

    Here, a cytosensor was constructed with ferrocene-appended poly(allylamine hydrochloride) (Fc-PAH) functionalized graphene (Fc-PAH-G), poly(sodium-p-styrenesulfonate) (PSS) and aptamer (AS1411) by layer-by-layer assembly technology. The hybrid nanocomposite Fc-PAH-G not only brings probes on the electrode and also promotes electron transfer between the probes and the substrate electrode. Meanwhile, LBL technology provides more effective probes to enhance amplified signal for improving the sensitivity of the detection. While AS1411 forming G-quardruplex structure and binding cancer cells, the current response of the sensing electrode decreased due to the insulating properties of cellular membrane. Differential pulse voltammetry (DPV) was performed to investigate the electrochemical detection of HeLa cells attributing to its sensitivity of the current signal change. The as-prepared aptasensor showed a high sensitivity and good stability, a widely detection range from 10 to 10(6) cells/mL with a detection limit as low as 10 cells/mL for the detection of cancer cells. Copyright © 2015. Published by Elsevier B.V.

  20. Titanium dioxide thin films by atomic layer deposition: a review

    Science.gov (United States)

    Niemelä, Janne-Petteri; Marin, Giovanni; Karppinen, Maarit

    2017-09-01

    Within its rich phase diagram titanium dioxide is a truly multifunctional material with a property palette that has been shown to span from dielectric to transparent-conducting characteristics, in addition to the well-known catalytic properties. At the same time down-scaling of microelectronic devices has led to an explosive growth in research on atomic layer deposition (ALD) of a wide variety of frontier thin-film materials, among which TiO2 is one of the most popular ones. In this topical review we summarize the advances in research of ALD of titanium dioxide starting from the chemistries of the over 50 different deposition routes developed for TiO2 and the resultant structural characteristics of the films. We then continue with the doped ALD-TiO2 thin films from the perspective of dielectric, transparent-conductor and photocatalytic applications. Moreover, in order to cover the latest trends in the research field, both the variously constructed TiO2 nanostructures enabled by ALD and the Ti-based hybrid inorganic-organic films grown by the emerging ALD/MLD (combined atomic/molecular layer deposition) technique are discussed.

  1. Growth and characterization of titanium oxide by plasma enhanced atomic layer deposition

    KAUST Repository

    Zhao, Chao; Hedhili, Mohamed N.; Li, Jingqi; Wang, Qingxiao; Yang, Yang; Chen, Long; LI, LIANG

    2013-01-01

    The growth of TiO2 films by plasma enhanced atomic layer deposition using Star-Ti as a precursor has been systematically studied. The conversion from amorphous to crystalline TiO2 was observed either during high temperature growth or annealing

  2. Electrochemical properties of ion implanted silicon

    International Nuclear Information System (INIS)

    Pham minh Tan.

    1979-11-01

    The electrochemical behaviour of ion implanted silicon in contact with hydrofluoric acid solution was investigated. It was shown that the implanted layer on silicon changes profoundly its electrochemical properties (photopotential, interface impedance, rest potential, corrosion, current-potential behaviour, anodic dissolution of silicon, redox reaction). These changes depend strongly on the implantation parameters such as ion dose, ion energy, thermal treatment and ion mass and are weakly dependent on the chemical nature of the implantation ion. The experimental results were evaluated and interpreted in terms of the semiconductor electrochemical concepts taking into account the interaction of energetic ions with the solid surface. The observed effects are thus attributed to the implantation induced damage of silicon lattice and can be used for profiling of the implanted layer and the electrochemical treatment of the silicon surface. (author)

  3. Method of forming a leak proof plasma sprayed interconnection layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Kuo, Lewis J. H.; Vora, Shailesh D.

    1995-01-01

    A dense, substantially gas-tight, electrically conductive interconnection layer is formed on an electrode structure of an electrochemical cell by: (A) providing an electrode structure; (B) forming on a selected portion of the electrode surface, an interconnection layer having the general formula La.sub.1-x M.sub.x Cr.sub.1-y N.sub.y O.sub.3, where M is a dopant selected from the group of Ca, Sr, Ba, and mixtures thereof, and where N is a dopant selected from the group of Mg, Co, Ni, Al, and mixtures thereof, and where x and y are each independently about 0.075-0.25, by thermally spraying, preferably plasma arc spraying, a flux added interconnection spray powder, preferably agglomerated, the flux added powder comprising flux particles, preferably including dopant, preferably (CaO).sub.12. (Al.sub.2 O.sub.3).sub.7 flux particles including Ca and Al dopant, and LaCrO.sub.3 interconnection particles, preferably undoped LaCrO.sub.3, to form a dense and substantially gas-tight interconnection material bonded to the electrode structure by a single plasma spraying step; and, (C) heat treating the interconnection layer at from about 1200.degree. to 1350.degree. C. to further densify and heal the micro-cracks and macro-cracks of the thermally sprayed interconnection layer. The result is a substantially gas-tight, highly doped, electrically conductive interconnection material bonded to the electrode structure. The electrode structure can be an air electrode, and a solid electrolyte layer can be applied to the unselected portion of the air electrode, and further a fuel electrode can be applied to the solid electrolyte, to form an electrochemical cell for generation of electrical power.

  4. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  5. Energy and power performance of electrochemical double-layer capacitors based on molybdenum carbide derived carbon

    International Nuclear Information System (INIS)

    Thomberg, T.; Jaenes, A.; Lust, E.

    2010-01-01

    Cyclic voltammetry, constant current charge/discharge, and electrochemical impedance spectroscopy have been applied to establish the electrochemical characteristics for electric double-layer capacitor (EDLC) consisting of the 1 M (C 2 H 5 ) 3 CH 3 NBF 4 electrolyte in acetonitrile and micro/mesoporous carbon electrodes prepared from Mo 2 C, noted as C(Mo 2 C). The N 2 sorption (total BET specific surface area (S BET ≤ 1855 m 2 g -1 ), micropore area (S micro ≤ 1823 m 2 g -1 ), total pore volume (V tot ≤ 1.399 m 3 g -1 ) and pore size distribution (average NLDFT pore width d NLDFT ≥ 0.89 nm) values obtained have been correlated with the electrochemical characteristics for EDLCs (region of ideal polarizability (ΔV = 3.0 V), characteristic time constant (τ R = 1.05 s), gravimetric capacitance (C m ≤ 143 F g -1 )) dependent strongly on the C(Mo 2 C) synthesis temperature. High gravimetric energy (35 Wh kg -1 ) and gravimetric power (237 kW kg -1 ) values, normalised to the total active mass of both C(Mo 2 C) electrodes, synthesised at T synt = 800 deg. C, have been demonstrated at cell voltage 3.0 V and T = 20 deg. C.

  6. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  7. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  8. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  9. Atomic layer deposition and post-growth thermal annealing of ultrathin MoO3 layers on silicon substrates: Formation of surface nanostructures

    Science.gov (United States)

    Liu, Hongfei; Yang, Ren Bin; Yang, Weifeng; Jin, Yunjiang; Lee, Coryl J. J.

    2018-05-01

    Ultrathin MoO3 layers have been grown on Si substrates at 120 °C by atomic layer deposition (ALD) using molybdenum hexacarbonyl [Mo(CO)6] and ozone (O3) as the Mo- and O-source precursors, respectively. The ultrathin films were further annealed in air at Tann = 550-750 °C for 15 min. Scanning-electron microscopy, energy-dispersive X-ray spectroscopy, and X-ray photoelectron spectroscopy have been employed to evaluate the morphological and elemental properties as well as their evolutions upon annealing of the thin films. They revealed an interfacial SiOx layer in between the MoO3 layer and the Si substrate; this SiOx layer converted into SiO2 during the annealing; and the equivalent thickness of the MoO3 (SiO2) layer decreased (increased) with the increase in Tann. Particles with diameters smaller than 50 nm emerged at Tann = 550 °C and their sizes (density) were reduced (increased) by increasing Tann to 650 °C. A further increase of Tann to 750 °C resulted in telephone-cord-like MoO3 structures, initiated from isolated particles on the surface. These observations have been discussed and interpreted based on temperature-dependent atomic interdiffusions, surface evaporations, and/or melting of MoO3, which shed new light on ALD MoO3 towards its electronic applications.

  10. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  11. Photoluminescence enhancement in porous SiC passivated by atomic layer deposited Al2O3 films

    DEFF Research Database (Denmark)

    Lu, Weifang; Iwasa, Yoshimi; Ou, Yiyu

    2016-01-01

    Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved.......Porous SiC co-doped with B and N was passivated by atomic layer deposited (ALD) Al2O3 films to enhance the photoluminescence. After optimizing the deposition conditions, as high as 14.9 times photoluminescence enhancement has been achieved....

  12. Subnanometer Ga 2 O 3 Tunnelling Layer by Atomic Layer Deposition to Achieve 1.1 V Open-Circuit Potential in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Tetreault, Nicolas; Humphry-Baker, Robin; Kessler, Florian; Baranoff, Etienne; Yi, Chenyi; Nazeeruddin, Mohammad Khaja; Grä tzel, Michael

    2012-01-01

    Herein, we present the first use of a gallium oxide tunnelling layer to significantly reduce electron recombination in dye-sensitized solar cells (DSC). The subnanometer coating is achieved using atomic layer deposition (ALD) and leading to a new

  13. Atomic-scale understanding of non-stoichiometry effects on the electrochemical performance of Ni-rich cathode materials

    Science.gov (United States)

    Kong, Fantai; Liang, Chaoping; Longo, Roberto C.; Zheng, Yongping; Cho, Kyeongjae

    2018-02-01

    As the next-generation high energy capacity cathode materials for Li-ion batteries, Ni-rich oxides face the problem of obtaining near-stoichiometric phases due to excessive Ni occupying Li sites. These extra-Ni-defects drastically affect the electrochemical performance. Despite of its importance, the fundamental correlation between such defects and the key electrochemical properties is still poorly understood. In this work, using density-functional-theory, we report a comprehensive study on the effects of non-stoichiometric phases on properties of Ni-rich layered oxides. For instance, extra-Ni-defects trigger charge disproportionation reaction within the system, alleviating the Jahn-Teller distortion of Ni3+ ions, which constitutes an important reason for their low formation energies. Kinetic studies of these defects reveal their immobile nature, creating a "pillar effect" that increases the structural stability. Ab initio molecular dynamics revealed Li depletion regions surrounding extra-Ni-defects, which are ultimate responsible for the arduous Li diffusion and re-intercalation, resulting in poor rate performance and initial capacity loss. Finally, the method with combination of high valence cation doping and ion-exchange synthesis is regarded as the most promising way to obtain stoichiometric oxides. Overall, this work not only deepens our understanding of non-stoichiometric Ni-rich layered oxides, but also enables further optimizations of high energy density cathode materials.

  14. Characterization of surface-modified LiMn2O4 cathode materials with indium tin oxide (ITO) coatings and their electrochemical performance

    International Nuclear Information System (INIS)

    Kim, Chang-Sam; Kwon, Soon-Ho; Yoon, Jong-Won

    2014-01-01

    Graphical abstract: -- Highlights: • Indium tin oxide (ITO) is used to modify the surface of LiMn 2 O 4 by a sol–gel method. • The surface-modified layer was observed at a scale of several nanometers on LiMn 2 O 4 . • The ITO-coated LiMn 2 O 4 shows better capacity retention at 30 and 55 °C than pristine LiMn 2 O 4 . -- Abstract: Indium tin oxide (ITO) is used to modify the surface of LiMn 2 O 4 by a sol–gel method in an attempt to improve its electrochemical performance at elevated temperatures. The surface-modified LiMn 2 O 4 is characterized via XRD, FE-SEM, TEM, Auger electron spectroscopy (AES) and inductively coupled plasma-atomic emission spectroscopy (ICP-AES). The surface layer modified by substitution with indium was observed at a scale of several nanometers near the surface on LiMn 2 O 4 . The concentration of ITO for electrochemical performance was varied from 0.3 wt% to 0.8 wt%. The 0.5 wt% ITO coated LiMn 2 O 4 showed the best electrochemical performance. This enhancement in electrochemical performance is mainly attributed to the effect of the surface layer modified through ITO, which could suppress Mn dissolution and reduce the charge transfer resistance at the solid electrolyte interface

  15. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  16. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  17. Layer-by-layer films containing peptides of the Cry1Ab16 toxin from Bacillus thuringiensis for potential biotechnological applications

    International Nuclear Information System (INIS)

    Plácido, Alexandra; Oliveira Farias, Emanuel Airton de; Marani, Mariela M.; Vasconcelos, Andreanne G.; Mafud, Ana C.; Mascarenhas, Yvonne P.; Eiras, Carla

    2016-01-01

    Cry1Ab16 is a toxin of crystalline insecticidal proteins that has been widely used in genetically modified organisms (GMOs) to gain resistance to pests. For the first time, in this study, peptides derived from the immunogenic Cry1Ab16 toxin (from Bacillus thuringiensis) were immobilized as layer-by-layer (LbL) films. Given the concern about food and environmental safety, a peptide with immunogenic potential, PcL342–354C, was selected for characterization of the electrochemical, optical, and morphological properties. The results obtained by cyclic voltammetry (CV) showed that the peptide have an irreversible oxidation process in electrolyte of 0.1 mol·L"−"1 potassium phosphate buffer (PBS) at pH 7.2. It was also observed that the electrochemical response of the peptide is governed mainly by charge transfer. In an attempt to maximize the electrochemical signal of peptide, it was intercalated with natural (agar, alginate and chitosan) or synthetic polymers (polyethylenimine (PEI) and poly(sodium 4-styrenesulfonate (PSS)). The presence of synthetic polymers on the film increased the electrochemical signal of PcL342–354C up to 100 times. Images by Atomic Force Microscopy (AFM) showed that the immobilized PcL342–354C formed self-assembled nanofibers with diameters ranging from 100 to 200 nm on the polymeric film. By UV–Visible spectroscopy (UV–Vis) it was observed that the ITO/PEI/PSS/PcL342–354C film grows linearly up to the fifth layer, thereafter tending to saturation. X-ray diffraction confirmed the presence on the films of crystalline ITO and amorphous polypeptide phases. In general, the ITO/PEI/PSS/PcL342–354C film characterization proved that this system is an excellent candidate for applications in electrochemical sensors and other biotechnological applications for GMOs and environmental indicators. - Highlights: • Peptides of the Cry1Ab16 toxin for potential biotechnological applications • Optimized LbL film deposition for synergic

  18. Layer-by-layer films containing peptides of the Cry1Ab16 toxin from Bacillus thuringiensis for potential biotechnological applications

    Energy Technology Data Exchange (ETDEWEB)

    Plácido, Alexandra [REQUIMTE/LAQV, Instituto Superior de Engenharia do Porto, Instituto Politécnico do Porto, Rua Dr. António Bernardino de Almeida, 431, 4200-072 Porto (Portugal); Oliveira Farias, Emanuel Airton de [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Ministro Reis Velloso, CMRV, Universidade Federal do Piauí, UFPI, 64202020 Parnaíba, Piaui (Brazil); Marani, Mariela M. [IPEEC-CENPAT-CONICET, Centro Nacional Patagónico, Consejo Nacional de Investigaciones Científicas y Técnicas, 9120 Puerto Madryn, Chubut (Argentina); Vasconcelos, Andreanne G. [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Ministro Reis Velloso, CMRV, Universidade Federal do Piauí, UFPI, 64202020 Parnaíba, Piaui (Brazil); Mafud, Ana C.; Mascarenhas, Yvonne P. [Instituto de Física de São Carlos, Universidade de São Paulo, USP, 13566-590 São Carlos, SP (Brazil); Eiras, Carla [Núcleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Ministro Reis Velloso, CMRV, Universidade Federal do Piauí, UFPI, 64202020 Parnaíba, Piaui (Brazil); Laboratório de Materiais Avançados, LIMAV, Engenharia de Materiais, Centro de Tecnologia, CT, Universidade Federal do Piauí, UFPI, 64049550 Teresina, Piaui (Brazil); and others

    2016-04-01

    Cry1Ab16 is a toxin of crystalline insecticidal proteins that has been widely used in genetically modified organisms (GMOs) to gain resistance to pests. For the first time, in this study, peptides derived from the immunogenic Cry1Ab16 toxin (from Bacillus thuringiensis) were immobilized as layer-by-layer (LbL) films. Given the concern about food and environmental safety, a peptide with immunogenic potential, PcL342–354C, was selected for characterization of the electrochemical, optical, and morphological properties. The results obtained by cyclic voltammetry (CV) showed that the peptide have an irreversible oxidation process in electrolyte of 0.1 mol·L{sup −1} potassium phosphate buffer (PBS) at pH 7.2. It was also observed that the electrochemical response of the peptide is governed mainly by charge transfer. In an attempt to maximize the electrochemical signal of peptide, it was intercalated with natural (agar, alginate and chitosan) or synthetic polymers (polyethylenimine (PEI) and poly(sodium 4-styrenesulfonate (PSS)). The presence of synthetic polymers on the film increased the electrochemical signal of PcL342–354C up to 100 times. Images by Atomic Force Microscopy (AFM) showed that the immobilized PcL342–354C formed self-assembled nanofibers with diameters ranging from 100 to 200 nm on the polymeric film. By UV–Visible spectroscopy (UV–Vis) it was observed that the ITO/PEI/PSS/PcL342–354C film grows linearly up to the fifth layer, thereafter tending to saturation. X-ray diffraction confirmed the presence on the films of crystalline ITO and amorphous polypeptide phases. In general, the ITO/PEI/PSS/PcL342–354C film characterization proved that this system is an excellent candidate for applications in electrochemical sensors and other biotechnological applications for GMOs and environmental indicators. - Highlights: • Peptides of the Cry1Ab16 toxin for potential biotechnological applications • Optimized LbL film deposition for synergic

  19. Corrosion initiation of stainless steel in HCl solution studied using electrochemical noise and in-situ atomic force microscope

    International Nuclear Information System (INIS)

    Li Yan; Hu Ronggang; Wang Jingrun; Huang Yongxia; Lin Changjian

    2009-01-01

    An in-situ atomic force microscope (AFM), optical microscope and electrochemical noise (ECN) techniques were applied to the investigation of corrosion initiations in an early stage of 1Cr18Ni9Ti stainless steel immersed in 0.5 M HCl solution. The electrochemical current noise data has been analyzed using discrete wavelet transform (DWT). For the first time, the origin of wavelet coefficients is discussed based on the correlation between the evolution of the energy distribution plot (EDP) of wavelet coefficients and topographic changes. It is found that the occurrence of initiation of metastable pitting at susceptive sites is resulted from the reductive breakdown of passive film of stainless steel in the diluted HCL solution. The coefficients d 4 -d 6 are originated from metastable pitting, d 7 represents the formation and growth of stable pitting while d 8 corresponds to the general corrosion.

  20. Electrochemically cathodic exfoliation of graphene sheets in room temperature ionic liquids N-butyl, methylpyrrolidinium bis(trifluoromethylsulfonyl)imide and their electrochemical properties

    International Nuclear Information System (INIS)

    Yang, Yingchang; Lu, Fang; Zhou, Zhou; Song, Weixin; Chen, Qiyuan; Ji, Xiaobo

    2013-01-01

    Graphical abstract: Electrochemically cathodic exfoliation of graphite into few-layer graphene sheets in room temperature ionic liquids (RTILs) N-butyl, methylpyrrolidinium bis(trifluoromethylsulfonyl)-imide (BMPTF 2 N). -- Highlights: • Few-layer graphene sheets were prepared through electrochemically cathodic exfoliation in room temperature ionic liquids. • The mechanism of cathodic exfoliation in ionic liquids was proposed. • The derived activated graphene sheets show enhanced electrochemical properties. -- Abstract: Electrochemically cathodic exfoliation in room temperature ionic liquids N-butyl, methylpyrrolidinium bis(trifluoromethylsulfonyl)-imide (BMPTF 2 N) has been developed for few-layer graphene sheets, demonstrating low levels of oxygen (2.7 at% of O) with a nearly perfect structure (I D /I G 2 N involves the intercalation of ionic liquids cation [BMP] + under highly negatively charge followed by graphite expansion. Porous activated graphene sheets were also obtained by activation of graphene sheets in KOH. Transmission electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy were used to characterize these graphene materials. The electrochemical performances of the graphene sheets and porous activated graphene sheets for lithium-ion battery anode materials were evaluated using cyclic voltammetry, galvanostatic charge–discharge cycling, and electrochemical impedance spectroscopy

  1. Electrochemical studies of biocatalytic anode of sulfonated graphene/ferritin/glucose oxidase layer-by-layer biocomposite films for mediated electron transfer.

    Science.gov (United States)

    Inamuddin; Haque, Sufia Ul; Naushad, Mu

    2016-06-01

    In this study, a bioanode was developed by using layer-by-layer (LBL) assembly of sulfonated graphene (SG)/ferritin (Frt)/glucose oxidase (GOx). The SG/Frt biocomposite was used as an electron transfer elevator and mediator, respectively. Glucose oxidase (GOx) from Aspergillus niger was applied as a glucose oxidation biocatalyst. The electrocatalytic oxidation of glucose using GOx modified electrode increases with an increase in the concentration of glucose in the range of 10-50mM. The electrochemical measurements of the electrode was carried out by using cyclic voltammetry (CV) at different scan rates (20-100mVs(-1)) in 30mM of glucose solution prepared in 0.3M potassium ferrocyanide (K4Fe(CN)6) and linear sweep voltammetry (LSV). A saturation current density of 50±2mAcm(-2) at a scan rate of 100mVs(-1) for the oxidation of 30Mm glucose is achieved. Copyright © 2016 Elsevier Inc. All rights reserved.

  2. Tungsten atomic layer deposition on polymers

    Energy Technology Data Exchange (ETDEWEB)

    Wilson, C.A. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); McCormick, J.A. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); Cavanagh, A.S. [Department of Physics, University of Colorado, Boulder, Colorado 80309-0390 (United States); Goldstein, D.N. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Weimer, A.W. [Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States); George, S.M. [Department of Chemistry and Biochemistry, University of Colorado, Boulder, Colorado 80309-0215 (United States); Department of Chemical and Biological Engineering, University of Colorado, Boulder, Colorado 80309-0424 (United States)], E-mail: Steven.George@Colorado.Edu

    2008-07-31

    Tungsten (W) atomic layer deposition (ALD) was investigated on a variety of polymer films and polymer particles. These polymers included polyethylene, polyvinyl chloride, polystyrene, polycarbonate, polypropylene and polymethylmethacrylate. The W ALD was performed at 80 {sup o}C using WF{sub 6} and Si{sub 2}H{sub 6} as the gas phase reactants. W ALD on flat polymer films can eventually nucleate and grow after more than 60 AB cycles. X-ray photoelectron spectroscopy studies of W ALD on polystyrene after 50 AB cycles suggested that tungsten nanoclusters are present in the W ALD nucleation regime. The W ALD nucleation is greatly facilitated by a few cycles of Al{sub 2}O{sub 3} ALD. W ALD films were grown at 80 {sup o}C on spin-coated polymers on silicon wafers after 10 AB cycles of Al{sub 2}O{sub 3} ALD. The W ALD film was observed to grow linearly with a growth rate of 3.9 A per AB cycle on the polymer films treated with the Al{sub 2}O{sub 3} ALD seed layer. The W ALD films displayed an excellent, mirror-like optical reflectivity. The resistivity was 100-400 {mu}{omega} cm for W ALD films with thicknesses from 95-845 A. W ALD was also observed on polymer particles after W ALD in a rotary reactor. Without the Al{sub 2}O{sub 3} ALD seed layer, the nucleation of W ALD directly on the polymer particles at 80 {sup o}C required > 50 AB cycles. In contrast, the polymer particles treated with only 5 AB cycles of Al{sub 2}O{sub 3} ALD were observed to blacken after 25 AB cycles of W ALD. W ALD on polymers may have applications for flexible optical mirrors, electromagnetic interference shielding and gas diffusion barriers.

  3. Inference on carbon atom arrangement in the turbostatic graphene layers in Tikak coal (India) by X-ray pair distribution function analysis

    Energy Technology Data Exchange (ETDEWEB)

    Saikia, Binoy K. [Indian Oil Corporation Ltd., West Bengal (India)

    2010-07-01

    This paper communicates the distribution of carbon atoms in a single poly-cyclic aromatic (PCA) layer (graphene) in Tikak coal from Assam, India. The pair distribution function (PDF) analysis performed indicates no evidence of any graphite like structure in this coal. The aromatic fraction is observed to be 74%; with the aliphatic fraction correspondingly estimated to be 26% in this coal. The average carbon atom has 2.5 nearest carbon atom neighbours at an average bond distance of 1.50{angstrom}. The average stacking height of the parallel aromatic layers (Lc) and the average diameter of the aromatic layers (La) are estimated to be 9.86 {angstrom} and 4.80 {angstrom} respectively. For this coal, the average number of stacking layers and the average number of atoms per layer are estimated to be four and eight respectively. In addition, the gamma band is observed at a d-value of 4.34{angstrom}. The comparison of the atom-pair correlation function to simulated one-dimensional structure function calculated for a model compound benzene (C{sub 6}H{sub 6}) also indicates that C{sub 6} unit is the major components in this coal. The average carbon atom has at least one and one nearest aryl and alkyl C-C atom pairs separated by 1.39 and 1.54{angstrom} respectively.

  4. The fabrication of a double-layer atom chip with through silicon vias for an ultra-high-vacuum cell

    International Nuclear Information System (INIS)

    Chuang, Ho-Chiao; Lin, Yun-Siang; Lin, Yu-Hsin; Huang, Chi-Sheng

    2014-01-01

    This study presents a double-layer atom chip that provides users with increased diversity in the design of the wire patterns and flexibility in the design of the magnetic field. It is more convenient for use in atomic physics experiments. A negative photoresist, SU-8, was used as the insulating layer between the upper and bottom copper wires. The electrical measurement results show that the upper and bottom wires with a width of 100 µm can sustain a 6 A current without burnout. Another focus of this study is the double-layer atom chips integrated with the through silicon via (TSV) technique, and anodically bonded to a Pyrex glass cell, which makes it a desired vacuum chamber for atomic physics experiments. Thus, the bonded glass cell not only significantly reduces the overall size of the ultra-high-vacuum (UHV) chamber but also conducts the high current from the backside to the front side of the atom chip via the TSV under UHV (9.5 × 10 −10  Torr). The TSVs with a diameter of 70 µm were etched through by the inductively coupled plasma ion etching and filled by the bottom-up copper electroplating method. During the anodic bonding process, the electroplated copper wires and TSVs on atom chips also need to pass the examination of the required bonding temperature of 250 °C, under an applied voltage of 1000 V. Finally, the UHV test of the double-layer atom chips with TSVs at room temperature can be reached at 9.5 × 10 −10  Torr, thus satisfying the requirements of atomic physics experiments under an UHV environment. (paper)

  5. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  6. Ti–Al–O nanocrystal charge trapping memory cells fabricated by atomic layer deposition

    International Nuclear Information System (INIS)

    Cao, Zheng-Yi; Li, Ai-Dong; Li, Xin; Cao, Yan-Qiang; Wu, Di

    2014-01-01

    Charge trapping memory cells using Ti–Al–O (TAO) film as charge trapping layer and amorphous Al 2 O 3 as the tunneling and blocking layers were fabricated on Si substrates by atomic layer deposition method. As-deposited TAO films were annealed at 700 °C, 800 °C and 900 °C for 3 min in N 2 with a rapid thermal annealing process to form nanocrystals. High-resolution transmission electron microscopy and X-ray photoelectron spectroscopy were used to characterize the microstructure and band diagram of the heterostructures. The electrical characteristics and charge storage properties of the Al 2 O 3 /TAO/Al 2 O 3 /Si stack structures were also evaluated. Compared to 700 °C and 900 °C samples, the memory cells annealed at 800 °C exhibit better memory performance with larger memory window of 4.8 V at ± 6 V sweeping, higher program/erase speed and excellent endurance. - Highlights: • The charge trapping memory cells were fabricated by atomic layer deposition method. • The anneal temperature plays a key role in forming nanocrystals. • The memory cells annealed at 800 °C exhibit better memory performance. • The band alignment is beneficial to enhance the retention characteristics

  7. Atomic Layer-Deposited TiO2 Coatings on NiTi Surface

    Science.gov (United States)

    Vokoun, D.; Racek, J.; Kadeřávek, L.; Kei, C. C.; Yu, Y. S.; Klimša, L.; Šittner, P.

    2018-02-01

    NiTi shape-memory alloys may release poisonous Ni ions at the alloys' surface. In an attempt to prepare a well-performing surface layer on an NiTi sample, the thermally grown TiO2 layer, which formed during the heat treatment of NiTi, was removed and replaced with a new TiO2 layer prepared using the atomic layer deposition (ALD) method. Using x-ray photoelectron spectroscopy, it was found that the ALD layer prepared at as low a temperature as 100 °C contained Ti in oxidation states + 4 and + 3. As for static corrosion properties of the ALD-coated NiTi samples, they further improved compared to those covered by thermally grown oxide. The corrosion rate of samples with thermally grown oxide was 1.05 × 10-5 mm/year, whereas the corrosion rate of the ALD-coated samples turned out to be about five times lower. However, cracking of the ALD coating occurred at about 1.5% strain during the superelastic mechanical loading in tension taking place via the propagation of a localized martensite band.

  8. Ordered ZnO/AZO/PAM nanowire arrays prepared by seed-layer-assisted electrochemical deposition

    International Nuclear Information System (INIS)

    Shen, Yu-Min; Pan, Chih-Huang; Wang, Sheng-Chang; Huang, Jow-Lay

    2011-01-01

    An Al-doped ZnO (AZO) seed layer is prepared on the back side of a porous alumina membrane (PAM) substrate by spin coating followed by annealing in a vacuum at 400 °C. Zinc oxide in ordered arrays mediated by a high aspect ratio and an ordered pore array of AZO/PAM is synthesized. The ZnO nanowire array is prepared via a 3-electrode electrochemical deposition process using ZnSO 4 and H 2 O 2 solutions at a potential of − 1 V (versus saturated calomel electrode) and temperatures of 65 and 80 °C. The microstructure and chemical composition of the AZO seed layer and ZnO/AZO/PAM nanowire arrays are characterized by field emission scanning electron microscopy (FE-SEM), high-resolution transmission electron microscopy (HR-TEM), and energy-dispersive X-ray spectroscopy (EDS). Results indicate that the ZnO/AZO/PAM nanowire arrays were assembled in the nanochannel of the porous alumina template with diameters of 110–140 nm. The crystallinity of the ZnO nanowires depends on the AZO seed layer during the annealing process. The nucleation and growth process of ZnO/AZO/PAM nanowires are interpreted by the seed-layer-assisted growth mechanism.

  9. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng

    2018-05-11

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  10. Atomic-Layer-Deposited AZO Outperforms ITO in High-Efficiency Polymer Solar Cells

    KAUST Repository

    Kan, Zhipeng; Wang, Zhenwei; Firdaus, Yuliar; Babics, Maxime; Alshareef, Husam N.; Beaujuge, Pierre

    2018-01-01

    Tin-doped indium oxide (ITO) transparent conducting electrodes are widely used across the display industry, and are currently the cornerstone of photovoltaic device developments, taking a substantial share in the manufacturing cost of large-area modules. However, cost and supply considerations are set to limit the extensive use of indium for optoelectronic device applications and, in turn, alternative transparent conducting oxide (TCO) materials are required. In this report, we show that aluminum-doped zinc oxide (AZO) thin films grown by atomic layer deposition (ALD) are sufficiently conductive and transparent to outperform ITO as the cathode in inverted polymer solar cells. Reference polymer solar cells made with atomic-layer-deposited AZO cathodes, PCE10 as the polymer donor and PC71BM as the fullerene acceptor (model systems), reach power conversion efficiencies of ca. 10% (compared to ca. 9% with ITO-coated glass), without compromising other figures of merit. These ALD-grown AZO electrodes are promising for a wide range of optoelectronic device applications relying on TCOs.

  11. A combined approach for high-performance Li–O2 batteries: A binder-free carbon electrode and atomic layer deposition of RuO2 as an inhibitor–promoter

    Directory of Open Access Journals (Sweden)

    Hyun-Seop Shin

    2018-04-01

    Full Text Available A rechargeable lithium–oxygen (Li–O2 battery is considered as a promising technology for electrochemical energy storage systems because its theoretical energy density is much higher than those of state-of-the-art Li-ion batteries. The cathode (positive electrode for Li–O2 batteries is made of carbon and polymeric binders; however, these constituents undergo parasitic decomposition reactions during battery operation, which in turn causes considerable performance degradation. Therefore, the rational design of the cathode is necessary for building robust and high-performance Li–O2 batteries. Here, a binder-free carbon nanotube (CNT electrode surface-modified by atomic layer deposition (ALD of dual acting RuO2 as an inhibitor–promoter is proposed for rechargeable Li–O2 batteries. RuO2 nanoparticles formed directly on the binder-free CNT electrode by ALD play a dual role to inhibit carbon decomposition and to promote Li2O2 decomposition. The binder-free RuO2/CNT cathode with the unique architecture shows outstanding electrochemical performance as characterized by small voltage gaps (∼0.9 V as well as excellent cyclability without any signs of capacity decay over 80 cycles.

  12. A combined approach for high-performance Li-O2 batteries: A binder-free carbon electrode and atomic layer deposition of RuO2 as an inhibitor-promoter

    Science.gov (United States)

    Shin, Hyun-Seop; Seo, Gi Won; Kwon, Kyoungwoo; Jung, Kyu-Nam; Lee, Sang Ick; Choi, Eunsoo; Kim, Hansung; Hwang, Jin-Ha; Lee, Jong-Won

    2018-04-01

    A rechargeable lithium-oxygen (Li-O2) battery is considered as a promising technology for electrochemical energy storage systems because its theoretical energy density is much higher than those of state-of-the-art Li-ion batteries. The cathode (positive electrode) for Li-O2 batteries is made of carbon and polymeric binders; however, these constituents undergo parasitic decomposition reactions during battery operation, which in turn causes considerable performance degradation. Therefore, the rational design of the cathode is necessary for building robust and high-performance Li-O2 batteries. Here, a binder-free carbon nanotube (CNT) electrode surface-modified by atomic layer deposition (ALD) of dual acting RuO2 as an inhibitor-promoter is proposed for rechargeable Li-O2 batteries. RuO2 nanoparticles formed directly on the binder-free CNT electrode by ALD play a dual role to inhibit carbon decomposition and to promote Li2O2 decomposition. The binder-free RuO2/CNT cathode with the unique architecture shows outstanding electrochemical performance as characterized by small voltage gaps (˜0.9 V) as well as excellent cyclability without any signs of capacity decay over 80 cycles.

  13. Constructing Functional Ionic Membrane Surface by Electrochemically Mediated Atom Transfer Radical Polymerization

    Directory of Open Access Journals (Sweden)

    Fen Ran

    2016-01-01

    Full Text Available The sodium polyacrylate (PAANa contained polyethersulfone membrane that was fabricated by preparation of PES-NH2 via nonsolvent phase separation method, the introduction of bromine groups as active sites by grafting α-Bromoisobutyryl bromide, and surface-initiated electrochemically atom transfer radical polymerization (SI-eATRP of sodium acrylate (AANa on the surface of PES membrane. The polymerization could be controlled by reaction condition, such as monomer concentration, electric potential, polymerization time, and modifier concentration. The membrane surface was uniform when the monomer concentration was 0.9 mol/L, the electric potential was −0.12 V, the polymerization time was 8 h, and the modifier concentration was 2 wt.%. The membrane showed excellent hydrophilicity and blood compatibility. The water contact angle decreased from 84° to 68° and activated partial thromboplastin increased from 51 s to 84 s after modification of the membranes.

  14. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  15. Electrochemical force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Kalinin, Sergei V.; Jesse, Stephen; Collins, Liam F.; Rodriguez, Brian J.

    2017-01-10

    A system and method for electrochemical force microscopy are provided. The system and method are based on a multidimensional detection scheme that is sensitive to forces experienced by a biased electrode in a solution. The multidimensional approach allows separation of fast processes, such as double layer charging, and charge relaxation, and slow processes, such as diffusion and faradaic reactions, as well as capturing the bias dependence of the response. The time-resolved and bias measurements can also allow probing both linear (small bias range) and non-linear (large bias range) electrochemical regimes and potentially the de-convolution of charge dynamics and diffusion processes from steric effects and electrochemical reactivity.

  16. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  17. Initial evaluation and comparison of plasma damage to atomic layer carbon materials using conventional and low T{sub e} plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Jagtiani, Ashish V.; Miyazoe, Hiroyuki; Chang, Josephine; Farmer, Damon B.; Engel, Michael; Neumayer, Deborah; Han, Shu-Jen; Engelmann, Sebastian U., E-mail: suengelm@us.ibm.com; Joseph, Eric A. [IBM, T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Boris, David R.; Hernández, Sandra C.; Walton, Scott G. [Plasma Physics Division, Naval Research Laboratory, Washington, DC 20375 (United States); Lock, Evgeniya H. [Materials Science and Technology Division, Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-01-15

    The ability to achieve atomic layer precision is the utmost goal in the implementation of atomic layer etch technology. Carbon-based materials such as carbon nanotubes (CNTs) and graphene are single atomic layers of carbon with unique properties and, as such, represent the ultimate candidates to study the ability to process with atomic layer precision and assess impact of plasma damage to atomic layer materials. In this work, the authors use these materials to evaluate the atomic layer processing capabilities of electron beam generated plasmas. First, the authors evaluate damage to semiconducting CNTs when exposed to beam-generated plasmas and compare these results against the results using typical plasma used in semiconductor processing. The authors find that the beam generated plasma resulted in significantly lower current degradation in comparison to typical plasmas. Next, the authors evaluated the use of electron beam generated plasmas to process graphene-based devices by functionalizing graphene with fluorine, nitrogen, or oxygen to facilitate atomic layer deposition (ALD). The authors found that all adsorbed species resulted in successful ALD with varying impact on the transconductance of the graphene. Furthermore, the authors compare the ability of both beam generated plasma as well as a conventional low ion energy inductively coupled plasma (ICP) to remove silicon nitride (SiN) deposited on top of the graphene films. Our results indicate that, while both systems can remove SiN, an increase in the D/G ratio from 0.08 for unprocessed graphene to 0.22 to 0.26 for the beam generated plasma, while the ICP yielded values from 0.52 to 1.78. Generally, while some plasma-induced damage was seen for both plasma sources, a much wider process window as well as far less damage to CNTs and graphene was observed when using electron beam generated plasmas.

  18. Enhanced Performance of Nanowire-Based All-TiO2 Solar Cells using Subnanometer-Thick Atomic Layer Deposited ZnO Embedded Layer

    International Nuclear Information System (INIS)

    Ghobadi, Amir; Yavuz, Halil I.; Ulusoy, T. Gamze; Icli, K. Cagatay; Ozenbas, Macit; Okyay, Ali K.

    2015-01-01

    In this paper, the effect of angstrom-thick atomic layer deposited (ALD) ZnO embedded layer on photovoltaic (PV) performance of Nanowire-Based All-TiO 2 solar cells has been systematically investigated. Our results indicate that by varying the thickness of ZnO layer the efficiency of the solar cell can be significantly changed. It is shown that the efficiency has its maximum for optimal thickness of 1 ALD cycle in which this ultrathin ZnO layer improves device performance through passivation of surface traps without hampering injection efficiency of photogenerated electrons. The mechanisms contributing to this unprecedented change in PV performance of the cell have been scrutinized and discussed

  19. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  20. Application of poly (p-phenylene oxide) as blocking layer to reduce self-discharge in supercapacitors

    Science.gov (United States)

    Tevi, Tete; Yaghoubi, Houman; Wang, Jing; Takshi, Arash

    2013-11-01

    Supercapacitors are electrochemical energy storage devices with high power density. However, application of supercapacitors is limited mainly due to their high leakage current. In this work, application of an ultra-thin layer of electrodeposited poly (p-phenylene oxide) (PPO) has been investigated as a blocking layer to reduce the leakage current. The polymer was first deposited on a glassy carbon electrode. The morphology of the film was studied by atomic force microscopy (AFM), and the film thickness was estimated to be ˜1.5 nm by using the electrochemical impedance spectroscopy (EIS) technique. The same deposition method was applied to coat the surface of the activated carbon electrodes of a supercapacitor with PPO. The specific capacitance, the leakage current, and the series resistance were measured in two devices with and without the blocking layer. The results demonstrate that the application of the PPO layer reduced the leakage current by ˜78%. However, the specific capacitance was decreased by ˜56%, when the blocking layer was applied. Due to the lower rate of self-discharge, the suggested approach can be applied to fabricate devices with longer charge storage time.

  1. Atomic layer deposition of lithium phosphates as solid-state electrolytes for all-solid-state microbatteries

    International Nuclear Information System (INIS)

    Wang, Biqiong; Liu, Jian; Sun, Qian; Li, Ruying; Sun, Xueliang; Sham, Tsun-Kong

    2014-01-01

    Atomic layer deposition (ALD) has been shown as a powerful technique to build three-dimensional (3D) all-solid-state microbattery, because of its unique advantages in fabricating uniform and pinhole-free thin films in 3D structures. The development of solid-state electrolyte by ALD is a crucial step to achieve the fabrication of 3D all-solid-state microbattery by ALD. In this work, lithium phosphate solid-state electrolytes were grown by ALD at four different temperatures (250, 275, 300, and 325 °C) using two precursors (lithium tert-butoxide and trimethylphosphate). A linear dependence of film thickness on ALD cycle number was observed and uniform growth was achieved at all four temperatures. The growth rate was 0.57, 0.66, 0.69, and 0.72 Å/cycle at deposition temperatures of 250, 275, 300, and 325 °C, respectively. Furthermore, x-ray photoelectron spectroscopy confirmed the compositions and chemical structures of lithium phosphates deposited by ALD. Moreover, the lithium phosphate thin films deposited at 300 °C presented the highest ionic conductivity of 1.73 × 10 −8 S cm −1 at 323 K with ∼0.51 eV activation energy based on the electrochemical impedance spectroscopy. The ionic conductivity was calculated to be 3.3 × 10 −8 S cm −1 at 26 °C (299 K). (paper)

  2. Ultrasensitive electrochemical detection of microRNA-21 combining layered nanostructure of oxidized single-walled carbon nanotubes and nanodiamonds by hybridization chain reaction.

    Science.gov (United States)

    Liu, Lingzhi; Song, Chao; Zhang, Zhang; Yang, Juan; Zhou, Lili; Zhang, Xing; Xie, Guoming

    2015-08-15

    Measurement of microRNA (miRNA) levels in body fluids is a crucial tool for the early diagnosis and prognosis of cancers. In this study, we developed an electrochemical assay to detect miRNA-21 by fabricating the electrode with layer-by-layer assembly of oxidized single-walled carbon nanotubes and nanodiamonds. Tetrahedron-structured probes with free-standing probe on the top served as receptors to hybridize with target miRNA directly. The probes were immobilized on the deposited gold nanoparticles through a well-established strong Au-S bond. The electrochemical signal was mainly derived from an ultrasensitive pattern by combining hybridization chain reaction with DNA-functionalized AuNPs, which provided DNAzyme to catalyze H2O2 reduction. Differential pulse voltammetry was applied to record the electrochemical signals, which was increased linearly with the target miRNA-21, and the linear detection range was 10 fM to 1.0 nM. The limit of detection reached 1.95 fM (S/N=3), and the proposed biosensor exhibited good reproducibility and stability, as well as high sensitivity. Hence, this biosensor has a promising potential in clinical application. Copyright © 2015 Elsevier B.V. All rights reserved.

  3. Atomic layer deposition of ruthenium surface-coating on porous platinum catalysts for high-performance direct ethanol solid oxide fuel cells

    Science.gov (United States)

    Jeong, Heon Jae; Kim, Jun Woo; Jang, Dong Young; Shim, Joon Hyung

    2015-09-01

    Pt-Ru bi-metallic catalysts are synthesized by atomic layer deposition (ALD) of Ru surface-coating on sputtered Pt mesh. The catalysts are evaluated in direct ethanol solid oxide fuel cells (DESOFCs) in the temperature range of 300-500 °C. Island-growth of the ALD Ru coating is confirmed by transmission electron microscopy and X-ray photoelectron spectroscopy (XPS) analyses. The performance of the DESOFCs is evaluated based on the current-voltage output and electrochemical impedance spectroscopy. Genuine reduction of the polarization impedance, and enhanced power output with improved surface kinetics are achieved with the optimized ALD Ru surface-coating compared to bare Pt. The chemical composition of the Pt/ALD Ru electrode surface after fuel cell operation is analyzed via XPS. Enhanced cell performance is clearly achieved, attributed to the effective Pt/ALD Ru bi-metallic catalysis, including oxidation of Cdbnd O by Ru, and de-protonation of ethanol and cleavage of C-C bonds by Pt, as supported by surface morphology analysis which confirms formation of a large amount of carbon on bare Pt after the ethanol-fuel-cell test.

  4. Electrochemical Characteristics of Layered Transition Metal Oxide Cathode Materials for Lithium Ion Batteries: Surface, Bulk Behavior, and Thermal Properties.

    Science.gov (United States)

    Tian, Chixia; Lin, Feng; Doeff, Marca M

    2018-01-16

    Layered lithium transition metal oxides, in particular, NMCs (LiNi x Co y Mn z O 2 ) represent a family of prominent lithium ion battery cathode materials with the potential to increase energy densities and lifetime, reduce costs, and improve safety for electric vehicles and grid storage. Our work has focused on various strategies to improve performance and to understand the limitations to these strategies, which include altering compositions, utilizing cation substitutions, and charging to higher than usual potentials in cells. Understanding the effects of these strategies on surface and bulk behavior and correlating structure-performance relationships advance our understanding of NMC materials. This also provides information relevant to the efficacy of various approaches toward ensuring reliable operation of these materials in batteries intended for demanding traction and grid storage applications. In this Account, we start by comparing NMCs to the isostructural LiCoO 2 cathode, which is widely used in consumer batteries. Effects of changing the metal content (Ni, Mn, Co) upon structure and performance of NMCs are briefly discussed. Our early work on the effects of partial substitution of Al, Fe, and Ti for Co on the electrochemical and bulk structural properties is then covered. The original aim of this work was to reduce the Co content (and thus the raw materials cost) and to determine the effect of the substitutions on the electrochemical and bulk structural properties. More recently, we have turned to the application of synchrotron and advanced microscopy techniques to understand both bulk and surface characteristics of the NMCs. Via nanoscale-to-macroscale spectroscopy and atomically resolved imaging techniques, we were able to determine that the surfaces of NMC undergo heterogeneous reconstruction from a layered structure to rock salt under a variety of conditions. Interestingly, formation of rock salt also occurs under abuse conditions. The surface

  5. Fabrication of Nanolaminates with Ultrathin Nanolayers Using Atomic Layer Deposition: Nucleation & Growth Issues

    Science.gov (United States)

    2009-02-01

    Tecnologia de Superficies y Materiales (SMCTSM), XXVII Congreso Nacional, Oaxaca, Oaxaca, Mexico, September 26, 2007. 26. "Atomic Layer Deposition of...Nanolaminates: Fabrication and Properties" (Plenary Lecture), Sociedad Mexicana de Ciencia y Tecnologia de Superficies y Materiales (SMCTSM), XXVII

  6. Ultrafast triggered transient energy storage by atomic layer deposition into porous silicon for integrated transient electronics

    Science.gov (United States)

    Douglas, Anna; Muralidharan, Nitin; Carter, Rachel; Share, Keith; Pint, Cary L.

    2016-03-01

    Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics.Here we demonstrate the first on-chip silicon-integrated rechargeable transient power source based on atomic layer deposition (ALD) coating of vanadium oxide (VOx) into porous silicon. A stable specific capacitance above 20 F g-1 is achieved until the device is triggered with alkaline solutions. Due to the rational design of the active VOx coating enabled by ALD, transience occurs through a rapid disabling step that occurs within seconds, followed by full dissolution of all active materials within 30 minutes of the initial trigger. This work demonstrates how engineered materials for energy storage can provide a basis for next-generation transient systems and highlights porous silicon as a versatile scaffold to integrate transient energy storage into transient electronics. Electronic supplementary information (ESI) available: (i) Experimental details for ALD and material fabrication, ellipsometry film thickness, preparation of gel electrolyte and separator, details for electrochemical measurements, HRTEM image of VOx coated porous silicon, Raman spectroscopy for VOx as-deposited as well as annealed in air for 1 hour at 450 °C, SEM and transient behavior dissolution tests of uniformly coated VOx on

  7. In-situ atomic layer deposition growth of Hf-oxide

    International Nuclear Information System (INIS)

    Karavaev, Konstantin

    2010-01-01

    We have grown HfO 2 on Si(001) by atomic layer deposition (ALD) using HfCl 4 , TEMAHf, TDMAHf and H 2 O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl 4 experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO 2 . The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO 2 : contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  8. In-situ atomic layer deposition growth of Hf-oxide

    Energy Technology Data Exchange (ETDEWEB)

    Karavaev, Konstantin

    2010-06-17

    We have grown HfO{sub 2} on Si(001) by atomic layer deposition (ALD) using HfCl{sub 4}, TEMAHf, TDMAHf and H{sub 2}O as precursors. The early stages of the ALD were investigated with high-resolution photoelectron spectroscopy and X-ray absorption spectroscopy. We observed the changes occurring in the Si 2p, O 1s, Hf 4f, Hf 4d, and Cl 2p (for HfCl{sub 4} experiment) core level lines after each ALD cycle up to the complete formation of two layers of HfO{sub 2}. The investigation was carried out in situ giving the possibility to determine the properties of the grown film after every ALD cycle or even after a half cycle. This work focused on the advantages in-situ approach in comparison with ex-situ experiments. The study provides to follow the evolution of the important properties of HfO{sub 2}: contamination level, density and stoichiometry, and influence of the experimental parameters to the interface layer formation during ALD. Our investigation shows that in-situ XPS approach for ALD gives much more information than ex-situ experiments. (orig.)

  9. Electrochemical surface plasmon spectroscopy-Recent developments and applications

    International Nuclear Information System (INIS)

    Zhang, Nan; Schweiss, Ruediger; Zong, Yun; Knoll, Wolfgang

    2007-01-01

    A survey is given on recent developments and applications of electrochemical techniques combined with surface plasmon resonance (SPR) spectroscopy. Surface plasmon spectroscopy (SPS) and optical waveguide mode spectroscopy make use of evanescent waves on metal-dielectric interfaces and can be conveniently combined with electrochemical methods. Selected examples of applications of high-pressure surface electrochemical plasmon resonance spectroscopy to study supramolecular architectures such as layer-by-layer films of conducting polymers or thin composite films will be presented. Then a combination of SPS with the electrochemical quartz crystal microbalance (EQCM) will be introduced and illustrated with a study on doping/de-doping process of a conducting polymer. This combination allows for simultaneous electrochemical, optical and microgravimetric characterization of interfaces. Finally, new technical developments including integration of SPS into microfluidic devices using a grating coupler and surface plasmon enhanced diffraction will be discussed

  10. Tuning the thickness of electrochemically grafted layers in large area molecular junctions

    Energy Technology Data Exchange (ETDEWEB)

    Fluteau, T.; Bessis, C.; Barraud, C., E-mail: clement.barraud@univ-paris-diderot.fr; Della Rocca, M. L.; Lafarge, P. [Université Paris Diderot, Sorbonne Paris Cité, MPQ, UMR 7162, CNRS, 75205 Paris Cedex 13 (France); Martin, P.; Lacroix, J.-C. [Université Paris Diderot, Sorbonne Paris Cité, ITODYS, UMR 7086, CNRS, 15 rue J.-A. de Baïf, 75205 Paris Cedex 13 (France)

    2014-09-21

    We have investigated the thickness, the surface roughness, and the transport properties of oligo(1-(2-bisthienyl)benzene) (BTB) thin films grafted on evaporated Au electrodes, thanks to a diazonium-based electro-reduction process. The thickness of the organic film is tuned by varying the number of electrochemical cycles during the growth process. Atomic force microscopy measurements reveal the evolution of the thickness in the range of 2–27 nm. Its variation displays a linear dependence with the number of cycles followed by a saturation attributed to the insulating behavior of the organic films. Both ultrathin (2 nm) and thin (12 and 27 nm) large area BTB-based junctions have then been fabricated using standard CMOS processes and finally electrically characterized. The electronic responses are fully consistent with a tunneling barrier in case of ultrathin BTB film whereas a pronounced rectifying behavior is reported for thicker molecular films.

  11. Electrochemical formation of AlN in molten LiCl-KCl-Li{sub 3}N systems

    Energy Technology Data Exchange (ETDEWEB)

    Goto, Takuya [Department of Fundamental Energy Science, Graduate School of Energy Science, Kyoto University, Sakyo, Kyoto 606-8501 (Japan)]. E-mail: goto@energy.kyoto-u.ac.jp; Iwaki, Takayuki [Department of Fundamental Energy Science, Graduate School of Energy Science, Kyoto University, Sakyo, Kyoto 606-8501 (Japan); Ito, Yasuhiko [Department of Fundamental Energy Science, Graduate School of Energy Science, Kyoto University, Sakyo, Kyoto 606-8501 (Japan)

    2005-01-30

    Electrochemical formation of aluminum nitride was investigated in molten LiCl-KCl-Li{sub 3}N systems at 723 K. When Al was anodically polarized at 1.0 V (versus Li{sup +}/Li), oxidation of nitride ions proceeded to form adsorbed nitrogen atoms, which reacted with the surface to form AlN film. The obtained nitrided film had a thickness of sub-micron order. The obtained nitrided layer consisted of two regions; the outer layer involving AlN and aluminum oxynitride and the inner layer involving metallic Al and AlN. When Al electrode was anodically polarized at 2.0 V, anodic dissolution of Al electrode occurred to give aluminum ions, which reacted with nitride ions in the melt to produce AlN particles (1-5 {mu}m of diameter) of wurtzite structure.

  12. Electrochemical Detection in Stacked Paper Networks.

    Science.gov (United States)

    Liu, Xiyuan; Lillehoj, Peter B

    2015-08-01

    Paper-based electrochemical biosensors are a promising technology that enables rapid, quantitative measurements on an inexpensive platform. However, the control of liquids in paper networks is generally limited to a single sample delivery step. Here, we propose a simple method to automate the loading and delivery of liquid samples to sensing electrodes on paper networks by stacking multiple layers of paper. Using these stacked paper devices (SPDs), we demonstrate a unique strategy to fully immerse planar electrodes by aqueous liquids via capillary flow. Amperometric measurements of xanthine oxidase revealed that electrochemical sensors on four-layer SPDs generated detection signals up to 75% higher compared with those on single-layer paper devices. Furthermore, measurements could be performed with minimal user involvement and completed within 30 min. Due to its simplicity, enhanced automation, and capability for quantitative measurements, stacked paper electrochemical biosensors can be useful tools for point-of-care testing in resource-limited settings. © 2015 Society for Laboratory Automation and Screening.

  13. Relationship between structural properties and electrochemical characteristics of monolithic carbon xerogel-based electrochemical double-layer electrodes in aqueous and organic electrolytes

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Mario [Bavarian Center for Applied Energy Research e.V. (ZAE Bayern), Wuerzburg (Germany); Institute of Radiology, University Clinic, University of Wuerzburg (Germany); Lorrmann, Volker; Reichenauer, Gudrun; Wiener, Matthias [Bavarian Center for Applied Energy Research e.V. (ZAE Bayern), Wuerzburg (Germany); Pflaum, Jens [Bavarian Center for Applied Energy Research e.V. (ZAE Bayern), Wuerzburg (Germany); Department of Experimental Physics VI, Julius-Maximilians-University of Wuerzburg (Germany)

    2012-05-15

    The impact of the micropore width, external surface area, and meso-/macropore size on the charging performance of electrochemical double-layer capacitor (EDLC) electrodes is systematically investigated. Nonactivated carbon xerogels are used as model electrodes in aqueous and organic electrolytes. Monolithic porous model carbons with different structural parameters are prepared using a resorcinol-formaldehyde-based sol-gel process and subsequent pyrolysis of the organic precursors. Electrochemical properties are characterized by utilizing them as EDLC half-cells operated in aqueous and organic electrolytes, respectively. Experimental data derived for organic electrolytes reveals that the respective ions cannot enter the micropores within the skeleton of the meso- and macroporous carbons. Therefore the total capacitance is limited by the external surface formed by the interface between the meso-/macropores and the microporous carbon particles forming the xerogel skeleton. In contrast, for aqueous electrolytes the total capacitance solely depends on the total surface area, including interfaces at the micropore scale. For both types of electrolytes the charging rate of the electrodes is systematically enhanced when increasing the diameter of the carbon xerogel particles from 10 to 75 nm and the meso-/macropore size from 10 to 121 nm. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. Electrochemical and AFM Characterization of G-Quadruplex Electrochemical Biosensors and Applications

    Science.gov (United States)

    2018-01-01

    Guanine-rich DNA sequences are able to form G-quadruplexes, being involved in important biological processes and representing smart self-assembling nanomaterials that are increasingly used in DNA nanotechnology and biosensor technology. G-quadruplex electrochemical biosensors have received particular attention, since the electrochemical response is particularly sensitive to the DNA structural changes from single-stranded, double-stranded, or hairpin into a G-quadruplex configuration. Furthermore, the development of an increased number of G-quadruplex aptamers that combine the G-quadruplex stiffness and self-assembling versatility with the aptamer high specificity of binding to a variety of molecular targets allowed the construction of biosensors with increased selectivity and sensitivity. This review discusses the recent advances on the electrochemical characterization, design, and applications of G-quadruplex electrochemical biosensors in the evaluation of metal ions, G-quadruplex ligands, and other small organic molecules, proteins, and cells. The electrochemical and atomic force microscopy characterization of G-quadruplexes is presented. The incubation time and cations concentration dependence in controlling the G-quadruplex folding, stability, and nanostructures formation at carbon electrodes are discussed. Different G-quadruplex electrochemical biosensors design strategies, based on the DNA folding into a G-quadruplex, the use of G-quadruplex aptamers, or the use of hemin/G-quadruplex DNAzymes, are revisited. PMID:29666699

  15. Tuning inner-layer oxygen functional groups of reduced graphene oxide by potentiostatic oxidation for high performance electrochemical energy storage devices

    International Nuclear Information System (INIS)

    Wang, Huixin; Feng, Bingmei; Ye, Yifan; Guo, Jinghua; Fang, Hai-Tao

    2017-01-01

    Graphical abstract: Tuning inner-layer oxygen functional groups of reduced graphene oxide by potentiostatic oxidation in carbonate-based electrolyte improves the electrochemical performance. - Abstract: The electrochemical lithiation/delithiation of oxygen-containing functional groups (OCFGs) of nanocarbon materials, particularly graphene, have attracted intensive interest in recent years. Here, we propose a controllable potentiostatic oxidation approach to tune the OCFGs of as-prepared reduced graphene oxide (rGO) in a carbonate-based electrolyte to improve the specific capacity and rate capability. By X-Ray absorption spectroscopy in total fluorescence yield mode and X-Ray diffraction, we confirm that potentiostatic oxidations generate new OCFGs in the inner-layer of rGO. The content of OCFGs increases as oxidation potential being elevated. Such increasing of OCFGs in quantity significantly enhances the capacity. For instance, the specific capacity of 170.4 mAh g −1 for pristine rGO electrode is increased to 290.5 mAh g −1 after the oxidation at 5.0 V. We demonstrate that oxidations at moderate potentials can reduce the electrochemical and ohmic polarizations of rGO electrodes without deteriorating diffusion dynamic, thereby improving rate capability. After the optimal oxidation at 4.7 V, rGO electrode exhibits an excellent rate capability, delivering 58.4 mAh g −1 at 20 A g −1 .

  16. Atomic layer deposition of highly dispersed Pt nanoparticles on a high surface area electrode backbone for electrochemical promotion of catalysis

    NARCIS (Netherlands)

    Hajar, Y.; di Palma, V.; Kyriakou, V.; Verheijen, M. A.; Baranova, E. A.; Vernoux, P.; Kessels, W. M. M.; Creatore, M.; van de Sanden, M. C. M.; Tsampas, M. N.

    2017-01-01

    A novel catalyst design for electrochemical promotion of catalysis (EPOC) is proposed which overcomes the main bottlenecks that limit EPOC commercialization, i.e., the low dispersion and small surface area of metal catalysts. We have increased the surface area by using a porous composite electrode

  17. From Single Atoms to Nanoparticles : Autocatalysis and Metal Aggregation in Atomic Layer Deposition of Pt on TiO2 Nanopowder

    NARCIS (Netherlands)

    Grillo, Fabio; Van Bui, Hao; La Zara, Damiano; Aarnink, Antonius A.I.; Kovalgin, Alexey Y.; Kooyman, Patricia; Kreutzer, Michiel T.; van Ommen, Jan Rudolf

    2018-01-01

    A fundamental understanding of the interplay between ligand-removal kinetics and metal aggregation during the formation of platinum nanoparticles (NPs) in atomic layer deposition of Pt on TiO2 nanopowder using trimethyl(methylcyclo-pentadienyl)platinum(IV) as the precursor and O2 as the coreactant

  18. Promoting Effect of Layered Titanium Phosphate on the Electrochemical and Photovoltaic Performance of Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Deng Changsheng

    2010-01-01

    Full Text Available Abstract We reported a composite electrolyte prepared by incorporating layered α-titanium phosphate (α-TiP into an iodide-based electrolyte using 1-ethyl-3-methylimidazolium tetrafluoroborate(EmimBF4 ionic liquid as solvent. The obtained composite electrolyte exhibited excellent electrochemical and photovoltaic properties compared to pure ionic liquid electrolyte. Both the diffusion coefficient of triiodide (I3 − in the electrolyte and the charge-transfer reaction at the electrode/electrolyte interface were improved markedly. The mechanism for the enhanced electrochemical properties of the composite electrolyte was discussed. The highest conversion efficiency of dye-sensitized solar cell (DSSC was obtained for the composite electrolyte containing 1wt% α-TiP, with an improvement of 58% in the conversion efficiency than the blank one, which offered a broad prospect for the fabrication of stable DSSCs with a high conversion efficiency.

  19. Preparation of three-dimensional nitrogen-doped graphene layers by gas foaming method and its electrochemical capactive behavior

    International Nuclear Information System (INIS)

    Hao, Junnan; Shu, Dong; Guo, Songtao; Gao, Aimei; He, Chun; Zhong, Yayun; Liao, Yuqing; Huang, Yulan; Zhong, Jie

    2016-01-01

    Highlights: • A three-dimensional porous graphene layers was prepared via a gas foaming method. • Melamine was the nitrogen source to synthesize the N-doped 3D graphene layers. • The specific surface area of 3D N-doped graphene material is as high as 1196 m 2 g −1 . • The 3D N-doped graphene specific capacitance is 335 F g −1 in three-electrode system. • The energy density of 3D N-doped graphene reaches 58.1 Wh kg −1 in a symmetric cell. - Abstract: A porous graphene layers with a three-dimensional structure (3DG) was prepared via a gas foaming method based on a polymeric predecessor. This intimately interconnected 3DG structure not only significantly increases the specific surface area but also provides more channels to facilitate electron transport. In addition, 3D N-doped (3DNG) layers materials were synthesized using melamine as a nitrogen source. The nitrogen content in the 3DNG layers significantly influenced the electrochemical performance. The sample denoted as 3DNG-2 exhibited a specific capacitance of 335.2 F g −1 at a current density of 1 A g −1 in a three-electrode system. Additionally, 3DNG-2 exhibited excellent electrochemical performance in aqueous and organic electrolytes using a two-electrode symmetric cell. An energy density of 58.1 Wh kg −1 at a power density of 2500 W kg −1 was achieved, which is approximately 3 times that (19.6 Wh kg −1 ) in an aqueous electrolyte in a two-electrode system. After 1000 cycles, the capacity retention in aqueous electrolyte was more than 99.0%, and this retention in organic electrolytes was more than 89.4%, which demonstrated its excellent cycle stability. This performance makes 3DNG-2 a promising candidate as an electrode material in high-power and high-energy supercapacitor applications.

  20. Atomic layer deposition of two dimensional MoS{sub 2} on 150 mm substrates

    Energy Technology Data Exchange (ETDEWEB)

    Valdivia, Arturo; Conley, John F., E-mail: jconley@eecs.oregonstate.edu [School of EECS, Oregon State University, Corvallis, Oregon 97331 (United States); Tweet, Douglas J. [Sharp Labs of America, Camas, Washington 98607 (United States)

    2016-03-15

    Low temperature atomic layer deposition (ALD) of monolayer to few layer MoS{sub 2} uniformly across 150 mm diameter SiO{sub 2}/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl{sub 5} and H{sub 2}S precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E{sup 1}{sub 2g}) and out-of-plane (A{sub 1g}) modes of MoS{sub 2}. The separation of the E{sup 1}{sub 2g} and A{sub 1g} peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS{sub 2} thin films.

  1. Atomic layer deposition of Al-doped ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit; Okazaki, Ryuji; Terasaki, Ichiro [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland); Department of Physics, Nagoya University, Nagoya 464-8602 (Japan)

    2013-01-15

    Atomic layer deposition has been used to fabricate thin films of aluminum-doped ZnO by depositing interspersed layers of ZnO and Al{sub 2}O{sub 3} on borosilicate glass substrates. The growth characteristics of the films have been investigated through x-ray diffraction, x-ray reflection, and x-ray fluorescence measurements, and the efficacy of the Al doping has been evaluated through optical reflectivity and Seebeck coefficient measurements. The Al doping is found to affect the carrier density of ZnO up to a nominal Al dopant content of 5 at. %. At nominal Al doping levels of 10 at. % and higher, the structure of the films is found to be strongly affected by the Al{sub 2}O{sub 3} phase and no further carrier doping of ZnO is observed.

  2. Phase time delay and Hartman effect in a one-dimensional photonic crystal with four-level atomic defect layer

    Science.gov (United States)

    Jamil, Rabia; Ali, Abu Bakar; Abbas, Muqaddar; Badshah, Fazal; Qamar, Sajid

    2017-08-01

    The Hartman effect is revisited using a Gaussian beam incident on a one-dimensional photonic crystal (1DPC) having a defect layer doped with four-level atoms. It is considered that each atom of the defect layer interacts with three driving fields, whereas a Gaussian beam of width w is used as a probe light to study Hartman effect. The atom-field interaction inside the defect layer exhibits electromagnetically induced transparency (EIT). The 1DPC acts as positive index material (PIM) and negative index material (NIM) corresponding to the normal and anomalous dispersion of the defect layer, respectively, via control of the phase associated with the driving fields and probe detuning. The positive and negative Hartman effects are noticed for PIM and NIM, respectively, via control of the relative phase corresponding to the driving fields and probe detuning. The advantage of using four-level EIT system is that a much smaller absorption of the transmitted beam occurs as compared to three-level EIT system corresponding to the anomalous dispersion, leading to negative Hartman effect.

  3. Atomic emission spectroscopic investigations for determining depth profiles at boride layers on iron materials

    International Nuclear Information System (INIS)

    Danzer, K.; Marx, G.

    1980-01-01

    A combination of atomic emission spectroscopic surface analysis and mechanical removement of defined surface areas in layers by grinding yields information about the depth distribution of boron in iron. In addition, the evaluation with the aid of the two-dimensional variance analysis leads to statements on the homogeneous distribution within individual layers at different depth. The results obtained in this way are in agreement with those of other methods

  4. Ultrasensitive electrochemical detection of tumor cells based on multiple layer CdS quantum dots-functionalized polystyrene microspheres and graphene oxide - polyaniline composite.

    Science.gov (United States)

    Wang, Jidong; Wang, Xiaoyu; Tang, Hengshan; Gao, Zehua; He, Shengquan; Li, Jian; Han, Shumin

    2018-02-15

    In this work, a novel ultrasensitive electrochemical biosensor was developed for the detection of K562 cell by a signal amplification strategy based on multiple layer CdS QDs functionalized polystyrene microspheres(PS) as bioprobe and graphene oxide(GO) -polyaniline(PANI) composite as modified materials of capture electrode. Due to electrostatic force of different charge, CdS QDs were decorated on the surface of PS by PDDA (poly(diallyldimethyl-ammonium chloride)) through a layer-by-layer(LBL) assemble technology, in which the structure of multiple layer CdS QDs increased the detection signal intensity. Moreover, GO-PANI composite not only enhanced the electron transfer rate, but also increased tumor cells load ratio. The resulting electrochemical biosensor was used to detect K562 cells with a lower detection limit of 3 cellsmL -1 (S/N = 3) and a wider linear range from 10 to 1.0 × 10 7 cellsmL -1 . This sensor was also used for mannosyl groups on HeLa cells and Hct116 cells, which showed high specificity and sensitivity. This signal amplification strategy would provide a novel approach for detection, diagnosis and treatment for tumor cells. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Electrochemical characteristics of discrete, uniform, and monodispersed hollow mesoporous carbon spheres in double-layered supercapacitors.

    Science.gov (United States)

    Chen, Xuecheng; Kierzek, Krzysztof; Wenelska, Karolina; Cendrowski, Krzystof; Gong, Jiang; Wen, Xin; Tang, Tao; Chu, Paul K; Mijowska, Ewa

    2013-11-01

    Core-shell-structured mesoporous silica spheres were prepared by using n-octadecyltrimethoxysilane (C18TMS) as the surfactant. Hollow mesoporous carbon spheres with controllable diameters were fabricated from core-shell-structured mesoporous silica sphere templates by chemical vapor deposition (CVD). By controlling the thickness of the silica shell, hollow carbon spheres (HCSs) with different diameters can be obtained. The use of ethylene as the carbon precursor in the CVD process produces the materials in a single step without the need to remove the surfactant. The mechanism of formation and the role played by the surfactant, C18TMS, are investigated. The materials have large potential in double-layer supercapacitors, and their electrochemical properties were determined. HCSs with thicker mesoporous shells possess a larger surface area, which in turn increases their electrochemical capacitance. The samples prepared at a lower temperature also exhibit increased capacitance as a result of the Brunauer-Emmett-Teller (BET) area and larger pore size. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Layer-by-layer self-assembly in the development of electrochemical energy conversion and storage devices from fuel cells to supercapacitors.

    Science.gov (United States)

    Xiang, Yan; Lu, Shanfu; Jiang, San Ping

    2012-11-07

    As one of the most effective synthesis tools, layer-by-layer (LbL) self-assembly technology can provide a strong non-covalent integration and accurate assembly between homo- or hetero-phase compounds or oppositely charged polyelectrolytes, resulting in highly-ordered nanoscale structures or patterns with excellent functionalities and activities. It has been widely used in the developments of novel materials and nanostructures or patterns from nanotechnologies to medical fields. However, the application of LbL self-assembly in the development of highly efficient electrocatalysts, specific functionalized membranes for proton exchange membrane fuel cells (PEMFCs) and electrode materials for supercapacitors is a relatively new phenomenon. In this review, the application of LbL self-assembly in the development and synthesis of key materials of PEMFCs including polyelectrolyte multilayered proton-exchange membranes, methanol-blocking Nafion membranes, highly uniform and efficient Pt-based electrocatalysts, self-assembled polyelectrolyte functionalized carbon nanotubes (CNTs) and graphenes will be reviewed. The application of LbL self-assembly for the development of multilayer nanostructured materials for use in electrochemical supercapacitors will also be reviewed and discussed (250 references).

  7. Observation of anomalous Stokes versus anti-Stokes ratio in MoTe2 atomic layers

    Science.gov (United States)

    Goldstein, Thomas; Chen, Shao-Yu; Xiao, Di; Ramasubramaniam, Ashwin; Yan, Jun

    We grow hexagonal molybdenum ditelluride (MoTe2), a prototypical transition metal dichalcogenide (TMDC) semiconductor, with chemical vapor transport methods and investigate its atomic layers with Stokes and anti-Stokes Raman scattering. We report observation of all six types of zone center optical phonons. Quite remarkably, the anti-Stokes Raman intensity of the low energy layer-breathing mode becomes more intense than the Stokes peak under certain experimental conditions, creating an illusion of 'negative temperature'. This effect is tunable, and can be switched from anti-Stokes enhancement to suppression by varying the excitation wavelength. We interpret this observation to be a result of resonance effects arising from the C excitons in the vicinity of the Brillouin zone center, which are robust even for multiple layers of MoTe2. The intense anti-Stokes Raman scattering provides a cooling channel for the crystal and opens up opportunities for laser cooling of atomically thin TMDC semiconductor devices. Supported by the University of Massachusetts Amherst, the National Science Foundation Center for Hierarchical Manufacturing (CMMI-1025020) and Office of Emerging Frontiers in Research and Innovation (EFRI-1433496).

  8. TiO2 nanosheets synthesized by atomic layer deposition for photocatalysis

    Directory of Open Access Journals (Sweden)

    Riyanto Edy

    2016-10-01

    Full Text Available Two-dimensional TiO2 nanosheets were synthesized by atomic layer deposition (ALD on dissolvable sacrificial polymer layer. The photocatalytic performance of free-standing TiO2 nanosheets prepared with different numbers of ALD cycles (100, 300, 500, and 1000 were investigated by evaluating the degradation rates of methyl orange solutions. It is shown that the photocatalytic activity increases due to Ti3+ defect and the locally ordered structures in amorphous TiO2 nanosheets. The difference in the surface areas of nanosheets may also play a crucial role in the photocatalytic activity. The results obtained in this work can have potential applications in fields like water splitting and dye-sensitized solar cells.

  9. Electrochemical impedance spectroscopy of oxidized porous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Mula, Guido, E-mail: guido.mula@unica.it [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Tiddia, Maria V. [Dipartimento di Fisica, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Ruffilli, Roberta [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Falqui, Andrea [Nanochemistry, Istituto Italiano di Tecnologia, Via Morego 30, 16163 Genova (Italy); Dipartimento di Scienze Chimiche e Geologiche, Università degli Studi di Cagliari, Cittadella Universitaria di Monserrato, S.P. 8 km 0.700, 09042 Cagliari (Italy); Palmas, Simonetta; Mascia, Michele [Dipartimento di Ingegneria Meccanica Chimica e dei Materiali, Università degli Studi di Cagliari, Piazza d' Armi, 09126 Cagliari (Italy)

    2014-04-01

    We present a study of the electrochemical oxidation process of porous silicon. We analyze the effect of the layer thickness (1.25–22 μm) and of the applied current density (1.1–11.1 mA/cm{sup 2}, values calculated with reference to the external samples surface) on the oxidation process by comparing the galvanostatic electrochemical impedance spectroscopy (EIS) measurements and the optical specular reflectivity of the samples. The results of EIS were interpreted using an equivalent circuit to separate the contribution of different sample parts. A different behavior of the electrochemical oxidation process has been found for thin and thick samples: whereas for thin samples the oxidation process is univocally related to current density and thickness, for thicker samples this is no more true. Measurements by Energy Dispersive Spectroscopy using a Scanning Electron Microscopy confirmed that the inhomogeneity of the electrochemical oxidation process is increased by higher thicknesses and higher currents. A possible explanation is proposed to justify the different behavior of thin and thick samples during the electrochemical process. - Highlights: • A multidisciplinary approach on porous Si electrochemical oxidation is proposed. • Electrochemical, optical, and structural characterizations are used. • Layer thickness and oxidation current effects are shown. • An explanation of the observed behavior is proposed.

  10. Conduction and stability of holmium titanium oxide thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Castán, H., E-mail: helena@ele.uva.es [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); García, H.; Dueñas, S.; Bailón, L. [Department of Electronic, University of Valladolid, 47011 Valladolid (Spain); Miranda, E. [Departament d' Enginyería Electrònica, Universitat Autónoma de Barcelona, 08193 Bellaterra (Spain); Kukli, K. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland); Institute of Physics, University of Tartu, EE-50411,Tartu (Estonia); Kemell, M.; Ritala, M.; Leskelä, M. [Department of Chemistry, University of Helsinki, FI-00014 Helsinki (Finland)

    2015-09-30

    Holmium titanium oxide (HoTiO{sub x}) thin films of variable chemical composition grown by atomic layer deposition are studied in order to assess their suitability as dielectric materials in metal–insulator–metal electronic devices. The correlation between thermal and electrical stabilities as well as the potential usefulness of HoTiO{sub x} as a resistive switching oxide are also explored. It is shown that the layer thickness and the relative holmium content play important roles in the switching behavior of the devices. Cycled current–voltage measurements showed that the resistive switching is bipolar with a resistance window of up to five orders of magnitude. In addition, it is demonstrated that the post-breakdown current–voltage characteristics in HoTiO{sub x} are well described by a power-law model in a wide voltage and current range which extends from the soft to the hard breakdown regimes. - Highlights: • Gate and memory suitabilities of atomic layer deposited holmium titanium oxide. • Holmium titanium oxide exhibits resistive switching. • Layer thickness and holmium content influence the resistive switching. • Low and high resistance regimes follow a power-law model. • The power-law model can be extended to the hard breakdown regime.

  11. Influence of titanium-substrate roughness on Ca–P–O thin films grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ananda Sagari, A.R., E-mail: arsagari@gmail.com [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Malm, Jari [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Laitinen, Mikko [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland); Rahkila, Paavo [Department of Biology of Physical Activity, P.O. Box 35, FIN-40014 University of Jyväskylä (Finland); Hongqiang, Ma [Department of Health Sciences, P.O. Box 35 (L), FIN-40014 University of Jyväskylä (Finland); Putkonen, Matti [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Beneq Oy, P.O. Box 262, FI-01511 Vantaa (Finland); Karppinen, Maarit [Department of Chemistry, P.O. Box 16100, FI-00076 Aalto University, Espoo (Finland); Whitlow, Harry J.; Sajavaara, Timo [Department of Physics, P.O. Box 35 (YFL), FIN-40014 University of Jyväskylä (Finland)

    2013-03-01

    Amorphous Ca–P–O films were deposited on titanium substrates using atomic layer deposition, while maintaining a uniform Ca/P pulsing ratio of 6/1 with varying number of atomic layer deposition cycles starting from 10 up to 208. Prior to film deposition the titanium substrates were mechanically abraded using SiC abrasive paper of 600, 1200, 2000 grit size and polished with 3 μm diamond paste to obtain surface roughness R{sub rms} values of 0.31 μm, 0.26 μm, 0.16 μm, and 0.10 μm, respectively. The composition and film thickness of as-deposited amorphous films were studied using Time-Of-Flight Elastic Recoil Detection Analysis. The results showed that uniform films could be deposited on rough metal surfaces with a clear dependence of substrate roughness on the Ca/P atomic ratio of thin films. The in vitro cell-culture studies using MC3T3 mouse osteoblast showed a greater coverage of cells on the surface polished with diamond paste in comparison to rougher surfaces after 24 h culture. No statistically significant difference was observed between Ca–P–O coated and un-coated Ti surfaces for the measured roughness value. The deposited 50 nm thick films did not dissolve during the cell culture experiment. - Highlights: ► Atomic layer deposition of Ca–P–O films on abraded Ti substrate ► Surface analysis using Time-Of-Flight Elastic Recoil Detection Analysis ► Dependence of substrate roughness on the Ca/P atomic ratio of thin films ► An increase in Ca/P atomic ratio with decreasing roughness ► Mouse osteoblast showed greater coverage of cells in polished surface.

  12. Electrochemical corrosion behavior of gas atomized Al–Ni alloy powders

    International Nuclear Information System (INIS)

    Osório, Wislei R.; Spinelli, José E.; Afonso, Conrado R.M.; Peixoto, Leandro C.; Garcia, Amauri

    2012-01-01

    Highlights: ► Spray-formed Al–Ni alloy powders have cellular microstructures. ► Porosity has no deleterious effect on the electrochemical corrosion behavior. ► Better pitting corrosion resistance is related to a fine powder microstructure. ► A coarse microstructure can be related to better general corrosion resistance. - Abstract: This is a study describing the effects of microstructure features of spray-formed Al–Ni alloy powders on the electrochemical corrosion resistance. Two different spray-formed powders were produced using nitrogen (N 2 ) gas flow (4 and 8 bar were used). Electrochemical impedance spectroscopy (EIS), potentiodynamic anodic polarization techniques and an equivalent circuit analysis were used to evaluate the electrochemical behavior in a dilute 0.05 M NaCl solution at room temperature. It was found that a N 2 gas pressure of 8 bar resulted in a microstructure characterized by a high fraction of small powders and fine cell spacings, having improved pitting potential but higher corrosion current density when compared with the corresponding results of a coarser microstructure array obtained under a lower pressure. A favorable effect in terms of current density and oxide protective film formation was shown to be associated with the coarser microstructure, however, its pitting potential was found to be lower than that of the finer microstructure.

  13. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  14. Measurement of Young’s modulus and residual stress of atomic layer deposited Al2O3 and Pt thin films

    Science.gov (United States)

    Purkl, Fabian; Daus, Alwin; English, Timothy S.; Provine, J.; Feyh, Ando; Urban, Gerald; Kenny, Thomas W.

    2017-08-01

    The accurate measurement of mechanical properties of thin films is required for the design of reliable nano/micro-electromechanical devices but is increasingly challenging for thicknesses approaching a few nanometers. We apply a combination of resonant and static mechanical test structures to measure elastic constants and residual stresses of 8-27 nm thick Al2O3 and Pt layers which have been fabricated through atomic layer deposition. Young’s modulus of poly-crystalline Pt films was found to be reduced by less than 15% compared to the bulk value, whereas for amorphous Al2O3 it was reduced to about half of its bulk value. We observed no discernible dependence of the elastic constant on thickness or deposition method for Pt, but the use of plasma-enhanced atomic layer deposition was found to increase Young’s modulus of Al2O3 by 10% compared to a thermal atomic layer deposition. As deposited, the Al2O3 layers had an average tensile residual stress of 131 MPa. The stress was found to be higher for thinner layers and layers deposited without the help of a remote plasma. No residual stress values could be extracted for Pt due to insufficient adhesion of the film without an underlying layer to promote nucleation.

  15. Poly arginine-graphene quantum dots as a biocompatible and non-toxic nanocomposite: Layer-by-layer electrochemical preparation, characterization and non-invasive malondialdehyde sensory application in exhaled breath condensate

    Energy Technology Data Exchange (ETDEWEB)

    Hasanzadeh, Mohammad, E-mail: hasanzadehm@tbzmed.ac.ir [Drug Applied Research Center, Tabriz University of Medical Sciences, Tabriz 51664 (Iran, Islamic Republic of); Mokhtari, Fozieh [Pharmaceutical Analysis Research Center, Tabriz University of Medical Sciences, Tabriz (Iran, Islamic Republic of); Department of Biochemistry, Higher Education Institute of Rab-Rashid, Tabriz (Iran, Islamic Republic of); Shadjou, Nasrin [Department of Nanochemistry, Nano Technology Research Center, Urmia University, Urmia 57154 (Iran, Islamic Republic of); Department of Nano Technology, Faculty of Science, Urmia University, Urmia 57154 (Iran, Islamic Republic of); Eftekhari, Aziz [Department of Pharmacology and Toxicology, Faculty of Pharmacy, Tabriz University of Medical Sciences, 51664-14766 Tabriz (Iran, Islamic Republic of); Mokhtarzadeh, Ahad [Department of Biochemistry, Higher Education Institute of Rab-Rashid, Tabriz (Iran, Islamic Republic of); School of Medicine, Gonabad University of Medical Sciences, Gonabad (Iran, Islamic Republic of); Jouyban-Gharamaleki, Vahid [Department of Mechatronic Engineering, International Campus, University of Tabriz, Tabriz (Iran, Islamic Republic of); Mahboob, Soltanali [Department of Biochemistry, Higher Education Institute of Rab-Rashid, Tabriz (Iran, Islamic Republic of)

    2017-06-01

    This study reports on the electropolymerization of a low toxic and biocompatible polymer with entitle poly arginine-graphene quantum dots (PARG-GQDs) as a novel strategy for surface modification of glassy carbon (GC) surface and preparation a new interface for biomedical application. The fabrication of PARG-GQDs on GCE was performed using Layer-by-layer regime. Scanning electron microscopy (SEM) was confirmed dispersion of GQDs on the surface of PARG which lead to increase of surface coverage of PARG. The redox behavior of prepared sensor was then characterized by cyclic voltammetry (CV), differential pulse voltammetry (DPV) and chronoamperometry (CHA), square wave voltammetry (SWV), linear sweep voltammetry (LSV). The electroactivity of PARG-GQDs coating towards detection and determination of malondialdehyde (MDA) as one of the most common biomarkers of oxidative stress, was then studied. Then, application of prepared sensor for the detection of MDA in exhaled breath condensate (EBC) is described. Electrochemical based sensor shows the lower limit of quantification (LLOQ) were 0.329 nanomolar. This work is the first report on the integration of GQDs to poly amino acids. Further development can lead to monitoring of MDA or other exhaled breath biomarkers by GQDs functionalized poly amino acids in EBC using electrochemical methods. - Highlights: • Simple and one pot electropolymerization was used to preparation of Poly arginine-graphene quantum dots. • PARG-GQDs-GCE shows an excellent electroactivity towards malondialdehyde. • High sensitivity and efficiency is achieved through a simple method of modification. • MDA electrochemical sensor for a direct evaluation of oxidative stress in EBC media is possible.

  16. Poly arginine-graphene quantum dots as a biocompatible and non-toxic nanocomposite: Layer-by-layer electrochemical preparation, characterization and non-invasive malondialdehyde sensory application in exhaled breath condensate

    International Nuclear Information System (INIS)

    Hasanzadeh, Mohammad; Mokhtari, Fozieh; Shadjou, Nasrin; Eftekhari, Aziz; Mokhtarzadeh, Ahad; Jouyban-Gharamaleki, Vahid; Mahboob, Soltanali

    2017-01-01

    This study reports on the electropolymerization of a low toxic and biocompatible polymer with entitle poly arginine-graphene quantum dots (PARG-GQDs) as a novel strategy for surface modification of glassy carbon (GC) surface and preparation a new interface for biomedical application. The fabrication of PARG-GQDs on GCE was performed using Layer-by-layer regime. Scanning electron microscopy (SEM) was confirmed dispersion of GQDs on the surface of PARG which lead to increase of surface coverage of PARG. The redox behavior of prepared sensor was then characterized by cyclic voltammetry (CV), differential pulse voltammetry (DPV) and chronoamperometry (CHA), square wave voltammetry (SWV), linear sweep voltammetry (LSV). The electroactivity of PARG-GQDs coating towards detection and determination of malondialdehyde (MDA) as one of the most common biomarkers of oxidative stress, was then studied. Then, application of prepared sensor for the detection of MDA in exhaled breath condensate (EBC) is described. Electrochemical based sensor shows the lower limit of quantification (LLOQ) were 0.329 nanomolar. This work is the first report on the integration of GQDs to poly amino acids. Further development can lead to monitoring of MDA or other exhaled breath biomarkers by GQDs functionalized poly amino acids in EBC using electrochemical methods. - Highlights: • Simple and one pot electropolymerization was used to preparation of Poly arginine-graphene quantum dots. • PARG-GQDs-GCE shows an excellent electroactivity towards malondialdehyde. • High sensitivity and efficiency is achieved through a simple method of modification. • MDA electrochemical sensor for a direct evaluation of oxidative stress in EBC media is possible.

  17. Epitaxial TiO 2/SnO 2 core-shell heterostructure by atomic layer deposition

    KAUST Repository

    Nie, Anmin; Liu, Jiabin; Li, Qianqian; Cheng, Yingchun; Dong, Cezhou; Zhou, Wu; Wang, Pengfei; Wang, Qingxiao; Yang, Yang; Zhu, Yihan; Zeng, Yuewu; Wang, Hongtao

    2012-01-01

    Taking TiO 2/SnO 2 core-shell nanowires (NWs) as a model system, we systematically investigate the structure and the morphological evolution of this heterostructure synthesized by atomic layer deposition/epitaxy (ALD/ALE). All characterizations

  18. Atomic layer deposition of zirconium dioxide from zirconium tetrachloride and ozone

    Energy Technology Data Exchange (ETDEWEB)

    Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Kemell, Marianna; Köykkä, Joel [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [Accelerator Laboratory, Department of Physics, University of Helsinki, P.O. Box 43, FI-00014 Helsinki (Finland); Vehkamäki, Marko; Ritala, Mikko; Leskelä, Markku [Department of Chemistry, University of Helsinki, P.O. Box 55, FI-00014 Helsinki (Finland)

    2015-08-31

    ZrO{sub 2} films were grown by atomic layer deposition using ZrCl{sub 4} and O{sub 3} as precursors. The films were grown on silicon substrates in the temperature range of 220–500 °C. The ALD rate was monotonously decreasing from 0.085 to 0.060 nm/cycle in this temperature range towards the highest temperatures studied. The content of chlorine in the films did not exceed 0.2 at.% as measured by elastic recoil detection analysis. The content of hydrogen was 0.30 and 0.14 at.% in the films grown at 300 and 400 °C, respectively. Structural studies revealed the films consisting of mixtures of stable monoclinic and metastable tetragonal/cubic polymorphs of ZrO{sub 2}, and dominantly metastable phases of ZrO{sub 2} below and above 300 °C, respectively. Permittivity of dielectric layers in Al/Ti/ZrO{sub 2}/(TiN/)Si capacitors with 15–40 nm thick ZrO{sub 2} ranged between 12 and 25 at 100 kHz and the dielectric breakdown fields were in the range of 1.5–3.0 MV/cm. - Highlights: • ZrO{sub 2} thin films were grown by atomic layer deposition from ZrCl{sub 4} and O{sub 3}. • Relatively high substrate temperatures promoted growth of metastable ZrO{sub 2} phases. • ZrO{sub 2} films exhibited electric properties characteristic of dielectric metal oxides. • ZrO{sub 2} grown in hydrogen- and carbon free process contained low amounts of impurities.

  19. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  20. Electrochemical modification of carbon electrode with benzylphosphonic groups

    International Nuclear Information System (INIS)

    Benjamin, Ossonon Diby; Weissmann, Martin; Bélanger, Daniel

    2014-01-01

    Electrochemical modification of carbon electrodes by aryl groups bearing a phosphonate terminal functionality was carried out by both electrochemical reduction of diazonium ions (diazobenzylphosphonic acid) and electrochemical oxidation of an amine (aminobenzylphosphonic acid). The grafting by electrochemical reduction of aryl diazonium ions was found to be more efficient. The surface concentration of phosphonate groups, estimated by electrochemical reduction of electrostatically bound Pb(II) ions, was found to be about 25% higher for the layer formed by electrochemical reduction of diazonium ions than for the layer formed by oxidation of the amine. The acid–base properties of the grafted films were slightly influenced by the grafting procedure and the difference in the apparent pK a was most likely related to the presence of the substrate –NH-aryl linkage for the film generated by amine oxidation. X-ray photoelectron spectroscopy was used to get some insight on the chemical species present at the carbon electrode surface. For both procedures, the films consist in mixture of at least two different covalently grafted species

  1. Effect of oxygen implantation on the electrochemical properties of palladium

    International Nuclear Information System (INIS)

    Fujihana, T.; Ueshima, M.; Takahashi, K.; Iwaki, M.

    1995-01-01

    Hydrogen presence in metals has significant effects on their properties. A stress caused by hydrogen migration leads to cracks in metals. The suppression of hydrogen incorporation for the protection of such hydrogen embrittlement is one of the most important subjects for industrial engineering. In contrast, the development of active materials for hydrogen absorption and desorption reactions is expected to make a potable storage of hydrogen which is clean and virtually inexhaustible fuel. The electrochemical properties of O + -implanted Pd measured by cyclic voltammetry in a 0.25 mol dm -3 H 2 SO 4 solution were investigated in relation to their composition and structure. Implantation of 16 O + was performed with doses between 10 17 and 10 18 ions cm -2 at 150 keV, and at nearly room temperature. SIMS, ERD combined with RBS, and XRD were used to analyze the composition depth profile and structure of O + -implanted Pd surface layers. The H atoms were accumulated with a gaussian distribution and carbon materials containing the solid solution of PdCx (x = 0.13--0.15) were also formed in the near surface layers during O + -implantation. The distribution of implanted oxygen changed from gaussian to trapezoidal as the dose increased, accompanied by the crystal growth of Pd(OH) 2 , and simultaneously, the amount of accumulated H atoms increased. The voltammetric measurements revealed that with an increase in the dose, the hydrogen absorption was suppressed at the early stage of sweep cycles, and at the final stage, the redox reaction of both hydrogen and Pd was activated. From these results, the authors propose that the carbon materials containing the PdCx formed during O + -implantation suppress the hydrogen absorption, and the metallic Pd like a Pd-black formed by the reduction of Pd(OH) 2 during voltammetric measurements causes the electrochemical activation of O + -implanted Pd

  2. Effect of oxide ion concentration on the electrochemical oxidation of carbon in molten LiCl

    International Nuclear Information System (INIS)

    Yun, J. W.; Choi, I. K.; Park, Y. S.; Kim, W. H.

    2001-01-01

    The continuous measurement of lithium oxide concentration was required in DOR (Direct Oxide Reduction) process, which converts spent nuclear fuel to metal form, for the reactivity monitor and effective control of the process. The concentration of lithium oxide was measured by the electrochemical method, which was based on the phenomenon that carbon atoms of glassy carbon electrode electrochemically react with oxygen ions of lithium oxide in molten LiCl medium. From the results of electrode polarization experiments, the trend of oxidation rate of carbon atoms was classified into two different regions, which were proportional and non-proportional ones, dependent on the amount of lithium oxide. Below about 2.5 wt % Li 2 O, as the carbon atom ionization rate was fast enough for reacting with diffusing lithium oxide to the surface of carbon electrode. In this concentration range, the oxidation rate of carbon atoms was controlled by the diffusion of lithium oxide, and the concentration of lithium oxide could be measured by electrochemical method. But, above 2.5 wt % Li 2 O, the oxidation rate of carbon atoms was controlled by the applied electrochemical potential, because the carbon atom ionization rate was suppressed by the huge amounts of diffusing Li 2 O. Above this concentration, the electrochemical method was not applicable to determine the concentration of lithium oxide

  3. Atom-scale depth localization of biologically important chemical elements in molecular layers.

    Science.gov (United States)

    Schneck, Emanuel; Scoppola, Ernesto; Drnec, Jakub; Mocuta, Cristian; Felici, Roberto; Novikov, Dmitri; Fragneto, Giovanna; Daillant, Jean

    2016-08-23

    In nature, biomolecules are often organized as functional thin layers in interfacial architectures, the most prominent examples being biological membranes. Biomolecular layers play also important roles in context with biotechnological surfaces, for instance, when they are the result of adsorption processes. For the understanding of many biological or biotechnologically relevant phenomena, detailed structural insight into the involved biomolecular layers is required. Here, we use standing-wave X-ray fluorescence (SWXF) to localize chemical elements in solid-supported lipid and protein layers with near-Ångstrom precision. The technique complements traditional specular reflectometry experiments that merely yield the layers' global density profiles. While earlier work mostly focused on relatively heavy elements, typically metal ions, we show that it is also possible to determine the position of the comparatively light elements S and P, which are found in the most abundant classes of biomolecules and are therefore particularly important. With that, we overcome the need of artificial heavy atom labels, the main obstacle to a broader application of high-resolution SWXF in the fields of biology and soft matter. This work may thus constitute the basis for the label-free, element-specific structural investigation of complex biomolecular layers and biological surfaces.

  4. Influence of carbon conductive additives on electrochemical double-layer supercapacitor parameters

    Science.gov (United States)

    Kiseleva, E. A.; Zhurilova, M. A.; Kochanova, S. A.; Shkolnikov, E. J.; Tarasenko, A. B.; Zaitseva, O. V.; Uryupina, O. V.; Valyano, G. V.

    2018-01-01

    Electrochemical double-layer capacitors (EDLC) offer energy storage technology, highly demanded for rapid transition processes in transport and stationary applications, concerned with fast power fluctuations. Rough structure of activated carbon, widely used as electrode material because of its high specific area, leads to poor electrode conductivity. Therefore there is the need for conductive additive to decrease internal resistance and to achieve high specific power and high specific energy. Usually carbon blacks are widely used as conductive additive. In this paper electrodes with different conductive additives—two types of carbon blacks and single-walled carbon nanotubes—were prepared and characterized in organic electrolyte-based EDLC cells. Electrodes are based on original wood derived activated carbon produced by potassium hydroxide high-temperature activation at Joint Institute for High Temperatures RAS. Electrodes were prepared from slurry by cold-rolling. For electrode characterization cyclic voltammetry, impedance spectra analysis, equivalent series resistance measurements and galvanostatic charge-discharge were used.

  5. Piezoelectricity of single-atomic-layer MoS2 for energy conversion and piezotronics.

    Science.gov (United States)

    Wu, Wenzhuo; Wang, Lei; Li, Yilei; Zhang, Fan; Lin, Long; Niu, Simiao; Chenet, Daniel; Zhang, Xian; Hao, Yufeng; Heinz, Tony F; Hone, James; Wang, Zhong Lin

    2014-10-23

    The piezoelectric characteristics of nanowires, thin films and bulk crystals have been closely studied for potential applications in sensors, transducers, energy conversion and electronics. With their high crystallinity and ability to withstand enormous strain, two-dimensional materials are of great interest as high-performance piezoelectric materials. Monolayer MoS2 is predicted to be strongly piezoelectric, an effect that disappears in the bulk owing to the opposite orientations of adjacent atomic layers. Here we report the first experimental study of the piezoelectric properties of two-dimensional MoS2 and show that cyclic stretching and releasing of thin MoS2 flakes with an odd number of atomic layers produces oscillating piezoelectric voltage and current outputs, whereas no output is observed for flakes with an even number of layers. A single monolayer flake strained by 0.53% generates a peak output of 15 mV and 20 pA, corresponding to a power density of 2 mW m(-2) and a 5.08% mechanical-to-electrical energy conversion efficiency. In agreement with theoretical predictions, the output increases with decreasing thickness and reverses sign when the strain direction is rotated by 90°. Transport measurements show a strong piezotronic effect in single-layer MoS2, but not in bilayer and bulk MoS2. The coupling between piezoelectricity and semiconducting properties in two-dimensional nanomaterials may enable the development of applications in powering nanodevices, adaptive bioprobes and tunable/stretchable electronics/optoelectronics.

  6. Low-temperature atomic layer deposition of MoOx for silicon heterojunction solar cells

    NARCIS (Netherlands)

    Macco, B.; Vos, M.; Thissen, N.F.W.; Bol, A.A.; Kessels, W.M.M.

    2015-01-01

    The preparation of high-quality molybdenum oxide (MoOx) is demonstrated by plasma-enhanced atomic layer deposition (ALD) at substrate temperatures down to 50 °C. The films are amorphous, slightly substoichiometric with respect to MoO3, and free of other elements apart from hydrogen (&11 at%). The

  7. Enzyme-Gelatin Electrochemical Biosensors: Scaling Down

    Directory of Open Access Journals (Sweden)

    Hendrik A. Heering

    2012-03-01

    Full Text Available In this article we investigate the possibility of scaling down enzyme-gelatin modified electrodes by spin coating the enzyme-gelatin layer. Special attention is given to the electrochemical behavior of the selected enzymes inside the gelatin matrix. A glassy carbon electrode was used as a substrate to immobilize, in the first instance, horse heart cytochrome c (HHC in a gelatin matrix. Both a drop dried and a spin coated layer was prepared. On scaling down, a transition from diffusion controlled reactions towards adsorption controlled reactions is observed. Compared to a drop dried electrode, a spin coated electrode showed a more stable electrochemical behavior. Next to HHC, we also incorporated catalase in a spin coated gelatin matrix immobilized on a glassy carbon electrode. By spincoating, highly uniform sub micrometer layers of biocompatible matrices can be constructed. A full electrochemical study and characterization of the modified surfaces has been carried out. It was clear that in the case of catalase, gluteraldehyde addition was needed to prevent leaking of the catalase from the gelatin matrix.

  8. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  9. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal; Anjum, Dalaver H.; Gogotsi, Yury; Alshareef, Husam N.

    2017-01-01

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications

  10. TEM and ellipsometry studies of nanolaminate oxide films prepared using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)]. E-mail: drm@ansto.gov.au; Attard, D.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Finnie, K.S. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Triani, G. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Barbe, C.J. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Depagne, C. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia); Bartlett, J.R. [Materials and Engineering Science, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2005-04-30

    Nanolaminate oxide layers consisting of TiO{sub 2} and Al{sub 2}O{sub 3} have been deposited on silicon using atomic layer deposition (ALD). Characterisation of these films has been achieved by use of a range of modern transmission electron microscopy (TEM)-based techniques, including plasmon loss imaging, energy filtered imaging and scanning TEM (STEM) X-ray line profiling. These have shown that the target thickness of the individual layers in the nanolaminate structures (20 nm) has been met with a high degree of accuracy, that the layers are extremely flat and parallel and that the interfaces between the layers are compositionally abrupt. Localised crystallisation within the stacks, and responses to electron beam irradiation point to the presence of a stress gradient within the layers. The performance of ellipsometry in characterising multilayer stacks has been benchmarked against the TEM measurements. Errors in determination of individual layer thicknesses were found to increase with growing stack size, as expected given the increasing number of interfaces incorporated in each model. The most sophisticated model gave maximum deviations of {+-}4 nm from the TEM determined values for the 5- and 10-layer stacks.

  11. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  12. Electrochemical behavior of hydroxyapatite/TiN multi-layer coatings on Ti alloys

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Eun-Ju [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University (Korea, Republic of); Jeong, Yong-Hoon [Biomechanics and Tissue Engineering Laboratory, Division of Orthodontics, College of Dentistry, The Ohio State University, Columbus, OH (United States); Choe, Han-Cheol, E-mail: hcchoe@chosun.ac.kr [Department of Dental Materials, Research Center of Nano-Interface Activation for Biomaterials, School of Dentistry, Chosun University (Korea, Republic of); Brantley, William A. [Division of Restorative Science and Prosthodontics, College of Dentistry, The Ohio State University, Columbus, OH (United States)

    2014-12-01

    The electrochemical behavior of hydroxyapatite (HA) and titanium nitride (TiN) multi-layer coatings on Ti–Nb–Zr alloys was investigated by a variety of surface analytical methods. The HA/TiN layers were deposited using a magnetron sputtering system. The HA target was made of human tooth-ash sintered at 1300 °C for 1 h and had an average Ca/P ratio of 1.9. From X-ray diffraction patterns, the Ti–29Nb–5Zr alloy was composed entirely of equiaxed β-phase exhibiting the principal (110) reflection, and the coating exhibited the (111) and (200) reflections for TiN and the (112) and (202) reflections for HA. At the coating surface the HA films consisted of granular particles, and the surface roughness was 4.22 nm. The thickness of the coating layers increased in the order of HA/TiN (lowest), TiN, and HA (highest). Potentiodynamic polarization measurements revealed that the corrosion current density was the lowest, and the corrosion potential and polarization resistance the highest, when the Ti–29Nb–5Zr surface was covered by the HA/TiN film, compared to solely HA or TiN films. - Highlights: • HA/TiN films were deposited by magnetron sputtering on a Ti–29Nb–5Zr biomedical alloy. • The corrosion current density for the HA/TiN films was lower than that of the non-coated alloy. • The polarization resistance of the HA/TiN films was higher than that of the non-coated alloy.

  13. Electrochemical behavior of hydroxyapatite/TiN multi-layer coatings on Ti alloys

    International Nuclear Information System (INIS)

    Kim, Eun-Ju; Jeong, Yong-Hoon; Choe, Han-Cheol; Brantley, William A.

    2014-01-01

    The electrochemical behavior of hydroxyapatite (HA) and titanium nitride (TiN) multi-layer coatings on Ti–Nb–Zr alloys was investigated by a variety of surface analytical methods. The HA/TiN layers were deposited using a magnetron sputtering system. The HA target was made of human tooth-ash sintered at 1300 °C for 1 h and had an average Ca/P ratio of 1.9. From X-ray diffraction patterns, the Ti–29Nb–5Zr alloy was composed entirely of equiaxed β-phase exhibiting the principal (110) reflection, and the coating exhibited the (111) and (200) reflections for TiN and the (112) and (202) reflections for HA. At the coating surface the HA films consisted of granular particles, and the surface roughness was 4.22 nm. The thickness of the coating layers increased in the order of HA/TiN (lowest), TiN, and HA (highest). Potentiodynamic polarization measurements revealed that the corrosion current density was the lowest, and the corrosion potential and polarization resistance the highest, when the Ti–29Nb–5Zr surface was covered by the HA/TiN film, compared to solely HA or TiN films. - Highlights: • HA/TiN films were deposited by magnetron sputtering on a Ti–29Nb–5Zr biomedical alloy. • The corrosion current density for the HA/TiN films was lower than that of the non-coated alloy. • The polarization resistance of the HA/TiN films was higher than that of the non-coated alloy

  14. The electrochemical interface of Ag(111) in 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide ionic liquid—A combined in-situ scanning probe microscopy and impedance study

    International Nuclear Information System (INIS)

    Li, Mian-Gang; Chen, Li; Zhong, Yun-Xin; Chen, Zhao-Bin; Yan, Jia-Wei; Mao, Bing-Wei

    2016-01-01

    The electrochemical interface between Ag(111) and 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl)imide (EMITFSI) has been investigated by in-situ scanning probe microscopy (SPM) and electrochemical impedance spectroscopy (EIS). In-situ scanning tunneling microscopy (STM) characterization has revealed that there is neither surface reconstruction nor strong adsorption of EMITFSI on Ag(111) surface so that EIS investigation can be fulfilled under well-defined surface condition and in the absence of pseudo capacitive process. In-situ atom force microscopy (AFM) force curve measurements further disclose that there exists five layered structures near and normal to the surface, among them three layered structures being charged and forming the electric double layer (EDL) of the interface. An electric equivalent circuit is proposed, which comprises two serial parallel branches involving the innermost layered structure and the next two layered structures in the EDL, respectively. The inner layer circuit is given by a constant phase element (CPE) in parallel to a resistor, while the outer layer circuit is given by a capacity in parallel with a resistor-Warburg element branch. Slow response is observed for the inner layer, which is attributed to the hindrance of reorientation and/or redistribution of ions in the more ordered and robust inner layer region. The inner layer capacitance and outer layer capacitance have opposing potential dependence, and the resultant double layer capacitance shows weak potential dependence.

  15. Method of bonding a conductive layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Bowker, Jeffrey C.; Singh, Prabhakar

    1989-01-01

    A dense, electronically conductive interconnection layer 26 is bonded onto a porous, tubular, electronically conductive air electrode structure 16, optionally supported by a ceramic support 22, by (A) providing an air electrode surface, (B) forming on a selected portion of the electrode surface 24, without the use of pressure, particles of LaCrO.sub.3 doped with an element selected from the group consisting of Sr, Mg, Ca, Ba, Co, and mixtures thereof, where the particles have a deposit on their surface comprising calcium oxide and chromium oxide; (C) heating the particles with the oxide surface deposit in an oxidizing atmosphere at from 1,300.degree. C. to 1,550.degree. C., without the application of pressure, to provide a dense, sintered, interconnection material 26 bonded to the air electrode 16, where calcium and chromium from the surface deposit are incorporated into the structure of the LaCrO.sub.3. A solid electrolyte layer 18 can be applied to the uncovered portion of the air electrode, and a fuel electrode 20 can be applied to the solid electrolyte, to provide an electrochemical cell 10.

  16. Photo-electrochemical and impedance investigation of passive layers grown anodically on titanium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Oliveira, N.T.C. [Departamento de Quimica, Universidade Federal de Sao Carlos, CP 676, 13560-970 Sao Carlos, SP (Brazil); Biaggio, S.R. [Departamento de Quimica, Universidade Federal de Sao Carlos, CP 676, 13560-970 Sao Carlos, SP (Brazil); Piazza, S. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy)]. E-mail: piazza@dicpm.unipa.it; Sunseri, C. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy); Di Quarto, F. [Dipartimento di Ingegneria Chimica dei Processi e dei Materiali, Universita di Palermo, Viale delle Scienze, 90128 Palermo (Italy)

    2004-10-15

    The anodic behaviour of two titanium cast alloys, obtained by fusion in a voltaic arc under argon atmosphere, was analyzed in aerated aqueous solutions having different pH values. In all solutions the alloys, having nominal compositions Ti-50Zr at.% and Ti-13Zr-13Nb wt.%, displayed a valve-metal behaviour, owing to the formation of barrier-type oxide films. Passive films, grown potentiodynamically up to about 9 V, were investigated by photocurrent spectroscopy (PCS) and electrochemical impedance spectroscopy (EIS). These passive layers show photoactivity under anodic polarizations, with optical gaps close to 3.55 and 3.25 eV for the binary and the ternary alloy, respectively, independent of the anodizing electrolyte. Films grown on the binary alloy present insulating behaviour and anodic impedance spectra with one time constant; this was interpreted in terms of a single-layer mixed Ti-Zr oxide enriched in Ti with respect to the alloy composition. Also for the ternary alloy the results are consistent with the formation, upon anodization, of Ti-Nb-Zr mixed oxide films, but they display n-type semiconducting behaviour, owing to their poor content of ZrO{sub 2} groups.

  17. TiN films by Atomic Layer Deposition: Growth and electrical characterization down to sub-nm thickness

    NARCIS (Netherlands)

    Van Hao, B.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.

    2012-01-01

    This study reports on the growth and characterization of TiN thib films obtained by atomic layer deposition at 350-425 ◦C. We observe a growth of the continuous layers from the very beginning of the process, i.e. for a thickness of 0.65 nm, which is equivalent to 3 monolayers of TiN. The film growth

  18. Investigation of hexagonal boron nitride as an atomically thin corrosion passivation coating in aqueous solution.

    Science.gov (United States)

    Zhang, Jing; Yang, Yingchao; Lou, Jun

    2016-09-09

    Hexagonal boron nitride (h-BN) atomic layers were utilized as a passivation coating in this study. A large-area continuous h-BN thin film was grown on nickel foil using a chemical vapor deposition method and then transferred onto sputtered copper as a corrosion passivation coating. The corrosion passivation performance in a Na2SO4 solution of bare and coated copper was investigated by electrochemical methods including cyclic voltammetry (CV), Tafel polarization and electrochemical impedance spectroscopy (EIS). CV and Tafel analysis indicate that the h-BN coating could effectively suppress the anodic dissolution of copper. The EIS fitting result suggests that defects are the dominant leakage source on h-BN films, and improved anti-corrosion performances could be achieved by further passivating these defects.

  19. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati; Shahid, Muhammad; Chen, Wei; Hedhili, Mohamed N.; Reuter, Mark C.; Ross, Frances M.; Alshareef, Husam N.

    2014-01-01

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery

  20. An all-solid-state electrochemical double-layer capacitor based on a plastic crystal electrolyte

    Directory of Open Access Journals (Sweden)

    Ali eaabouimrane

    2015-08-01

    Full Text Available A plastic crystal, solid electrolyte was prepared by mixing tetrabutylammonium hexafluorophosphate salt, (C4H94NPF6, (10 molar % with succinonitrile, SCN, (N C−CH2−CH2−C N, [SCN-10%TBA-PF6]. The resultant waxy material shows a plastic crystalline phase that extend from -36 °C up to its melting at 23 °C. It shows a high ionic conductivity reaching 4 × 10−5 S/cm in the plastic crystal phase (15 °C and ~ 3 × 10−3 S/cm in the molten state (25 °C. These properties along with the high electrochemical stability rendered the use of this material as an electrolyte in an electrochemical double-layer capacitor (EDLC. The EDLC was assembled and its performance was tested by cyclic voltammetry, AC impedance spectroscopy and galvanostatic charge-discharge methods. Specific capacitance values in the range of 4-7 F/g. (of electrode active material were obtained in the plastic crystal phase at 15 °C, that although compare well with those reported for some polymer electrolytes, can be still enhanced with further development of the device and its components, and only demonstrate their great potential use for capacitors as a new application.

  1. An All-Solid-State Electrochemical Double-Layer Capacitor Based on a Plastic Crystal Electrolyte

    Energy Technology Data Exchange (ETDEWEB)

    Abouimrane, Ali; Belharouak, Ilias [Qatar Environment and Energy Research Institute, Qatar Foundation, Doha (Qatar); Abu-Lebdeh, Yaser A., E-mail: yaser.abu-lebdeh@nrc.gc.ca [Energy, Mining and Environment Portfolio and Automotive and Surface Transportation Portfolio, National Research Council of Canada, Ottawa, ON (Canada)

    2015-08-18

    A plastic crystal, solid electrolyte was prepared by mixing tetrabutylammonium hexafluorophosphate salt, (C{sub 4}H{sub 9}){sub 4}-NPF{sub 6}, (10 molar %) with succinonitrile, SCN, (N≡C−CH{sub 2}−CH{sub 2}−C≡N), [SCN-10%TBA-PF{sub 6}]. The resultant waxy material shows a plastic crystalline phase that extends from −36°C up to its melting at 23°C. It shows a high ionic conductivity reaching 4 × 10{sup -5} S/cm in the plastic crystal phase (15°C) and ~ 3 × 10{sup -3} S/cm in the molten state (25°C). These properties along with the high electrochemical stability rendered the use of this material as an electrolyte in an electrochemical double-layer capacitor (EDLC). The EDLC was assembled, and its performance was tested by cyclic voltammetry, AC impedance spectroscopy, and galvanostatic charge–discharge methods. Specific capacitance values in the range of 4–7 F/g (of electrode active material) were obtained in the plastic crystal phase at 15°C, that although compare well with those reported for some polymer electrolytes, can be still enhanced with further development of the device and its components, and only demonstrate their great potential use for capacitors as a new application.

  2. An All-Solid-State Electrochemical Double-Layer Capacitor Based on a Plastic Crystal Electrolyte

    International Nuclear Information System (INIS)

    Abouimrane, Ali; Belharouak, Ilias; Abu-Lebdeh, Yaser A.

    2015-01-01

    A plastic crystal, solid electrolyte was prepared by mixing tetrabutylammonium hexafluorophosphate salt, (C 4 H 9 ) 4 -NPF 6 , (10 molar %) with succinonitrile, SCN, (N≡C−CH 2 −CH 2 −C≡N), [SCN-10%TBA-PF 6 ]. The resultant waxy material shows a plastic crystalline phase that extends from −36°C up to its melting at 23°C. It shows a high ionic conductivity reaching 4 × 10 -5 S/cm in the plastic crystal phase (15°C) and ~ 3 × 10 -3 S/cm in the molten state (25°C). These properties along with the high electrochemical stability rendered the use of this material as an electrolyte in an electrochemical double-layer capacitor (EDLC). The EDLC was assembled, and its performance was tested by cyclic voltammetry, AC impedance spectroscopy, and galvanostatic charge–discharge methods. Specific capacitance values in the range of 4–7 F/g (of electrode active material) were obtained in the plastic crystal phase at 15°C, that although compare well with those reported for some polymer electrolytes, can be still enhanced with further development of the device and its components, and only demonstrate their great potential use for capacitors as a new application.

  3. History of atomic layer deposition and its relationship with the American Vacuum Society

    NARCIS (Netherlands)

    Parsons, G.N.; Elam, J.W.; George, S.M.; Haukka, S.; Jeon, H.; Kessels, W.M.M.; Leskelä, M.; Poodt, P.; Ritala, M.; Rossnagel, S.M.

    2013-01-01

    This article explores the history of atomic layer deposition (ALD) and its relationship with the American Vacuum Society (AVS). The authors describe the origin and history of ALD science in the 1960s and 1970s. They also report on how the science and technology of ALD progressed through the 1990s

  4. Atomic layer deposition grown composite dielectric oxides and ZnO for transparent electronic applications

    International Nuclear Information System (INIS)

    Gieraltowska, S.; Wachnicki, L.; Witkowski, B.S.; Godlewski, M.; Guziewicz, E.

    2012-01-01

    In this paper, we report on transparent transistor obtained using laminar structure of two high-k dielectric oxides (hafnium dioxide, HfO 2 and aluminum oxide, Al 2 O 3 ) and zinc oxide (ZnO) layer grown at low temperature (60 °C–100 °C) using Atomic Layer Deposition (ALD) technology. Our research was focused on the optimization of technological parameters for composite layers Al 2 O 3 /HfO 2 /Al 2 O 3 for thin film transistor structures with ZnO as a channel and a gate layer. We elaborate on the ALD growth of these oxides, finding that the 100 nm thick layers of HfO 2 and Al 2 O 3 exhibit fine surface flatness and required amorphous microstructure. Growth parameters are optimized for the monolayer growth mode and maximum smoothness required for gating.

  5. Plasma-enhanced atomic-layer-deposited MoO{sub x} emitters for silicon heterojunction solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ziegler, Johannes; Schneider, Thomas; Sprafke, Alexander N. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Mews, Mathias; Korte, Lars [Helmholtz-Zentrum Berlin fuer Materialien und Energie GmbH, Institute for Silicon-Photovoltaics, Berlin (Germany); Kaufmann, Kai [Fraunhofer Center for Silicon Photovoltaics CSP, Halle (Germany); University of Applied Sciences, Hochschule Anhalt Koethen, Koethen (Germany); Wehrspohn, Ralf B. [Martin-Luther-University Halle-Wittenberg, mu-MD Group, Institute of Physics, Halle (Germany); Fraunhofer Institute for Mechanics of Materials IWM Halle, Halle (Germany)

    2015-09-15

    A method for the deposition of molybdenum oxide (MoO{sub x}) with high growth rates at temperatures below 200 C based on plasma-enhanced atomic layer deposition is presented. The stoichiometry of the over-stoichiometric MoO{sub x} films can be adjusted by the plasma parameters. First results of these layers acting as hole-selective contacts in silicon heterojunction solar cells are presented and discussed. (orig.)

  6. Evaluation of atomic layer deposited alumina as a protective layer for domestic silver articles: Anti-corrosion test in artificial sweat

    Science.gov (United States)

    Park, Suk Won; Han, Gwon Deok; Choi, Hyung Jong; Prinz, Fritz B.; Shim, Joon Hyung

    2018-05-01

    This study evaluated the effectiveness of alumina fabricated by atomic layer deposition (ALD) as a protective coating for silver articles against the corrosion caused by body contact. An artificial sweat solution was used to simulate body contact. ALD alumina layers of varying thicknesses ranging from 20 to 80 nm were deposited on sputtered silver samples. The stability of the protective layer was evaluated by immersing the coated samples in the artificial sweat solution at 25 and 35 °C for 24 h. We confirmed that a sufficiently thick layer of ALD alumina is effective in protecting the shape and light reflectance of the underlying silver, whereas the uncoated bare silver is severely degraded by the artificial sweat solution. Inductively coupled plasma mass spectrometry and X-ray photoelectron spectroscopy were used for in-depth analyses of the chemical stability of the ALD-coated silver samples after immersion in the sweat solution.

  7. Electrochemical deposition and characterization of Zn-Al layered double hydroxides (LDHs) films on magnesium alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Fengxia; Liang, Jun, E-mail: jliang@licp.cas.cn; Peng, Zhenjun; Liu, Baixing

    2014-09-15

    Highlights: • Zn-Al LDHs film was prepared on AZ91D Mg alloy by electrochemical deposition. • The Zn-Al LDHs film was uniform and dense with some small flaws and cracks. • The Zn-Al LDHs film had high adhesion and good corrosion protection to Mg alloy. - Abstract: A zinc-aluminum layered double hydroxides (Zn-Al LDHs) film was prepared on AZ91D magnesium (Mg) alloy substrate by electrochemical deposition method. The characteristics of the film were investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR) and scanning electronic microscope (SEM). It was found that the electrodeposited film was composed of crystalline Zn-Al LDHs with nitrate intercalation. The Zn-Al LDHs film was uniform and dense though there also presented some small flaws and cracks. The cross cut tape test showed that the film adhered well to the substrate. Polarization and EIS measurements revealed that the LDHs coated Mg alloy had better corrosion resistance compared to that of the uncoated one in 3.5 wt.% NaCl solution, indicating that the Zn-Al LDHs film could effectively protect Mg alloy from corrosion.

  8. Electrochemical deposition and characterization of Zn-Al layered double hydroxides (LDHs) films on magnesium alloy

    International Nuclear Information System (INIS)

    Wu, Fengxia; Liang, Jun; Peng, Zhenjun; Liu, Baixing

    2014-01-01

    Highlights: • Zn-Al LDHs film was prepared on AZ91D Mg alloy by electrochemical deposition. • The Zn-Al LDHs film was uniform and dense with some small flaws and cracks. • The Zn-Al LDHs film had high adhesion and good corrosion protection to Mg alloy. - Abstract: A zinc-aluminum layered double hydroxides (Zn-Al LDHs) film was prepared on AZ91D magnesium (Mg) alloy substrate by electrochemical deposition method. The characteristics of the film were investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR) and scanning electronic microscope (SEM). It was found that the electrodeposited film was composed of crystalline Zn-Al LDHs with nitrate intercalation. The Zn-Al LDHs film was uniform and dense though there also presented some small flaws and cracks. The cross cut tape test showed that the film adhered well to the substrate. Polarization and EIS measurements revealed that the LDHs coated Mg alloy had better corrosion resistance compared to that of the uncoated one in 3.5 wt.% NaCl solution, indicating that the Zn-Al LDHs film could effectively protect Mg alloy from corrosion

  9. CVD synthesis of large-area, highly crystalline MoSe2 atomic layers on diverse substrates and application to photodetectors.

    Science.gov (United States)

    Xia, Jing; Huang, Xing; Liu, Ling-Zhi; Wang, Meng; Wang, Lei; Huang, Ben; Zhu, Dan-Dan; Li, Jun-Jie; Gu, Chang-Zhi; Meng, Xiang-Min

    2014-08-07

    Synthesis of large-area, atomically thin transition metal dichalcogenides (TMDs) on diverse substrates is of central importance for the large-scale fabrication of flexible devices and heterojunction-based devices. In this work, we successfully synthesized a large area of highly-crystalline MoSe2 atomic layers on SiO2/Si, mica and Si substrates using a simple chemical vapour deposition (CVD) method at atmospheric pressure. Atomic force microscopy (AFM) and Raman spectroscopy reveal that the as-grown ultrathin MoSe2 layers change from a single layer to a few layers. Photoluminescence (PL) spectroscopy demonstrates that while the multi-layer MoSe2 shows weak emission peaks, the monolayer has a much stronger emission peak at ∼ 1.56 eV, indicating the transition from an indirect to a direct bandgap. Transmission electron microscopy (TEM) analysis confirms the single-crystallinity of MoSe2 layers with a hexagonal structure. In addition, the photoresponse performance of photodetectors based on MoSe2 monolayer was studied for the first time. The devices exhibit a rapid response of ∼ 60 ms and a good photoresponsivity of ∼ 13 mA/W (using a 532 nm laser at an intensity of 1 mW mm(-2) and a bias of 10 V), suggesting that MoSe2 monolayer is a promising material for photodetection applications.

  10. The preparation and properties of a novel electrolyte of electrochemical double layer capacitors based on LiPF6 and acetamide

    International Nuclear Information System (INIS)

    Li Qi; Zuo Xiaoxi; Liu Jiansheng; Xiao Xin; Shu Dong; Nan Junmin

    2011-01-01

    A novel electrolyte applied in electrochemical double-layer capacitors (EDLCs) has been prepared based on lithium hexafluorophosphate (LiPF 6 ) and acetamide and subsequently characterized by differential scanning calorimetry (DSC), thermal gravimetric analysis (TGA), electrochemical techniques and so on. The mixtures of LiPF 6 and acetamide at the molar ratios of 1:4 to 1:6 exist as liquids below 25 °C, which is attributed to the melting point depression of mixture and the coordination of the polar groups (C=O and NH groups) of acetamide with Li + and PF 6 − ions. The strong interaction between LiPF 6 and acetamide results in the rupture of the electrovalent bond of LiPF 6 and the breakage of hydrogen bonds among the acetamide molecules, leading to the formation of a liquid electrolyte. The LiPF 6 /acetamide electrolyte with a molar ratio of 1:5.5 exhibits a 5.2 V electrochemical window and suitable ionic conductivity at room temperature. In particular, the coin-type cells with carbon electrodes and LiPF 6 /acetamide electrolyte possess high thermal stability and electrochemical properties, showing that the as-prepared LiPF 6 /acetamide electrolyte is a promising candidate for EDLCs.

  11. Co-extrusion of electrolyte/anode functional layer/anode triple-layer ceramic hollow fibres for micro-tubular solid oxide fuel cells-electrochemical performance study

    Science.gov (United States)

    Li, Tao; Wu, Zhentao; Li, K.

    2015-01-01

    In this study, the effects of an anode functional layer (AFL) with controlled thickness on physical and electrochemical properties of a micro-tubular SOFC have been systematically studied. A series of electrolyte/AFL/anode triple-layer hollow fibres with controllable AFL thicknesses (16.9-52.7 μm) have been fabricated via a single-step phase-inversion assisted co-extrusion technique. Both robustness of the cell and gas-tightness of the electrolyte layer are considerably improved by introducing the AFL of this type. The fracture force of the sample with the thickest AFL (9.67 N) almost doubles when compared to the electrolyte/anode dual-layer counterpart (5.24 N). Gas-tightness of the electrolyte layer is also considerably increased as AFL contributes to better-matched sintering behaviours between different components. Moreover, the formation of an AFL simultaneously with electrolyte and anode significantly improves the cell performances. The sample with the thinnest AFL (approximately 16.9 μm, 6% of the total anode thickness) leads to a 30% (from 0.89 to 1.21 W cm-2) increase in maximum power density, due to increased triple-phase boundaries (TPB). However, further increase in TPB from a thicker AFL is less effective for improving the cell performance, due to the substantially increased fuel diffusion resistance and subsequently higher concentration polarization. This indicates that the control over the AFL thickness is critically important in avoiding offsetting the benefits of extended TPB and consequently decreased cell performances.

  12. Synthesis and electrochemical properties of layered structure Li[Ni0.5Co0.25Mn0.25]O2 cathode material

    International Nuclear Information System (INIS)

    Prathibha, G.; Rosaiah, P.; Reddy, B. Purusottam; Ganesh, K. Sivajee; Hussain, O. M.

    2015-01-01

    Lithium ion (Li-ion) batteries are currently the energy source of choice for cell phones, laptops, and other mobile electronic devices due to their balance of high energy density with high power density compared to other electrochemical energy carriers. In the present study, mixed hydroxide method is used to prepare Li[Ni 0.5 Co 0.25 Mn 0.25 ]O 2 from the precursors and analyze qualitatively and studied the electrochemical properties. The XRD spectrum exhibited predominant (003) orientation at 2θ =18.39 o corresponding to hexagonal layered structure of R3m symmetry with evaluated lattice parameters are a= 2.84 Å, c= 14.43 Å. Raman measurements were performed to understand the microstructure and vibrational modes of the prepared sample. From the electrochemical (EC) studies an initial discharge capacity of about 140 mAhg −1 with good cyclic stability was observed for the prepared sample in the potential range 0.0 −1.0V in aqueous medium

  13. Electrochemical fabrication of Sn nanowires on titania nanotube guide layers

    International Nuclear Information System (INIS)

    Djenizian, Thierry; Hanzu, Ilie; Premchand, Yesudas D; Vacandio, Florence; Knauth, Philippe

    2008-01-01

    We describe a novel approach for the fabrication of tailored nanowires using a two-step electrochemical process. It is demonstrated that self-organized TiO 2 nanotubes can be used to activate and guide the electrochemical growth of Sn crystallites, leading to the formation of vertical features with a high aspect ratio. We show that the dimensions and the density of Sn crystallites depend on the electrodeposition parameters

  14. Coking- and sintering-resistant palladium catalysts achieved through atomic layer deposition.

    Science.gov (United States)

    Lu, Junling; Fu, Baosong; Kung, Mayfair C; Xiao, Guomin; Elam, Jeffrey W; Kung, Harold H; Stair, Peter C

    2012-03-09

    We showed that alumina (Al(2)O(3)) overcoating of supported metal nanoparticles (NPs) effectively reduced deactivation by coking and sintering in high-temperature applications of heterogeneous catalysts. We overcoated palladium NPs with 45 layers of alumina through an atomic layer deposition (ALD) process that alternated exposures of the catalysts to trimethylaluminum and water at 200°C. When these catalysts were used for 1 hour in oxidative dehydrogenation of ethane to ethylene at 650°C, they were found by thermogravimetric analysis to contain less than 6% of the coke formed on the uncoated catalysts. Scanning transmission electron microscopy showed no visible morphology changes after reaction at 675°C for 28 hours. The yield of ethylene was improved on all ALD Al(2)O(3) overcoated Pd catalysts.

  15. Atomic Layer Deposition of Electron Selective SnOx and ZnO Films on Mixed Halide Perovskite: Compatibility and Performance.

    Science.gov (United States)

    Hultqvist, Adam; Aitola, Kerttu; Sveinbjörnsson, Kári; Saki, Zahra; Larsson, Fredrik; Törndahl, Tobias; Johansson, Erik; Boschloo, Gerrit; Edoff, Marika

    2017-09-06

    The compatibility of atomic layer deposition directly onto the mixed halide perovskite formamidinium lead iodide:methylammonium lead bromide (CH(NH 2 ) 2 , CH 3 NH 3 )Pb(I,Br) 3 (FAPbI 3 :MAPbBr 3 ) perovskite films is investigated by exposing the perovskite films to the full or partial atomic layer deposition processes for the electron selective layer candidates ZnO and SnO x . Exposing the samples to the heat, the vacuum, and even the counter reactant of H 2 O of the atomic layer deposition processes does not appear to alter the perovskite films in terms of crystallinity, but the choice of metal precursor is found to be critical. The Zn precursor Zn(C 2 H 5 ) 2 either by itself or in combination with H 2 O during the ZnO atomic layer deposition (ALD) process is found to enhance the decomposition of the bulk of the perovskite film into PbI 2 without even forming ZnO. In contrast, the Sn precursor Sn(N(CH 3 ) 2 ) 4 does not seem to degrade the bulk of the perovskite film, and conformal SnO x films can successfully be grown on top of it using atomic layer deposition. Using this SnO x film as the electron selective layer in inverted perovskite solar cells results in a lower power conversion efficiency of 3.4% than the 8.4% for the reference devices using phenyl-C 70 -butyric acid methyl ester. However, the devices with SnO x show strong hysteresis and can be pushed to an efficiency of 7.8% after biasing treatments. Still, these cells lacks both open circuit voltage and fill factor compared to the references, especially when thicker SnO x films are used. Upon further investigation, a possible cause of these losses could be that the perovskite/SnO x interface is not ideal and more specifically found to be rich in Sn, O, and halides, which is probably a result of the nucleation during the SnO x growth and which might introduce barriers or alter the band alignment for the transport of charge carriers.

  16. Electron-stimulated desorption of cesium atoms from cesium layers adsorbed on gold-covered tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Ageev, V N; Kuznetsov, Yu A; Potekhina, N D, E-mail: kuznets@ms.ioffe.r [A F Ioffe Physico-Technical Institute, Russian Academy of Sciences, 194021, St Petersburg (Russian Federation)

    2010-03-03

    The electron-stimulated desorption (ESD) yields and energy distributions (ED) for neutral cesium atoms have been measured from cesium layers adsorbed on a gold-covered tungsten surface as a function of electron energy, gold film thickness, cesium coverage and substrate temperature. The measurements have been carried out using a time-of-flight method and surface ionization detector in the temperature range 160-300 K. A measurable ESD yield for Cs atoms is observed only after deposition of more than one monolayer of gold and cesium on a tungsten surface at a temperature T = 300 K, which is accompanied by the formation of a CsAu semiconductor film covered with a cesium atom monolayer. The Cs atom ESD yield as a function of incident electron energy has a resonant character and consists of two peaks, the appearance of which depends on both electron energy and substrate temperature. The first peak has an appearance threshold at an electron energy of 57 eV and a substrate temperature of 300 K that is due to Au 5p{sub 3/2} core level excitation in the substrate. The second peak appears at an electron energy of 24 eV and a substrate temperature of 160 K. It is associated with a Cs 5s core level excitation in the Cs adsorbed layer. The Au 5p{sub 3/2} level excitation corresponds to a single broad peak in the ED with a maximum at a kinetic energy of 0.45 eV at a substrate temperature T = 300 K, which is split into two peaks with maxima at kinetic energies of 0.36 and 0.45 eV at a substrate temperature of 160 K, associated with different Cs atom ESD channels. The Cs 5s level excitation leads to an ED for Cs atoms with a maximum at a kinetic energy of approx 0.57 eV which exists only at T < 240 K and low Cs concentrations. The mechanisms for all the Cs atom ESD channels are proposed and compared with the Na atom ESD channels in the Na-Au-W system.

  17. Bifunctional sensor of pentachlorophenol and copper ions based on nanostructured hybrid films of humic acid and exfoliated layered double hydroxide via a facile layer-by-layer assembly

    International Nuclear Information System (INIS)

    Yuan, Shuang; Peng, Dinghua; Hu, Xianluo; Gong, Jingming

    2013-01-01

    Graphical abstract: -- Highlights: •A new highly sensitive bifunctional electrochemical sensor developed. •As-prepared sensor fabricated by alternate assembly of HA and exfoliated LDH nanosheets. •Such a newly designed sensor combining the individual properties of HA and LDH nanosheets. •Simultaneous determination of pentachlorophenol and copper ions achieved. •Practical applications demonstrated in water samples. -- Abstract: A new, highly sensitive bifunctional electrochemical sensor for the simultaneous determination of pentachlorophenol (PCP) and copper ions (Cu 2+ ) has been developed, where organic–inorganic hybrid ultrathin films were fabricated by alternate assembly of humic acid (HA) and exfoliated Mg–Al-layered double hydroxide (LDH) nanosheets onto ITO substrates via a layer-by-layer (LBL) approach. The multilayer films were then characterized by means of UV–vis spectrometry, scanning electron microscopy (SEM), and atomic force microscope (AFM). These films were found to have a relatively smooth surface with almost equal amounts of HA incorporated in each cycle. Its electrochemical performance was systematically investigated. Our results demonstrate that such a newly designed (LDH/HA) n multilayer films, combining the individual properties of HA (dual recognition ability for organic herbicides and metal ions) together with LDH nanosheets (a rigid inorganic matrix), can be applied to the simultaneous analysis of PCP and Cu(II) without interference from each other. The LBL assembled nanoarchitectures were further investigated by X-ray photoelectron spectroscopy (XPS) and infrared spectroscopy (IR), which provides insight for bifunctional sensing behavior. Under the optimized conditions, the detection limit was found to be as low as 0.4 nM PCP, well below the guideline value of PCP in drinking water (3.7 nM) set by the United States Environmental Protection Agency (U.S. EPA), and 2.0 nM Cu 2+ , much below the guideline value (2.0 mg L −1

  18. Electrochemical study of RuO2 and/or TiO2 pyrolytic films on titanium in the range of voltage corresponding to water stability

    International Nuclear Information System (INIS)

    Barral, Gerard

    1988-01-01

    This research thesis can be considered as a preliminary part of the investigation of electrocatalytic properties of ruthenium and titanium dioxides. It proposes a presentation of electrochemical properties of interfaces between these oxides and the aqueous electrolyte in a voltage range corresponding to thermodynamic stabilities of water and dioxides. After a bibliographical study of methods of preparation of these materials and on the influence of the preparation mode on their physical characteristics and transient electrochemical behaviours, the author reports a detailed study of the hydrogen atom electro-sorption reaction. He discusses the variation of the main electrostatic characteristics of the space charge layer of various semiconducting phases with respect to the initial electric potential between the ends of this layer. He reports the experimental study of electrodes with porous ruthenium and / or titanium dioxides formed by pyrolysis or co-pyrolysis of chlorides of these metals [fr

  19. Electrocatalytic activity of atomic layer deposited Pt-Ru catalysts onto N-doped carbon nanotubes

    NARCIS (Netherlands)

    Johansson, A.-C.; Larsen, J.V.; Verheijen, M.A.; Haugshøj, K.B.; Clausen, H.; Kessels, W.M.M.; Christensen, L.H.; Thomsen, E.V.

    2014-01-01

    Pt-Ru catalysts of various compositions, between 0 and 100 at.% of Ru, were deposited onto N-doped multi-walled carbon nanotubes (N-CNTs) by atomic layer deposition (ALD) at 250 C. The Pt and Ru precursors were trimethyl(methylcyclopentadienyl)platinum (MeCpPtMe3) and

  20. Atomic-level Electron Microscopy of Metal and Alloy Electrocatalysts

    DEFF Research Database (Denmark)

    Deiana, Davide

    , the elemental distribution of the PtxY, before and after the electrochemical tests, has been determined. A core-shell structure is formed after the ORR chemical treatment, with an alloyed core embedded by a ~1 nm Pt-rich shell, due to the segregation of the Y from the first few atomic layers of the particle...... was the only matching structure. In the case of Pd−Hg, a core-shell structure has been found, with a pure Pd core and a Pd-Hg shell. Through atomic resolution STEM, the structure of the alloy in the shell of different particles has been revealed, showing the formation of an ordered alloy structure....... flat surfaces and exposed to different sintering conditions. Ex situ STEM imaging has been used to monitor the variation of the particle dimensions through the analysis of particle area distributions. Clusters with a monomodal size distribution exhibited intrinsic sintering resistance on different...

  1. Diluent changes the physicochemical and electrochemical properties of the electrophoretically-deposited layers of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Benko, Aleksandra, E-mail: akbenko@gmail.com [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Nocuń, Marek [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland); Berent, Katarzyna; Gajewska, Marta [AGH University of Science and Technology, Academic Centre for Materials and Nanotechnology, A. Mickiewicza 30 Ave, 30-059, Krakow (Poland); Klita, Łukasz; Wyrwa, Jan; Błażewicz, Marta [AGH University of Science and Technology, Faculty of Materials Science and Ceramics, A. Mickiewicza 30 Ave., 30-059, Krakow (Poland)

    2017-05-01

    Highlights: • Different properties of the EPD-deposited CNTs layers may be altered by changing the applied solvent. • More conductive solvents guarantee higher values of the recorded current densities, increasing kinetics of the deposition and yielding layers of higher thicknesses. • In a less conductive, organic medium, mobility of the particles is reduced, allowing for optimal packing and densification of the CNTs layer. • Proper solvent selection in the EPD of CNTs may lead to obtainment of CNTs—substrate materials with conductivity that is superior to an unmodified substrate. - Abstract: Coating the material of choice with a layer of well-adhered carbon nanotubes is a subject of interest in many fields of materials science and industry. Electrophoretic deposition is one of the methods to handle this challenging task. In this process, careful designing of the deposition parameters is crucial in obtaining the product of strictly desired properties. This study was aimed to identify the influence of the diluent on the physicochemical ad electrochemical qualities of the final product. By analyzing the properties of the suspensions being used, we were able to hypothesize on the mechanisms of carbon nanotubes—liquid interactions and their outcome on the thickness, homogeneity, chemical and structural composition and electrical conductivity of the metal substrate covered with a layer of carbon nanotubes. We obtained a materials, composed of metal and a layer of CNTs, with conductivity that is superior to an unmodified metal. This types of materials may find numerous applications in fabrication of novel electronic devices, including the implantable electrodes for biomedicine—as reported in our previous studies, these types of coating are biocompatible.

  2. MoS2 solid-lubricating film fabricated by atomic layer deposition on Si substrate

    Science.gov (United States)

    Huang, Yazhou; Liu, Lei; Lv, Jun; Yang, Junjie; Sha, Jingjie; Chen, Yunfei

    2018-04-01

    How to reduce friction for improving efficiency in the usage of energy is a constant challenge. Layered material like MoS2 has long been recognized as an effective surface lubricant. Due to low interfacial shear strengths, MoS2 is endowed with nominal frictional coefficient. In this work, MoS2 solid-lubricating film was directly grown by atomic layer deposition (ALD) on Si substrate using MoCl5 and H2S. Various methods were used to observe the grown MoS2 film. Moreover, nanotribological properties of the film were observed by an atomic force microscope (AFM). Results show that MoS2 film can effectively reduce the friction force by about 30-45% under different loads, indicating the huge application value of the film as a solid lubricant. Besides the interlayer-interfaces-sliding, the smaller capillary is another reason why the grown MoS2 film has smaller friction force than that of Si.

  3. Evolution of microstructure and related optical properties of ZnO grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Adib Abou Chaaya

    2013-10-01

    Full Text Available A study of transmittance and photoluminescence spectra on the growth of oxygen-rich ultra-thin ZnO films prepared by atomic layer deposition is reported. The structural transition from an amorphous to a polycrystalline state is observed upon increasing the thickness. The unusual behavior of the energy gap with thickness reflected by optical properties is attributed to the improvement of the crystalline structure resulting from a decreasing concentration of point defects at the growth of grains. The spectra of UV and visible photoluminescence emissions correspond to transitions near the band-edge and defect-related transitions. Additional emissions were observed from band-tail states near the edge. A high oxygen ratio and variable optical properties could be attractive for an application of atomic layer deposition (ALD deposited ultrathin ZnO films in optical sensors and biosensors.

  4. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  5. Thin films of copper oxide and copper grown by atomic layer deposition for applications in metallization systems of microelectronic devices

    Energy Technology Data Exchange (ETDEWEB)

    Waechtler, Thomas

    2010-05-25

    Copper-based multi-level metallization systems in today's ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(trin- butylphosphane)copper(I)acetylacetonate [({sup n}Bu{sub 3}P){sub 2}Cu(acac)]. This liquid, non-fluorinated {beta}-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160 C. Typical ALD-like growth behavior arises between 100 and 130 C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and selfsaturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent

  6. Texture variations and atomic dislocations by Ar-irradiation in Au and NbN sputtered layers

    International Nuclear Information System (INIS)

    Jung, V.

    1988-02-01

    Irradiation of Au and NbN sputtered layers with Ar ++ ions of 600 keV leads to a narrower orientation distribution of the [111] direction of the Au layers from 12 0 FWHM to 6 0 and to only very small FWHM changes in texture distributions of the NbN layers. But the FWHM of the reflex distribution of the irradiated NbN layers is increased significantly from ΔΘ = 0.65 0 to 1.17 0 for one sample position. This is caused by small atomic dislocations in the NbN lattice. The FWHM of reflex distribution of the Au layers increased only from ΔΘ = 0.60 0 to 0.65 0 after irradiation. Oblique incidence of Ar ++ ions causes, by absence of channeling, stronger distortions than perpendicular incidence. (orig.) [de

  7. Surface reactions during atomic layer deposition of Pt derived from gas phase infrared spectroscopy

    NARCIS (Netherlands)

    Kessels, W.M.M.; Knoops, H.C.M.; Dielissen, S.A.F.; Mackus, A.J.M.; Sanden, van de M.C.M.

    2009-01-01

    Infrared spectroscopy was used to obtain absolute number information on the reaction products during atomic layer deposition of Pt from (methylcyclopentadienyl)trimethylplatinum [(MeCp)PtMe3] and O2. From the detection of CO2 and H2O it was established that the precursor ligands are oxidatively

  8. Electrochemical method for transferring graphene

    DEFF Research Database (Denmark)

    2015-01-01

    The present application discloses a method for separating a graphene-support layer laminate from a conducting substrate-graphene-support layer laminate, using a gentle, controllable electrochemical method. In this way, substrates which are fragile, expensive or difficult to manufacture can be used...... - and even re-used - without damage or destruction of the substrate or the graphene....

  9. Electrografting of carboxyphenyl thin layer onto gold for DNA and enzyme immobilization

    International Nuclear Information System (INIS)

    Nowicka, Anna M.; Fau, Michal; Kowalczyk, Agata; Strawski, Marcin; Stojek, Zbigniew

    2014-01-01

    The convenient functionalization of metal surfaces by carboxyphenyl groups in aprotic media is not possible for two reasons. First, carboxy derivatives of diazonium salts are very unstable and, second, the electroreduction product is soluble in the solvent. So, the optimization of the conditions of the electrografting of the metal surfaces by applying aqueous solutions is much needed. Compared to earlier cyclic voltammetry approaches we have shown that the chronoamperometric deposition is more convenient. The constant potential equal to the voltammetric peak potential and the molar ratio 1:1 for the substrates: 4-aminobenzoic acid and NaNO 2 as the diazotization agent, in 0.5 M HCl, appeared to be very satisfying conditions for the deposition of a thin layer of deposit of perpendicularly oriented carboxyphenyl groups at the Au surface and for maximal elimination of the influence of the side-reactions products. Under the determined conditions the immobilization of DNA strands was optimal and the deposited laccase layer was tightly packed and very efficient toward the electroreduction of oxygen. Electrochemical impedance spectroscopy, electrochemical quartz crystal microbalance, cyclic voltammetry, chronocoulometry, atomic force microscopy, contact angle measurements and UV–Vis spectroscopy of the solution were used to characterize the electrografted carboxyphenyl layers and subsequent oligonucleotide and enzyme immobilization process

  10. Surface modification and electrochemical behaviour of undoped nanodiamonds

    International Nuclear Information System (INIS)

    Zang Jianbing; Wang Yanhui; Bian Linyan; Zhang Jinhui; Meng Fanwei; Zhao Yuling; Ren Shubin; Qu Xuanhui

    2012-01-01

    Surface modifications of undoped nanodiamond (ND) particles were carried out through different annealing treatments. The methods of Fourier transform infrared spectroscopy, Raman spectroscopy, and transmission electron microscopy were used to characterize the ND surface before and after the annealing process. The electrochemical properties of the modified ND powders in aqueous solution were investigated with Fe(CN) 6 3−/4− as a redox probe. When the annealing temperature was below 850 °C, vacuum annealing removed parts of the oxygen-containing surface functionalities from the ND surface and produced more sp 2 carbon atoms in the shell. The charge transfer of the Fe(CN) 6 3−/4− redox couple decreased with increasing annealing temperature. Re-annealing in air restored the original surface conditions: few sp 2 -bonded carbon atoms and similar surface functionalities, and thus the electrochemical activity. When ND was annealed in vacuum at 900–1100 °C, more serious graphitization produced a continuous fullerenic shell wrapped around a diamond core, which had a high conductivity and electrochemical activity. This provides a novel nanoparticle with high conductivity and high stability for electrochemical applications.

  11. Magnetic resonance of rubidium atoms passing through a multi-layered transmission magnetic grating

    International Nuclear Information System (INIS)

    Nagata, Y; Kurokawa, S; Hatakeyama, A

    2017-01-01

    We measured the magnetic resonance of rubidium atoms passing through periodic magnetic fields generated by two types of multi-layered transmission magnetic grating. One of the gratings reported here was assembled by stacking four layers of magnetic films so that the direction of magnetization alternated at each level. The other grating was assembled so that the magnetization at each level was aligned. For both types of grating, the experimental results were in good agreement with our calculations. We studied the feasibility of extending the frequency band of the grating and narrowing its resonance linewidth by performing calculations. For magnetic resonance precision spectroscopy, we conclude that the multi-layered transmission magnetic grating can generate periodic fields with narrower linewidths at higher frequencies when a larger number of layers are assembled at a shorter period length. Moreover, the frequency band of this type of grating can potentially achieve frequencies of up to hundreds of PHz. (paper)

  12. Conduction mechanisms in thin atomic layer deposited Al2O3 layers

    International Nuclear Information System (INIS)

    Spahr, Holger; Montzka, Sebastian; Reinker, Johannes; Hirschberg, Felix; Kowalsky, Wolfgang; Johannes, Hans-Hermann

    2013-01-01

    Thin Al 2 O 3 layers of 2–135 nm thickness deposited by thermal atomic layer deposition at 80 °C were characterized regarding the current limiting mechanisms by increasing voltage ramp stress. By analyzing the j(U)-characteristics regarding ohmic injection, space charge limited current (SCLC), Schottky-emission, Fowler-Nordheim-tunneling, and Poole-Frenkel-emission, the limiting mechanisms were identified. This was performed by rearranging and plotting the data in a linear scale, such as Schottky-plot, Poole-Frenkel-plot, and Fowler-Nordheim-plot. Linear regression then was applied to the data to extract the values of relative permittivity from Schottky-plot slope and Poole-Frenkel-plot slope. From Fowler-Nordheim-plot slope, the Fowler-Nordheim-energy-barrier was extracted. Example measurements in addition to a statistical overview of the results of all investigated samples are provided. Linear regression was applied to the region of the data that matches the realistic values most. It is concluded that ohmic injection and therefore SCLC only occurs at thicknesses below 12 nm and that the Poole-Frenkel-effect is no significant current limiting process. The extracted Fowler-Nordheim-barriers vary in the range of up to approximately 4 eV but do not show a specific trend. It is discussed whether the negative slope in the Fowler-Nordheim-plot could in some cases be a misinterpreted trap filled limit in the case of space charge limited current

  13. The effect of an atomically deposited layer of alumina on NiO in P-type dye-sensitized solar cells.

    Science.gov (United States)

    Natu, Gayatri; Huang, Zhongjie; Ji, Zhiqiang; Wu, Yiying

    2012-01-10

    We present a systematic investigation of the fundamental effects of an atomically deposited alumina (AlO(x)H(y)) onto the NiO films in p-type dye-sensitized solar cells (p-DSCs). With P1 as the sensitizing dye and 0.1 M I(2) and 1.0 M LiI in 3-methoxypropionitrile as the electrolyte, one atomic layer deposition (ALD) cycle of alumina was used to achieve a 74% increase in the overall conversion efficiency of a NiO-based DSC. The open circuit voltage of the cells increased from 0.11 to 0.15 V, and the short circuit current density increased from 0.83 to 0.95 mA/cm(2). Adsorption isotherm studies were performed to show that the amount of dye adsorbed on the NiO-alumina film is slightly lower than the amount adsorbed on the nontreated NiO film. The increased J(sc) was therefore assigned to the increased efficiency of carrier collection at the semiconductor-FTO interface. Our study of the photocurrent onset potentials of NiO and NiO-alumina films with the chopped light measurement technique showed no definitive difference in the onset potential values. However, the DSCs based on NiO-alumina showed a higher recombination resistance value from the electrochemical impedance studies and a higher diode ideality factor from the V(oc) versus ln(light intensity) plots as compared to the DSCs based on untreated NiO. It has thus been established that the increase in V(oc) upon alumina treatment arises due to a higher resistance for electron-hole recombination across NiO surface locally.

  14. Influence of oxidation level on capacitance of electrochemical capacitors fabricated with carbon nanotube/carbon paper composites

    International Nuclear Information System (INIS)

    Hsieh, C.-T.; Chen, W.-Y.; Cheng, Y.-S.

    2010-01-01

    Gaseous oxidation of carbon papers (CPs) decorated with carbon nanotubes (CNTs) with varying degrees of oxidation was conducted to investigate the influence of surface oxides on the performance of electrochemical capacitors fabricated with oxidized CNT/CP composites. The oxidation period was found to significantly enhance the O/C atomic ratio on the composites, and the increase in oxygen content upon oxidation is mainly contributed by the formation of C=O and C-O groups. The electrochemical behavior of the capacitors was tested in 1 M H 2 SO 4 within a potential of 0 and 1 V vs. Ag/AgCl. Both superhydrophilicity and specific capacitance of the oxidized CNT/CP composites were found to increase upon oxidation treatment. A linearity increase of capacitance with O/C ratio can be attributed to the increase of the population of surface oxides on CNTs, which imparts excess sites for redox reaction (pseudocapacitance) and for the formation of double-layer (double-layer capacitance). The technique of ac impedance combined with equivalent circuit clearly showed that oxidized CNT/CP capacitor imparts not only enhanced capacitance but also a low equivalent series resistance.

  15. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  16. Electrochemical Water Oxidation and Stereoselective Oxygen Atom Transfer Mediated by a Copper Complex.

    Science.gov (United States)

    Kafentzi, Maria-Chrysanthi; Papadakis, Raffaello; Gennarini, Federica; Kochem, Amélie; Iranzo, Olga; Le Mest, Yves; Le Poul, Nicolas; Tron, Thierry; Faure, Bruno; Simaan, A Jalila; Réglier, Marius

    2018-04-06

    Water oxidation by copper-based complexes to form dioxygen has attracted attention in recent years, with the aim of developing efficient and cheap catalysts for chemical energy storage. In addition, high-valent metal-oxo species produced by the oxidation of metal complexes in the presence of water can be used to achieve substrate oxygenation with the use of H 2 O as an oxygen source. To date, this strategy has not been reported for copper complexes. Herein, a copper(II) complex, [(RPY2)Cu(OTf) 2 ] (RPY2=N-substituted bis[2-pyridyl(ethylamine)] ligands; R=indane; OTf=triflate), is used. This complex, which contains an oxidizable substrate moiety (indane), is used as a tool to monitor an intramolecular oxygen atom transfer reaction. Electrochemical properties were investigated and, upon electrolysis at 1.30 V versus a normal hydrogen electrode (NHE), both dioxygen production and oxygenation of the indane moiety were observed. The ligand was oxidized in a highly diastereoselective manner, which indicated that the observed reactivity was mediated by metal-centered reactive species. The pH dependence of the reactivity was monitored and correlated with speciation deduced from different techniques, ranging from potentiometric titrations to spectroscopic studies and DFT calculations. Water oxidation for dioxygen production occurs at neutral pH and is probably mediated by the oxidation of a mononuclear copper(II) precursor. It is achieved with a rather low overpotential (280 mV at pH 7), although with limited efficiency. On the other hand, oxygenation is maximum at pH 8-8.5 and is probably mediated by the electrochemical oxidation of an antiferromagnetically coupled dinuclear bis(μ-hydroxo) copper(II) precursor. This constitutes the first example of copper-centered oxidative water activation for a selective oxygenation reaction. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Nanocomposite films containing Au nanoparticles formed by electrochemical reduction of metal ions in the multilayer films as electrocatalyst for dioxygen reduction

    International Nuclear Information System (INIS)

    Huang Minghua; Shen Yan; Cheng Wenlong; Shao Yong; Sun Xuping; Liu Baifeng; Dong Shaojun

    2005-01-01

    Through electrostatic layer-by-layer assembly, AuCl 4 - anions and [tetrakis(N-methylpyridyl)porphyrinato] cobalt (CoTMPyP) cations were alternately deposited on indium tin oxide (ITO) substrates, and 4-aminobenzoic acid modified glassy carbon electrode. Electrochemical reduction of AuCl 4 - anions sandwiched between CoTMPyP layers leads to the in situ formation of Au nanoparticles in the multilayer films. Regular growth of the multilayer films is monitored by UV-vis spectroscopy. UV-vis spectroscopy, X-ray photoelectron spectroscopy and cyclic voltammetry confirm the formation of Au nanoparticles in the multilayer films after electrochemical reduction of AuCl 4 - anions. Atomic force spectroscopy verifies that the as-prepared Au nanoparticles are uniformly distributed with average particles diameters of 20-25 nm. The resulting composite films containing Au nanoparticles with high stability exhibit high electrocatalytic activity for the reduction of dioxygen. Rotating disk electrode voltammetry and rotating ring-disk electrode voltammetry demonstrate the Au nanoparticles-containing films can catalyze two-electron reduction of O 2 to H 2 O 2 in O 2 -saturated 0.1 M H 2 SO 4 solution

  18. Graphitic carbon nitride nanosheets doped graphene oxide for electrochemical simultaneous determination of ascorbic acid, dopamine and uric acid

    International Nuclear Information System (INIS)

    Zhang, Hanqiang; Huang, Qitong; Huang, Yihong; Li, Feiming; Zhang, Wuxiang; Wei, Chan; Chen, Jianhua; Dai, Pingwang; Huang, Lizhang; Huang, Zhouyi; Kang, Lianping; Hu, Shirong; Hao, Aiyou

    2014-01-01

    Graphical abstract: Schematic drawing of electrochemical oxidize AA, DA and UA on graphitic carbon nitride nanosheets-graphene oxide composite modified electrode. - Highlights: • Synthesize g-C 3 N 4 , GO and CNNS-GO composite. • CNNS-GO composite was the first time for simultaneous determination of AA, DA and UA. • CNNS-GO/GCE displays fantastic selectivity and sensitivity for AA, DA and UA. • CNNS-GO/GCE was applied to detect real sample with satisfactory results. - Abstract: Graphitic carbon nitride nanosheets with a graphite-like structure have strong covalent bonds between carbon and nitride atoms, and nitrogen atoms in the carbon architecture can accelerate the electron transfer and enhance electrical properties effectually. The graphitic carbon nitride nanosheets-graphene oxide composite was synthesized. And the electrochemical performance of the composite was investigated by cyclic voltammetry and differential pulse voltammetry ulteriorly. Due to the synergistic effects of layer-by-layer structures by π-π stacking or charge-transfer interactions, graphitic carbon nitride nanosheets-graphene oxide composite can improved conductivity, electro-catalytic and selective oxidation performance. The proposed graphitic carbon nitride nanosheets-graphene oxide composite modified electrode was employed for simultaneous determination of ascorbic acid, dopamine and uric acid in their mixture solution, it exhibited distinguished sensitivity, wide linear range and low detection limit. Moreover, the modified electrode was applied to detect urine and dopamine injection sample, and then the samples were spiked with certain concentration of three substances with satisfactory recovery results

  19. Amorphous Ultrathin SnO2 Films by Atomic Layer Deposition on Graphene Network as Highly Stable Anodes for Lithium-Ion Batteries.

    Science.gov (United States)

    Xie, Ming; Sun, Xiang; George, Steven M; Zhou, Changgong; Lian, Jie; Zhou, Yun

    2015-12-23

    Amorphous SnO2 (a-SnO2) thin films were conformally coated onto the surface of reduced graphene oxide (G) using atomic layer deposition (ALD). The electrochemical characteristics of the a-SnO2/G nanocomposites were then determined using cyclic voltammetry and galvanostatic charge/discharge curves. Because the SnO2 ALD films were ultrathin and amorphous, the impact of the large volume expansion of SnO2 upon cycling was greatly reduced. With as few as five formation cycles best reported in the literature, a-SnO2/G nanocomposites reached stable capacities of 800 mAh g(-1) at 100 mA g(-1) and 450 mAh g(-1) at 1000 mA g(-1). The capacity from a-SnO2 is higher than the bulk theoretical values. The extra capacity is attributed to additional interfacial charge storage resulting from the high surface area of the a-SnO2/G nanocomposites. These results demonstrate that metal oxide ALD on high surface area conducting carbon substrates can be used to fabricate high power and high capacity electrode materials for lithium-ion batteries.

  20. Laser-assisted atom-atom collisions

    International Nuclear Information System (INIS)

    Roussel, F.

    1984-01-01

    The basic layer-assisted atom-atom collision processes are reviewed in order to get a simpler picture of the main physical facts. The processes can be separated into two groups: optical collisions where only one atom is changing state during the collision, the other acting as a spectator atom, and radiative collisions where the states of the two atoms are changing during the collision. All the processes can be interpreted in terms of photoexcitation of the quasimolecule formed during the collisional process. (author)

  1. Electrochemical potentials of layered oxide and olivine phosphate ...

    Indian Academy of Sciences (India)

    Lithium ion battery; cathodes; density functional theory; density of states; Bader charge analysis; electrochemical ... voltage, ionic diffusion coefficient, phase stability and charge ... routes to synthesis and fabrication techniques. .... from the lithiated one. ..... Ebner W, Fouchard D and Xie L 1994 Solid State Ionics 69 238.

  2. Hollow inorganic nanospheres and nanotubes with tunable wall thicknesses by atomic layer deposition on self-assembled polymeric templates

    NARCIS (Netherlands)

    Ras, Robin H. A.; Kemell, Marianna; de Wit, Joost; Ritala, Mikko; ten Brinke, Gerrit; Leskela, Markku; Ikkala, Olli; Leskelä, Markku

    2007-01-01

    The construction of inorganic nanostructures with hollow interiors is demonstrated by coating self-assembled polymeric nano-objects with a thin Al2O3 layer by atomic layer deposition (ALD), followed by removal of the polymer template upon heating. The morphology of the nano-object (i.e., spherical

  3. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  4. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  5. Intrinsic electron traps in atomic-layer deposited HfO{sub 2} insulators

    Energy Technology Data Exchange (ETDEWEB)

    Cerbu, F.; Madia, O.; Afanas' ev, V. V.; Houssa, M.; Stesmans, A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Andreev, D. V. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Bauman Moscow State Technical University—Kaluga Branch, 248000 Kaluga, Moscow obl. (Russian Federation); Fadida, S.; Eizenberg, M. [Department of Materials Science and Engineering, Technion-Israel Institute of Technology, 32000 Haifa (Israel); Breuil, L. [imec, 3001 Leuven (Belgium); Lisoni, J. G. [imec, 3001 Leuven (Belgium); Institute of Physics and Mathematics, Faculty of Science, Universidad Austral de Chile, Valdivia (Chile); Kittl, J. A. [Laboratory of Semiconductor Physics, Department of Physics and Astronomy, University of Leuven, 3001 Leuven (Belgium); Advanced Logic Lab, Samsung Semiconductor, Inc., Austin, 78754 Texas (United States); Strand, J.; Shluger, A. L. [Department of Physics and Astronomy, University College London, London WC1E 6BT (United Kingdom)

    2016-05-30

    Analysis of photodepopulation of electron traps in HfO{sub 2} films grown by atomic layer deposition is shown to provide the trap energy distribution across the entire oxide bandgap. The presence is revealed of two kinds of deep electron traps energetically distributed at around E{sub t} ≈ 2.0 eV and E{sub t} ≈ 3.0 eV below the oxide conduction band. Comparison of the trapped electron energy distributions in HfO{sub 2} layers prepared using different precursors or subjected to thermal treatment suggests that these centers are intrinsic in origin. However, the common assumption that these would implicate O vacancies cannot explain the charging behavior of HfO{sub 2}, suggesting that alternative defect models should be considered.

  6. Modeling of electrochemical hydrogen storage in metal hydride electrodes

    NARCIS (Netherlands)

    Ledovskikh, A.; Danilov, D.; Vermeulen, P.; Notten, P.H.L.

    2010-01-01

    The recently presented electrochemical kinetic model, describing the electrochemical hydrogen storage in hydride-forming materials, was extended by the description of the solid/electrolyte interface, i.e., the charge-transfer kinetics and electrical double-layer charging. A complete set of equations

  7. Determination of antimony by electrochemical hydride generation atomic absorption spectrometry in samples with high iron content using chelating resins as on-line removal system

    International Nuclear Information System (INIS)

    Bolea, E.; Arroyo, D.; Laborda, F.; Castillo, J.R.

    2006-01-01

    A method for the removal of the interference caused by iron on electrochemical generation of stibine is proposed. It consists of a chelating resin Chelex 100 column integrated into a flow injection system and coupled to the electrochemical hydride generator quartz tube atomic absorption spectrometer (EcHG-QT-AAS). Iron, as Fe(II), is retained in the column with high efficiency, close to 99.9% under optimal conditions. No significant retention was observed for Sb(III) under same conditions and a 97 ± 5% signal recovery was achieved. An electrochemical hydride generator with a concentric configuration and a reticulated vitreous carbon cathode was employed. The system is able to determine antimony concentrations in the range of ng ml -1 in presence of iron concentrations up to 400 mg l -1 . The procedure was validated by analyzing PACS-2 marine sediments reference material with a 4% (w/w) iron content and a [Fe]:[Sb] ratio of 4000:1, which caused total antimony signal suppression on the electrochemical hydride generation system. A compost sample with high iron content (0.7%, w/w), was also analyzed. A good agreement was found on both samples with the certified value and the antimony concentration determined by ICP-MS, respectively

  8. Oriented Immobilization of His-Tagged Protein on a Redox Active Thiol Derivative of DPTA-Cu(II Layer Deposited on a Gold Electrode—The Base of Electrochemical Biosensors

    Directory of Open Access Journals (Sweden)

    Hanna Radecka

    2013-09-01

    Full Text Available This paper concerns the development of an electrochemical biosensor for the determination of Aβ16–23' and Aβ1–40 peptides. The His-tagged V and VC1 domains of Receptor for Advanced Glycation end Products (RAGE immobilized on a gold electrode surface were used as analytically active molecules. The immobilization of His6–RAGE domains consists of: (i formation of a mixed layer of N-acetylcysteamine (NAC and the thiol derivative of pentetic acid (DPTA; (ii complexation of Cu(II by DPTA; (iii oriented immobilization of His6–RAGE domains via coordination bonds between Cu(II sites from DPTA–Cu(II complex and imidazole nitrogen atoms of a histidine tag. Each modification step was controlled by cyclic voltammetry (CV, Osteryoung square-wave voltammetry (OSWV, and atomic force microscopy (AFM. The applicability of the proposed biosensor was tested in the presence of human plasma, which had no influence on its performance. The detection limits for Aβ1–40 determination were 1.06 nM and 0.80 nM, in the presence of buffer and human plasma, respectively. These values reach the concentration level of Aβ1–40 which is relevant for determination of its soluble form in human plasma, as well as in brain. This indicates the promising future application of biosensor presented for early diagnosis of neurodegenerative diseases.

  9. Electron microscopy observation of TiO2 nanocrystal evolution in high-temperature atomic layer deposition.

    Science.gov (United States)

    Shi, Jian; Li, Zhaodong; Kvit, Alexander; Krylyuk, Sergiy; Davydov, Albert V; Wang, Xudong

    2013-01-01

    Understanding the evolution of amorphous and crystalline phases during atomic layer deposition (ALD) is essential for creating high quality dielectrics, multifunctional films/coatings, and predictable surface functionalization. Through comprehensive atomistic electron microscopy study of ALD TiO2 nanostructures at designed growth cycles, we revealed the transformation process and sequence of atom arrangement during TiO2 ALD growth. Evolution of TiO2 nanostructures in ALD was found following a path from amorphous layers to amorphous particles to metastable crystallites and ultimately to stable crystalline forms. Such a phase evolution is a manifestation of the Ostwald-Lussac Law, which governs the advent sequence and amount ratio of different phases in high-temperature TiO2 ALD nanostructures. The amorphous-crystalline mixture also enables a unique anisotropic crystal growth behavior at high temperature forming TiO2 nanorods via the principle of vapor-phase oriented attachment.

  10. Electrochemical preparation of poly(methylene blue)/graphene nanocomposite thin films

    International Nuclear Information System (INIS)

    Erçarıkcı, Elif; Dağcı, Kader; Topçu, Ezgi; Alanyalıoğlu, Murat

    2014-01-01

    Highlights: • Poly(MB)/graphene thin films are prepared by a simple electrochemical approach. • Graphene layers in the film show a broad band in visible region of absorbance spectra. • Morphology of composite films indicates both disordered and ordered regions. • XRD reveals that nanocomposite films include rGO layers after electropolymerization process. • Chemically prepared graphene is better than electrochemically prepared graphene for electrooxidation of nitrite. - Abstract: Poly(methylene blue)/graphene nanocomposite thin films were prepared by electropolymerization of methylene blue in the presence of graphene which have been synthesized by two different methods of a chemical oxidation process and an electrochemical approach. Synthesized nanocomposite thin films were characterized by using cyclic voltammetry, UV–vis. absorption spectroscopy, powder X-ray diffraction, and scanning tunneling microscopy techniques. Electrocatalytical properties of prepared poly(methylene blue)/graphene nanocomposite films were compared toward electrochemical oxidation of nitrite. Under optimized conditions, electrocatalytical effect of nanocomposite films of chemically prepared graphene through electrochemical oxidation of nitrite was better than that of electrochemically prepared graphene

  11. Performance of palladium nanoparticle–graphene composite as an efficient electrode material for electrochemical double layer capacitors

    International Nuclear Information System (INIS)

    Dar, Riyaz A.; Giri, Lily; Karna, Shashi P.; Srivastava, Ashwini K.

    2016-01-01

    Highlights: • Single step synthesis of palladium nanoparticles decorated-graphene nanocomposite. • Improved electron transfer kinetics and superior capacitive performance. • High specific capacitance of 637 F g −1 at a current density of 1.25 A g −1 . • Retention of 91.4% of its initial capacitance after 10000 cycles of testing. - Abstract: Palladium nanoparticle–graphene nanosheet composite (PdNP–GN) is demonstrated as an efficient electrode material in energy storage applications in supercapacitors. Palladium nanoparticle (PdNP) decorated graphene nanosheet (GN) composite was synthesized via a chemical approach in a single step by the simultaneous reduction of graphene oxide (GO) and palladium chloride from the aqueous phase using ascorbic acid as reducing agent. The materials were characterized by scanning and high resolution transmission electron microscopy, Raman, X-ray diffraction and energy dispersive X-ray spectroscopy which demonstrate that the metal nanoparticles have been uniformly deposited on the surface of graphene nanosheets. The synthesized material has been analyzed by cyclic voltammetry, electrochemical impedance spectrometry and chronopotentiometry using 1 M KCl as the supporting electrolyte for its application in electrochemical double layer supercapacitors. PdNPs-GN composite showed improved electron transfer kinetics and superior capacitive performance with large specific capacitance of 637 F g −1 , excellent cyclic performance and maximum energy and power densities of 56 Wh kg −1 and 1166 W kg −1 , respectively at a current density of 1.25 A g −1 . This highlights the importance of the synergetic effects of electrochemically efficient Pd nanoparticles and graphene for energy storage applications in supercapacitors.

  12. Label-free electrochemical aptasensor constructed by layer-by-layer technology for sensitive and selective detection of cancer cells

    International Nuclear Information System (INIS)

    Wang, Tianshu; Liu, Jiyang; Gu, Xiaoxiao; Li, Dan; Wang, Jin; Wang, Erkang

    2015-01-01

    Highlights: • Fc-PAH was modified on the surface of graphene to prepare hybid nanocomposite (Fc-PAH-G). • A cytosensor was constructed with Fc-PAH-G, PSS and aptamer AS1411 by LBL technology. • The sensing interface introduced more redox probe and enhanced current signal on electrode. • The sensor showed a detection range of 10–10 6 cells/mL with a detection limit of 10 cells/mL. - Abstract: Here, a cytosensor was constructed with ferrocene-appended poly(allylamine hydrochloride) (Fc-PAH) functionalized graphene (Fc-PAH-G), poly(sodium-p-styrenesulfonate) (PSS) and aptamer (AS1411) by layer-by-layer assembly technology. The hybrid nanocomposite Fc-PAH-G not only brings probes on the electrode and also promotes electron transfer between the probes and the substrate electrode. Meanwhile, LBL technology provides more effective probes to enhance amplified signal for improving the sensitivity of the detection. While AS1411 forming G-quardruplex structure and binding cancer cells, the current response of the sensing electrode decreased due to the insulating properties of cellular membrane. Differential pulse voltammetry (DPV) was performed to investigate the electrochemical detection of HeLa cells attributing to its sensitivity of the current signal change. The as-prepared aptasensor showed a high sensitivity and good stability, a widely detection range from 10 to 10 6 cells/mL with a detection limit as low as 10 cells/mL for the detection of cancer cells

  13. Label-free electrochemical aptasensor constructed by layer-by-layer technology for sensitive and selective detection of cancer cells

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Tianshu [College of Physics, Jilin University, Changchun, Jilin 130012 (China); State Key Laboratory of Electroanalytical Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China); Liu, Jiyang; Gu, Xiaoxiao; Li, Dan [State Key Laboratory of Electroanalytical Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China); Wang, Jin, E-mail: jin.wang.1@stonybrook.edu [College of Physics, Jilin University, Changchun, Jilin 130012 (China); State Key Laboratory of Electroanalytical Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China); Department of Chemistry, Physics and Applied Mathematics, State University of New York at Stony Brook, Stony Brook, NY 11794-3400 (United States); Wang, Erkang, E-mail: ekwang@ciac.jl.cn [State Key Laboratory of Electroanalytical Chemistry, Changchun Institute of Applied Chemistry, Chinese Academy of Sciences, Changchun, Jilin 130022 (China)

    2015-07-02

    Highlights: • Fc-PAH was modified on the surface of graphene to prepare hybid nanocomposite (Fc-PAH-G). • A cytosensor was constructed with Fc-PAH-G, PSS and aptamer AS1411 by LBL technology. • The sensing interface introduced more redox probe and enhanced current signal on electrode. • The sensor showed a detection range of 10–10{sup 6} cells/mL with a detection limit of 10 cells/mL. - Abstract: Here, a cytosensor was constructed with ferrocene-appended poly(allylamine hydrochloride) (Fc-PAH) functionalized graphene (Fc-PAH-G), poly(sodium-p-styrenesulfonate) (PSS) and aptamer (AS1411) by layer-by-layer assembly technology. The hybrid nanocomposite Fc-PAH-G not only brings probes on the electrode and also promotes electron transfer between the probes and the substrate electrode. Meanwhile, LBL technology provides more effective probes to enhance amplified signal for improving the sensitivity of the detection. While AS1411 forming G-quardruplex structure and binding cancer cells, the current response of the sensing electrode decreased due to the insulating properties of cellular membrane. Differential pulse voltammetry (DPV) was performed to investigate the electrochemical detection of HeLa cells attributing to its sensitivity of the current signal change. The as-prepared aptasensor showed a high sensitivity and good stability, a widely detection range from 10 to 10{sup 6} cells/mL with a detection limit as low as 10 cells/mL for the detection of cancer cells.

  14. Protective silicon coating for nanodiamonds using atomic layer deposition

    International Nuclear Information System (INIS)

    Lu, J.; Wang, Y.H.; Zang, J.B.; Li, Y.N.

    2007-01-01

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH 4 ). The coating was performed by sequential reaction of SiH 4 saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability

  15. Protective silicon coating for nanodiamonds using atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lu, J. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Wang, Y.H. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China); Zang, J.B. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China) and College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)]. E-mail: diamondzjb@163.com; Li, Y.N. [State Key Laboratory of Metastable Materials Science and Technology, Yanshan University, Qinhuangdao, Hebei 066004 (China); College of Materials Science and Engineering, Yanshan University, Qinhuangdao, Hebei 066004 (China)

    2007-01-30

    Ultrathin silicon coating was deposited on nanodiamonds using atomic layer deposition (ALD) from gaseous monosilane (SiH{sub 4}). The coating was performed by sequential reaction of SiH{sub 4} saturated adsorption and in situ decomposition. X-ray diffraction (XRD) and transmission electron microscopy (TEM) were utilized to investigate the structural and morphological properties of the coating. Thermogravimetric analysis (TGA) and differential scanning calorimetry (DSC) were used to compare the thermal stability of nanodiamonds before and after silicon coating. The results confirmed that the deposited cubic phase silicon coating was even and continuous. The protective silicon coating could effectively improve the oxidation resistance of nanodiamonds in air flow, which facilitates the applications of nanodiamonds that are commonly hampered by their poor thermal stability.

  16. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  17. Improvement of oxidation resistance of copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, M.L.; Cheng, T.C. [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Lin, M.C. [Research Center for Biomedical Devices and Prototyping Production, Taipei Medical University, No. 250, Wu-Hsing Street, Taipei 110, Taiwan (China); Lin, H.C., E-mail: hclinntu@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China); Chen, M.J., E-mail: mjchen@ntu.edu.tw [Department of Materials Science and Engineering, National Taiwan University, No. 1, Sec. 4, Roosevelt Road, Taipei 106, Taiwan (China)

    2012-10-01

    Graphical abstract: Results of glancing incident angle diffraction (GIXD) show the bare-Cu specimen was attacked by oxidation, whereas the coated-Cu specimens prevented from this problem. Highlights: Black-Right-Pointing-Pointer Deposition of Al{sub 2}O{sub 3} films on pure copper by an atomic layer deposition (ALD) technique. Black-Right-Pointing-Pointer Analysis of properties of the films coated at various substrate temperatures using the ALD technique. Black-Right-Pointing-Pointer Identification of the improvement of oxidation resistance of pure copper by the ALD-Al{sub 2}O{sub 3} films. Black-Right-Pointing-Pointer Assessment of the durability of the ALD-Al{sub 2}O{sub 3} films by adhesion strength. - Abstract: Al{sub 2}O{sub 3} films were deposited by the atomic layer deposition (ALD) technique onto pure copper at temperatures in the range 100-200 Degree-Sign C. The chemical composition, microstructure, and mechanic properties of the ALD-deposited Al{sub 2}O{sub 3} films were systematically analyzed. The variations in the film characteristics with substrate temperature were observed. Oxidation trials revealed that 20-nm-thick Al{sub 2}O{sub 3} films deposited at a substrate temperature as low as 100 Degree-Sign C suppress oxidative attack on pure copper. The Al{sub 2}O{sub 3} films also showed excellent durability of adhesion strength, according to predictions using the Coffin-Manson model based on the results of accelerated temperature cycling tests. These features indicate that ALD-deposited Al{sub 2}O{sub 3} film is a very promising candidate to be a protective coating for pure copper.

  18. Modeling of electrochemical hydrogen storage in metal hydride electrodes

    NARCIS (Netherlands)

    Ledovskikh, A.; Danilov, D.; Vermeulen, P.; Notten, P.H.L.

    2010-01-01

    The recently presented Electrochemical Kinetic Model (EKM), describing the electrochemical hydrogen storage in hydride-forming materials, has been extended by the description of the solid/electrolyte interface, i.e. the charge transfer kinetics and electrical double layer charging. A complete set of

  19. UV protective zinc oxide coating for biaxially oriented polypropylene packaging film by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lahtinen, Kimmo, E-mail: kimmo.lahtinen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kääriäinen, Tommi, E-mail: tommi.kaariainen@colorado.edu [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Johansson, Petri, E-mail: petri.johansson@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Kotkamo, Sami, E-mail: sami.kotkamo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Maydannik, Philipp, E-mail: philipp.maydannik@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Seppänen, Tarja, E-mail: tarja.seppanen@lut.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland); Kuusipalo, Jurkka, E-mail: jurkka.kuusipalo@tut.fi [Paper Converting and Packaging Technology, Tampere University of Technology, P.O.Box 589, FI-33101 Tampere (Finland); Cameron, David C., E-mail: david.cameron@miktech.fi [ASTRaL, Lappeenranta University of Technology, Sammonkatu 12, FI-50130 Mikkeli (Finland)

    2014-11-03

    Biaxially oriented polypropylene (BOPP) packaging film was coated with zinc oxide (ZnO) coatings by atomic layer deposition (ALD) in order to protect the film from UV degradation. The coatings were made at a process temperature of 100 °C using diethylzinc and water as zinc and oxygen precursors, respectively. The UV protective properties of the coatings were tested by using UV–VIS and infrared spectrometry, differential scanning calorimetry (DSC) and a mechanical strength tester, which characterised the tensile and elastic properties of the film. The results obtained with 36 and 67 nm ZnO coatings showed that the ZnO UV protective layer is able to provide a significant decrease in photodegradation of the BOPP film under UV exposure. While the uncoated BOPP film suffered a complete degradation after a 4-week UV exposure, the 67 nm ZnO coated BOPP film was able to preserve half of its original tensile strength and 1/3 of its elongation at break after a 6-week exposure period. The infrared analysis and DSC measurements further proved the UV protection of the ZnO coatings. The results show that a nanometre scale ZnO coating deposited by ALD is a promising option when a transparent UV protection layer is sought for polymer substrates. - Highlights: • Atomic layer deposited zinc oxide coatings were used as UV protection layers. • Biaxially oriented polypropylene (BOPP) film was well protected against UV light. • Formation of UV degradation products in BOPP was significantly reduced. • Mechanical properties of the UV exposed BOPP film were significantly improved.

  20. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  1. On-line electrochemically controlled in-tube solid phase microextraction of inorganic selenium followed by hydride generation atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Asiabi, Hamid [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Yamini, Yadollah, E-mail: yyamini@modares.ac.ir [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Seidi, Shahram [Department of Analytical Chemistry, Faculty of Chemistry, K.N. Toosi University of Technology, Tehran (Iran, Islamic Republic of); Shamsayei, Maryam; Safari, Meysam; Rezaei, Fatemeh [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of)

    2016-05-30

    In this work, for the first time, a rapid, simple and sensitive microextraction procedure is demonstrated for the matrix separation, preconcentration and determination of inorganic selenium species in water samples using an electrochemically controlled in-tube solid phase microextraction (EC-in-tube SPME) followed by hydride generation atomic absorption spectrometry (HG-AAS). In this approach, in which EC-in-tube SPME and HG-AAS system were combined, the total analysis time, was decreased and the accuracy, repeatability and sensitivity were increased. In addition, to increases extraction efficiency, a novel nanostructured composite coating consisting of polypyrrole (PPy) doped with ethyleneglycol dimethacrylate (EGDMA) was prepared on the inner surface of a stainless-steel tube by a facile electrodeposition method. To evaluate the offered setup and the new PPy-EGDMA coating, it was used to extract inorganic selenium species in water samples. Extraction of inorganic selenium species was carried out by applying a positive potential through the inner surface of coated in-tube under flow conditions. Under the optimized conditions, selenium was detected in amounts as small as 4.0 parts per trillion. The method showed good linearity in the range of 0.012–200 ng mL{sup −1}, with coefficients of determination better than 0.9996. The intra- and inter-assay precisions (RSD%, n = 5) were in the range of 2.0–2.5% and 2.7–3.2%, respectively. The validated method was successfully applied for the analysis of inorganic selenium species in some water samples and satisfactory results were obtained. - Graphical abstract: An electrochemically controlled in-tube solid phase microextraction followed by hydride generation atomic absorption spectrometry was developed for extraction and determination ultra-trace amounts of Se in aqueous solutions. - Highlights: • A nanostructured composite coating consisting of PPy doped with EGDMA was prepared. • The coating was

  2. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  3. Gas recombination assembly for electrochemical cells

    Science.gov (United States)

    Levy, Isaac; Charkey, Allen

    1989-01-01

    An assembly for recombining gases generated in electrochemical cells wherein a catalyst strip is enveloped within a hydrophobic, gas-porous film which, in turn, is encased between gas-porous, metallic layers. The sandwich construction of metallic layers and film is formed into a spiral with a tab for connection to the cell.

  4. Atomic resolution observation of conversion-type anode RuO 2 during the first electrochemical lithiation

    KAUST Repository

    Mao, Minmin

    2015-03-05

    Transition metal oxides have attracted great interest as alternative anode materials for rechargeable lithium-ion batteries. Among them, ruthenium dioxide is considered to be a prototype material that reacts with the Li ions in the conversion type. In situ transmission electron microscopy reveals a two-step process during the initial lithiation of the RuO2 nanowire anode at atomic resolution. The first step is characterized by the formation of the intermediate phase LixRuO2 due to the Li-ion intercalation. The following step is manifested by the solid-state amorphization reaction driven by advancing the reaction front. The crystalline/amorphous interface is consisted of {011} atomic terraces, revealing the orientation-dependent mobility. In the crystalline matrix, lattice disturbance and dislocation are identified to be two major stress-induced distortions. The latter can be effective diffusion channels, facilitating transportation of the Li ions inside the bulk RuO2 crystal and further resulting in non-uniform Li-ion distribution. It is expected that the local enrichment of the Li ions may account for the homogeneous nucleation of dislocations in the bulk RuO2 crystal and the special island-like structures. These results elucidate the structural evolution and the phase transformation during electrochemical cycling, which sheds light on engineering RuO2 anode materials.

  5. Rational design of atomic-layer-deposited LiFePO4 as a high-performance cathode for lithium-ion batteries.

    Science.gov (United States)

    Liu, Jian; Banis, Mohammad N; Sun, Qian; Lushington, Andrew; Li, Ruying; Sham, Tsun-Kong; Sun, Xueliang

    2014-10-08

    Atomic layer deposition is successfully applied to synthesize lithium iron phosphate in a layer-by-layer manner by using self-limiting surface reactions. The lithium iron phosphate exhibits high power density, excellent rate capability, and ultra-long lifetime, showing great potential for vehicular lithium batteries and 3D all-solid-state microbatteries. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Bimetallic Nickel/Ruthenium Catalysts Synthesized by Atomic Layer Deposition for Low-Temperature Direct Methanol Solid Oxide Fuel Cells.

    Science.gov (United States)

    Jeong, Heonjae; Kim, Jun Woo; Park, Joonsuk; An, Jihwan; Lee, Tonghun; Prinz, Fritz B; Shim, Joon Hyung

    2016-11-09

    Nickel and ruthenium bimetallic catalysts were heterogeneously synthesized via atomic layer deposition (ALD) for use as the anode of direct methanol solid oxide fuel cells (DMSOFCs) operating in a low-temperature range. The presence of highly dispersed ALD Ru islands over a porous Ni mesh was confirmed, and the Ni/ALD Ru anode microstructure was observed. Fuel cell tests were conducted using Ni-only and Ni/ALD Ru anodes with approximately 350 μm thick gadolinium-doped ceria electrolytes and platinum cathodes. The performance of fuel cells was assessed using pure methanol at operating temperatures of 300-400 °C. Micromorphological changes of the anode after cell operation were investigated, and the content of adsorbed carbon on the anode side of the operated samples was measured. The difference in the maximum power density between samples utilizing Ni/ALD Ru and Pt/ALD Ru, the latter being the best catalyst for direct methanol fuel cells, was observed to be less than 7% at 300 °C and 30% at 350 °C. The improved electrochemical activity of the Ni/ALD Ru anode compared to that of the Ni-only anode, along with the reduction of the number of catalytically active sites due to agglomeration of Ni and carbon formation on the Ni surface as compared to Pt, explains this decent performance.

  7. In Situ Scanning Tunneling Microscopy Topography Changes of Gold (111) in Aqueous Sulfuric Acid Produced by Electrochemical Surface Oxidation and Reduction and Relaxation Phenomena

    Science.gov (United States)

    Pasquale, M. A.; Nieto, F. J. Rodríguez; Arvia, A. J.

    The electrochemical formation and reduction of O-layers on gold (111) films in 1 m sulfuric acid under different potentiodynamic routines are investigated utilizing in situ scanning tunneling microscopy. The surface dynamics is interpreted considering the anodic and cathodic reaction pathways recently proposed complemented with concurrent relaxation phenomena occurring after gold (111) lattice mild disruption (one gold atom deep) and moderate disruption (several atoms deep). The dynamics of both oxidized and reduced gold topographies depends on the potentiodynamic routine utilized to form OH/O surface species. The topography resulting from a mild oxidative disruption is dominated by quasi-2D holes and hillocks of the order of 5 nm, involving about 500-600 gold atoms each, and their coalescence. A cooperative turnover process at the O-layer, in which the anion ad-layer and interfacial water play a key role, determines the oxidized surface topography. The reduction of these O-layers results in gold clusters, their features depending on the applied potential routine. A moderate oxidative disruption produces a surface topography of hillocks and holes several gold atoms high and deep, respectively. The subsequent reduction leads to a spinodal gold pattern. Concurrent coalescence appears to be the result of an Ostwald ripening that involves the surface diffusion of both gold atoms and clusters. These processes produce an increase in surface roughness and an incipient gold faceting. The dynamics of different topographies can be qualitatively explained employing the arguments from colloidal science theory. For 1.1 V ≤ E ≅ Epzc weak electrostatic repulsions favor gold atom/cluster coalescence, whereas for E < Epzc the attenuated electrostatic repulsions among gold surfaces stabilize small clusters over the substrate producing string-like patterns.

  8. Metallic nanoparticle-based strain sensors elaborated by atomic layer deposition

    Science.gov (United States)

    Puyoo, E.; Malhaire, C.; Thomas, D.; Rafaël, R.; R'Mili, M.; Malchère, A.; Roiban, L.; Koneti, S.; Bugnet, M.; Sabac, A.; Le Berre, M.

    2017-03-01

    Platinum nanoparticle-based strain gauges are elaborated by means of atomic layer deposition on flexible polyimide substrates. Their electro-mechanical response is tested under mechanical bending in both buckling and conformational contact configurations. A maximum gauge factor of 70 is reached at a strain level of 0.5%. Although the exponential dependence of the gauge resistance on strain is attributed to the tunneling effect, it is shown that the majority of the junctions between adjacent Pt nanoparticles are in a short circuit state. Finally, we demonstrate the feasibility of an all-plastic pressure sensor integrating Pt nanoparticle-based strain gauges in a Wheatstone bridge configuration.

  9. Atomic-Layer-Deposited SnO2 as Gate Electrode for Indium-Free Transparent Electronics

    KAUST Repository

    Alshammari, Fwzah Hamud; Hota, Mrinal Kanti; Wang, Zhenwei; Aljawhari, Hala; Alshareef, Husam N.

    2017-01-01

    Atomic-layer-deposited SnO2 is used as a gate electrode to replace indium tin oxide (ITO) in thin-film transistors and circuits for the first time. The SnO2 films deposited at 200 °C show low electrical resistivity of ≈3.1 × 10−3 Ω cm with ≈93

  10. Electrochemical stability of subnanometer Pt clusters

    DEFF Research Database (Denmark)

    Quinson, Jonathan; Röefzaad, Melanie; Deiana, Davide

    2018-01-01

    In the present work, the degradation of size-selected Pt nanoclusters is studied under electrochemical conditions. This model catalyst mimics carbon supported Pt nanoclusters and nanoparticles typically employed in proton exchange membrane fuel cells (PEMFCs). Insight into the early stage...... of degradation is given by high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM) and confirmed by transmission electron microscopy (TEM). In contrast to common assumptions, it is demonstrated that even extremely small Pt clusters exhibit a remarkable stability under electrochemical...... - is observed. In light of the findings reported, developing highly-dispersed subnanometer Pt clusters as catalyst for PEMFCs is a realistic approach provided the operation conditions are suitably adjusted. Furthermore, mitigation strategies to improve the stability of few-atoms catalyst under electrochemical...

  11. Influence of PEDOT:PSS on the effectiveness of barrier layers prepared by atomic layer deposition in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Wegler, Barbara, E-mail: barbara.wegler@siemens.com [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen, Germany and Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany); Schmidt, Oliver [Siemens AG, Corporate Technology, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Hensel, Bernhard [Center for Medical Physics and Engineering, University of Erlangen-Nuremberg, Henkestrasse 91, 91052 Erlangen (Germany)

    2015-01-15

    Organic light emitting diodes (OLEDs) are well suited for energy saving lighting applications, especially when thinking about highly flexible and large area devices. In order to avoid the degradation of the organic components by water and oxygen, OLEDs need to be encapsulated, e.g., by a thin sheet of glass. As the device is then no longer flexible, alternative coatings are required. Atomic layer deposition (ALD) is a very promising approach in this respect. The authors studied OLEDs that were encapsulated by 100 nm Al{sub 2}O{sub 3} deposited by ALD. The authors show that this coating effectively protects the active surface area of the OLEDs from humidity. However, secondary degradation processes still occur at sharp edges of the OLED stack where the extremely thin encapsulation layer does not provide perfect coverage. Particularly, the swelling of poly(3,4-ethylenedioxythiophene) mixed with poly(styrenesulfonate), which is a popular choice for the planarization of the bottom electrode and at the same time acts as a hole injection layer, affects the effectiveness of the encapsulation layer.

  12. Tailoring properties of lossy-mode resonance optical fiber sensors with atomic layer deposition technique

    Science.gov (United States)

    Kosiel, Kamil; Koba, Marcin; Masiewicz, Marcin; Śmietana, Mateusz

    2018-06-01

    The paper shows application of atomic layer deposition (ALD) technique as a tool for tailoring sensorial properties of lossy-mode-resonance (LMR)-based optical fiber sensors. Hafnium dioxide (HfO2), zirconium dioxide (ZrO2), and tantalum oxide (TaxOy), as high-refractive-index dielectrics that are particularly convenient for LMR-sensor fabrication, were deposited by low-temperature (100 °C) ALD ensuring safe conditions for thermally vulnerable fibers. Applicability of HfO2 and ZrO2 overlays, deposited with ALD-related atomic level thickness accuracy for fabrication of LMR-sensors with controlled sensorial properties was presented. Additionally, for the first time according to our best knowledge, the double-layer overlay composed of two different materials - silicon nitride (SixNy) and TaxOy - is presented for the LMR fiber sensors. The thin films of such overlay were deposited by two different techniques - PECVD (the SixNy) and ALD (the TaxOy). Such approach ensures fast overlay fabrication and at the same time facility for resonant wavelength tuning, yielding devices with satisfactory sensorial properties.

  13. ATOMIC LAYER DEPOSITION OF TITANIUM OXIDE THIN FILMS ONNANOPOROUS ALUMINA TEMPLATES FOR MEDICAL APPLICATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Brigmon, R.

    2009-05-05

    Nanostructured materials may play a significant role in controlled release of pharmacologic agents for treatment of cancer. Many nanoporous polymer materials are inadequate for use in drug delivery. Nanoporous alumina provides several advantages over other materials for use in controlled drug delivery and other medical applications. Atomic layer deposition was used to coat all the surfaces of the nanoporous alumina membrane in order to reduce the pore size in a controlled manner. Both the 20 nm and 100 nm titanium oxide-coated nanoporous alumina membranes did not exhibit statistically lower viability compared to the uncoated nanoporous alumina membrane control materials. In addition, 20 nm pore size titanium oxide-coated nanoporous alumina membranes exposed to ultraviolet light demonstrated activity against Escherichia coli and Staphylococcus aureus bacteria. Nanostructured materials prepared using atomic layer deposition may be useful for delivering a pharmacologic agent at a precise rate to a specific location in the body. These materials may serve as the basis for 'smart' drug delivery devices, orthopedic implants, or self-sterilizing medical devices.

  14. Electrochemical synthesis, structure and phase composition of nano structured amorphous thin layers of NiW and Ni-Mo

    International Nuclear Information System (INIS)

    Vitina, I.; Lubane, M.; Belmane, V.; Rubene, V.; Krumina, A.

    2006-01-01

    Full text: Nano structured Ni-W thin layers containing W 6-37 wt.% were electrodeposited on a copper substratum. The W content in the layer changes, and it is determined by the electrolyte pH in the range 8.0-9.6 and the cathode current density in the range 1.0-10.0 A/dm 2 . The atomic composition and thermal stability of structure of the electrodeposited thin layers depend for the most part on the conditions of the electrodeposition and less on the W content in the layer. Cracking of the Ni-W layers electrodeposited at the electrolyte pH 8.5 and containing 34-37 wt.% W and 8.5 wt.% W was observed. The cracking increases at heating at 400 deg C for 50 h. On the contrary, no cracking of the Ni-W layer electrodeposited at the electrolyte pH 9.0 and containing 25 wt.% W was observed. The atomic composition of the layer remains practically unchanged at heating at 400 deg C for 50 h. The layer binds oxygen up to 7 wt.%. According to X-ray diffraction, in spite of the W content 35-37 wt.% in the layer, nano structured layers rather than amorphous layers were obtained which at heating at 400 deg C depending on the W content crystallises as Ni or intermetallic compounds Ni x W y if the W content is approx. 25 wt.%. Amorphous Ni-Mo alloys containing 35-52 wt.% Mo was electrodeposited on copper substratum at the cathode current densities of 0.5-1.5 A/dm2 and the electrolyte pH 6.8-8.6. Formation of thin layer (∼1-2μm) of X-ray amorphous Ni-Mo alloy, the Mo content, the characteristics of structure depend on the electrodeposition process, the electrolyte pH, and the cathode current density. The Ni-Mo layer deposited at the electrolyte pH above 8.6 and below average 6.8 had a nanocrystalline structure rather than characteristics of amorphous structure. Ni- W and Ni-Mo alloys were electrodeposited from citrate electrolyte not containing ammonium ions

  15. Surface Modification of MXenes: A Pathway to Improve MXene Electrode Performance in Electrochemical Energy Storage Devices

    KAUST Repository

    Ahmed, Bilal

    2017-12-31

    The recent discovery of layered transition metal carbides (MXenes) is one of the most important developments in two-dimensional (2D) materials. Preliminary theoretical and experimental studies suggest a wide range of potential applications for MXenes. The MXenes are prepared by chemically etching ‘A’-layer element from layered ternary metal carbides, nitrides and carbonitrides (MAX phases) through aqueous acid treatment, which results in various surface terminations such as hydroxyl, oxygen or fluorine. It has been found that surface terminations play a critical role in defining MXene properties and affects MXene performance in different applications such as electrochemical energy storage, electromagnetic interference shielding, water purification, sensors and catalysis. Also, the electronic, thermoelectric, structural, plasmonic and optical properties of MXenes largely depend upon surface terminations. Thus, controlling the surface chemistry if MXenes can be an efficient way to improve their properties. This research mainly aims to perform surface modifications of two commonly studied MXenes; Ti2C and Ti3C2, via chemical, thermal or physical processes to enhance electrochemical energy storage properties. The as-prepared and surface modified MXenes have been studied as electrode materials in Li-ion batteries (LIBs) and supercapacitors (SCs). In pursuit of desirable MXene surface, we have developed an in-situ room temperature oxidation process, which resulted in TiO2/MXene nanocomposite and enhanced Li-ion storage. The idea of making metal oxide and MXene nanocomposites was taken to the next level by combining a high capacity anode materials – SnO2 – and MXene. By taking advantage of already existing surface functional groups (–OH), we have developed a composite of SnO2/MXene by atomic layer deposition (ALD) which showed enhanced capacity and excellent cyclic stability. Thermal annealing of MXene at elevated temperature under different atmospheres was

  16. Electrochemical charging of the single-layer graphene membrane

    Czech Academy of Sciences Publication Activity Database

    Komínková, Zuzana; Kalbáč, Martin

    2016-01-01

    Roč. 253, č. 12 (2016), s. 2331-2335 ISSN 0370-1972 R&D Projects: GA MŠk LL1301; GA ČR(CZ) GBP208/12/G016 Institutional support: RVO:61388955 Keywords : electrochemical charging * graphene membrane * in situ Raman spectroelectrochemistry Subject RIV: CG - Electrochemistry Impact factor: 1.674, year: 2016

  17. Low temperature growth of gallium oxide thin films via plasma enhanced atomic layer deposition

    NARCIS (Netherlands)

    O'Donoghue, R.; Rechmann, J.; Aghaee, M.; Rogalla, D.; Becker, H.-W.; Creatore, M.; Wieck, A.D.; Devi, A.P.K.

    2017-01-01

    Herein we describe an efficient low temperature (60–160 °C) plasma enhanced atomic layer deposition (PEALD) process for gallium oxide (Ga2O3) thin films using hexakis(dimethylamido)digallium [Ga(NMe2)3]2 with oxygen (O2) plasma on Si(100). The use of O2 plasma was found to have a significant

  18. Selective, electrochemical etching of a semiconductor

    Science.gov (United States)

    Dahal, Rajendra P.; Bhat, Ishwara B.; Chow, Tat-Sing

    2018-03-20

    Methods for facilitating fabricating semiconductor structures are provided which include: providing a multilayer structure including a semiconductor layer, the semiconductor layer including a dopant and having an increased conductivity; selectively increasing, using electrochemical processing, porosity of the semiconductor layer, at least in part, the selectively increasing porosity utilizing the increased conductivity of the semiconductor layer; and removing, at least in part, the semiconductor layer with the selectively increased porosity from the multilayer structure. By way of example, the selectively increasing porosity may include selectively, anodically oxidizing, at least in part, the semiconductor layer of the multilayer structure.

  19. Surface passivation of nano-textured fluorescent SiC by atomic layer deposited TiO2

    DEFF Research Database (Denmark)

    Lu, Weifang; Ou, Yiyu; Jokubavicius, Valdas

    2016-01-01

    Nano-textured surfaces have played a key role in optoelectronic materials to enhance the light extraction efficiency. In this work, morphology and optical properties of nano-textured SiC covered with atomic layer deposited (ALD) TiO2 were investigated. In order to obtain a high quality surface fo...

  20. Electrochemical, morphological and microstructural characterization of carbon film resistor electrodes for application in electrochemical sensors

    International Nuclear Information System (INIS)

    Gouveia-Caridade, Carla; Soares, David M.; Liess, Hans-Dieter; Brett, Christopher M.A.

    2008-01-01

    The electrochemical and microstructural properties of carbon film electrodes made from carbon film electrical resistors of 1.5, 15, 140 Ω and 2.0 kΩ nominal resistance have been investigated before and after electrochemical pre-treatment at +0.9 V vs SCE, in order to assess the potential use of these carbon film electrodes as electrochemical sensors and as substrates for sensors and biosensors. The results obtained are compared with those at electrodes made from previously investigated 2 Ω carbon film resistors. Cyclic voltammetry was performed in acetate buffer and phosphate buffer saline electrolytes and the kinetic parameters of the model redox system Fe(CN) 6 3-/4- obtained. The 1.5 Ω resistor electrodes show the best properties for sensor development with wide potential windows, similar electrochemical behaviour to those of 2 Ω and close-to-reversible kinetic parameters after electrochemical pre-treatment. The 15 and 140 Ω resistor electrodes show wide potential windows although with slower kinetics, whereas the 2.0 kΩ resistor electrodes show poor cyclic voltammetric profiles even after pre-treatment. Electrochemical impedance spectroscopy related these findings to the interfacial properties of the electrodes. Microstructural and morphological studies were carried out using contact mode Atomic Force Microscopy (AFM), Confocal Raman spectroscopy and X-ray diffraction. AFM showed more homogeneity of the films with lower nominal resistances, related to better electrochemical characteristics. X-ray diffraction and Confocal Raman spectroscopy indicate the existence of a graphitic structure in the carbon films

  1. In situ electrochemical high-energy X-ray diffraction using a capillary working electrode cell geometry

    Energy Technology Data Exchange (ETDEWEB)

    Young, Matthias J.; Bedford, Nicholas M.; Jiang, Naisheng; Lin, Deqing; Dai, Liming

    2017-05-26

    The ability to generate new electrochemically active materials for energy generation and storage with improved properties will likely be derived from an understanding of atomic-scale structure/function relationships during electrochemical events. Here, the design and implementation of a new capillary electrochemical cell designed specifically forin situhigh-energy X-ray diffraction measurements is described. By increasing the amount of electrochemically active material in the X-ray path while implementing low-Zcell materials with anisotropic scattering profiles, an order of magnitude enhancement in diffracted X-ray signal over traditional cell geometries for multiple electrochemically active materials is demonstrated. This signal improvement is crucial for high-energy X-ray diffraction measurements and subsequent Fourier transformation into atomic pair distribution functions for atomic-scale structural analysis. As an example, clear structural changes in LiCoO2under reductive and oxidative conditions using the capillary cell are demonstrated, which agree with prior studies. Accurate modeling of the LiCoO2diffraction data using reverse Monte Carlo simulations further verifies accurate background subtraction and strong signal from the electrochemically active material, enabled by the capillary working electrode geometry.

  2. Atomic Resolution Structural and Chemical Imaging Revealing the Sequential Migration of Ni, Co, and Mn upon the Battery Cycling of Layered Cathode

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Pengfei; Zheng, Jianming; Zhang, Ji-Guang; Wang, Chongmin

    2017-05-11

    Layered lithium transition metal oxides (LTMO) are promising candidate cathode materials for next generation high energy density lithium ion battery. The challenge for using this category of cathode is the capacity and voltage fading, which is believed to be associated with the layered structure disordering, a process that is initiated from the surface or solid-electrolyte interface and facilitated by transition metal (TM) reduction and oxygen vacancy formation. However, the atomic level dynamic mechanism of such a layered structure disordering is still not fully clear. In this work, utilizing atomic resolution electron energy loss spectroscopy (EELS), we map, for the first time at atomic scale, the spatial evolution of Ni, Co and Mn in a cycled LiNi1/3M1/3Co1/3O2 layered cathode. In combination with atomic level structural imaging, we discovered the direct correlation of TM ions migration behavior with lattice disordering, featuring the residing of TM ions in the tetrahedral site and a sequential migration of Ni, Co, and Mn upon the increased lattice disordering of the layered structure. This work highlights that Ni ions, though acting as the dominant redox species in many LTMO, are labile to migrate to cause lattice disordering upon battery cycling; while the Mn ions are more stable as compared with Ni and Co and can act as pillar to stabilize layered structure. Direct visualization of the behavior of TM ions during the battery cycling provides insight for designing of cathode with structural stability and correspondingly a superior performance.

  3. New Method for Super Hydrophobic Treatment of Gas Diffusion Layers for Proton Exchange Membrane Fuel Cells Using Electrochemical Reduction of Diazonium Salts.

    Science.gov (United States)

    Thomas, Yohann R J; Benayad, Anass; Schroder, Maxime; Morin, Arnaud; Pauchet, Joël

    2015-07-15

    The purpose of this article is to report a new method for the surface functionalization of commercially available gas diffusion layers (GDLs) by the electrochemical reduction of diazonium salt containing hydrophobic functional groups. The method results in superhydrophobic GDLs, over a large area, without pore blocking. An X-ray photoelectron spectroscopy study based on core level spectra and chemical mapping has demonstrated the successful grafting route, resulting in a homogeneous distribution of the covalently bonded hydrophobic molecules on the surface of the GDL fibers. The result was corroborated by contact angle measurement, showing similar hydrophobicity between the grafted and PTFE-modified GDLs. The electrochemically modified GDLs were tested in proton exchange membrane fuel cells under automotive, wet, and dry conditions and demonstrated improved performance over traditional GDLs.

  4. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Science.gov (United States)

    Zuzuarregui, Ana; Coto, Borja; Rodríguez, Jorge; Gregorczyk, Keith E.; Ruiz de Gopegui, Unai; Barriga, Javier; Knez, Mato

    2015-08-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  5. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zuzuarregui, Ana, E-mail: a.zuzuarregui@nanogune.eu; Gregorczyk, Keith E. [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier [IK4-Tekniker, Iñaki Goenaga 5, 20600 Eibar (Spain); Rodríguez, Jorge [Torresol Energy (SENER Group), Avda. de Zugazarte 61, 48930 Las Arenas (Spain); Knez, Mato [CIC Nanogune Consolider, de Tolosa Hiribidea 76, 20018 San Sebastián (Spain); IKERBASQUE Basque Foundation for Science, Maria Diaz de Haro 3, 48013 Bilbao (Spain)

    2015-08-10

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur.

  6. Highly reflective polymeric substrates functionalized utilizing atomic layer deposition

    International Nuclear Information System (INIS)

    Zuzuarregui, Ana; Gregorczyk, Keith E.; Coto, Borja; Ruiz de Gopegui, Unai; Barriga, Javier; Rodríguez, Jorge; Knez, Mato

    2015-01-01

    Reflective surfaces are one of the key elements of solar plants to concentrate energy in the receivers of solar thermal electricity plants. Polymeric substrates are being considered as an alternative to the widely used glass mirrors due to their intrinsic and processing advantages, but optimizing both the reflectance and the physical stability of polymeric mirrors still poses technological difficulties. In this work, polymeric surfaces have been functionalized with ceramic thin-films by atomic layer deposition. The characterization and optimization of the parameters involved in the process resulted in surfaces with a reflection index of 97%, turning polymers into a real alternative to glass substrates. The solution we present here can be easily applied in further technological areas where seemingly incompatible combinations of polymeric substrates and ceramic coatings occur

  7. Contribution of the cashew gum (Anacardium occidentale L.) for development of layer-by-layer films with potential application in nanobiomedical devices

    Energy Technology Data Exchange (ETDEWEB)

    Araujo, I.M.S. [Departamento de Quimica, Centro de Ciencias da Natureza, CCN, Universidade Federal do Piaui, UFPI, Teresina, PI, 64049-550 (Brazil); Nucleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Parnaiba, CMRV, Universidade Federal do Piaui, UFPI, Parnaiba, PI, 64202-020 (Brazil); Zampa, M.F. [Nucleo de Pesquisa em Biodiversidade e Biotecnologia, BIOTEC, Campus Parnaiba, CMRV, Universidade Federal do Piaui, UFPI, Parnaiba, PI, 64202-020 (Brazil); Campus Parnaiba, Instituto Federal de Educacao Ciencia e Tecnologia do Piaui, IFPI, Parnaiba, PI, 64210-260 (Brazil); Moura, J.B.; Santos, J.R. dos [Departamento de Quimica, Centro de Ciencias da Natureza, CCN, Universidade Federal do Piaui, UFPI, Teresina, PI, 64049-550 (Brazil); Eaton, P. [REQUIMTE, Faculdade de Ciencias da Universidade do Porto, Rua do Campo Alegre, Porto, 4169-007 (Portugal); Zucolotto, V. [Grupo de Biofisica Molecular Sergio Mascarenhas, Instituto de Fisica de Sao Carlos, IFSC, USP, Sao Carlos, SP, 13566-590 (Brazil); and others

    2012-08-01

    The search for bioactive molecules to be employed as recognition elements in biosensors has stimulated researchers to pore over the rich Brazilian biodiversity. In this sense, we introduce the use of natural cashew gum (Anacardium occidentale L.) as an active biomaterial to be used in the form of layer-by-layer films, in conjunction with phthalocyanines, which were tested as electrochemical sensors for dopamine detection. We investigated the effects of chemical composition of cashew gum from two different regions of Brazil (Piaui and Ceara states) on the physico-chemical characteristics of these nanostructures. The morphology of the nanostructures containing cashew gum was studied by atomic force microscopy which indicates that smooth films punctuated by globular features were formed that showed low roughness values. The results indicate that, independent of the origin, cashew gum stands out as an excellent film forming material with potential application in nanobiomedical devices as electrochemical sensors. Highlights: Black-Right-Pointing-Pointer This study focused on the use of cashew gum for the formation of LbL films. Black-Right-Pointing-Pointer LbL films containing cashew gums were investigated by AFM and cyclic voltammetry. Black-Right-Pointing-Pointer Cashew gum contributed to obtain stable films with well-defined redox processes. Black-Right-Pointing-Pointer Cashew gum films detected dopamine in low concentrations. Black-Right-Pointing-Pointer These LbL films presented potential application in nanobiomedical devices.

  8. Contribution of the cashew gum (Anacardium occidentale L.) for development of layer-by-layer films with potential application in nanobiomedical devices

    International Nuclear Information System (INIS)

    Araújo, I.M.S.; Zampa, M.F.; Moura, J.B.; Santos, J.R. dos; Eaton, P.; Zucolotto, V.

    2012-01-01

    The search for bioactive molecules to be employed as recognition elements in biosensors has stimulated researchers to pore over the rich Brazilian biodiversity. In this sense, we introduce the use of natural cashew gum (Anacardium occidentale L.) as an active biomaterial to be used in the form of layer-by-layer films, in conjunction with phthalocyanines, which were tested as electrochemical sensors for dopamine detection. We investigated the effects of chemical composition of cashew gum from two different regions of Brazil (Piauí and Ceará states) on the physico-chemical characteristics of these nanostructures. The morphology of the nanostructures containing cashew gum was studied by atomic force microscopy which indicates that smooth films punctuated by globular features were formed that showed low roughness values. The results indicate that, independent of the origin, cashew gum stands out as an excellent film forming material with potential application in nanobiomedical devices as electrochemical sensors. Highlights: ► This study focused on the use of cashew gum for the formation of LbL films. ► LbL films containing cashew gums were investigated by AFM and cyclic voltammetry. ► Cashew gum contributed to obtain stable films with well-defined redox processes. ► Cashew gum films detected dopamine in low concentrations. ► These LbL films presented potential application in nanobiomedical devices.

  9. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Science.gov (United States)

    Weiying, Ou; Lei, Zhao; Hongwei, Diao; Jun, Zhang; Wenjing, Wang

    2011-05-01

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells.

  10. Optical and electrical properties of porous silicon layer formed on the textured surface by electrochemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Ou Weiying; Zhao Lei; Diao Hongwei; Zhang Jun; Wang Wenjing, E-mail: wjwangwj@126.com [Key Laboratory of Solar Thermal Energy and Photovoltaic System, Institute of Electrical Engineering, Chinese Academy of Sciences, Beijing 100190 (China)

    2011-05-15

    Porous silicon (PS) layers were formed on textured crystalline silicon by electrochemical etching in HF-based electrolyte. Optical and electrical properties of the TMAH textured surfaces with PS formation are studied. Moreover, the influences of the initial structures and the anodizing time on the optical and electrical properties of the surfaces after PS formation are investigated. The results show that the TMAH textured surfaces with PS formation present a dramatic decrease in reflectance. The longer the anodizing time is, the lower the reflectance. Moreover, an initial surface with bigger pyramids achieved lower reflectance in a short wavelength range. A minimum reflectance of 3.86% at 460 nm is achieved for a short anodizing time of 2 min. Furthermore, the reflectance spectrum of the sample, which was etched in 3 vol.% TMAH for 25 min and then anodized for 20 min, is extremely flat and lies between 3.67% and 6.15% in the wavelength range from 400 to 1040 nm. In addition, for a short anodizing time, a slight increase in the effective carrier lifetime is observed. Our results indicate that PS layers formed on a TMAH textured surface for a short anodization treatment can be used as both broadband antireflection coatings and passivation layers for the application in solar cells. (semiconductor technology)

  11. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan

    2014-11-10

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green\\'s function (NEGF).

  12. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene

    KAUST Repository

    Lin, Yu-Chuan; Chang, Chih-Yuan S.; Ghosh, Ram Krishna; Li, Jie; Zhu, Hui; Addou, Rafik; Diaconescu, Bogdan; Ohta, Taisuke; Peng, Xin; Lu, Ning; Kim, Moon J.; Robinson, Jeremy T.; Wallace, Robert M; Mayer, Theresa S.; Datta, Suman; Li, Lain-Jong; Robinson, Joshua A.

    2014-01-01

    Heterogeneous engineering of two-dimensional layered materials, including metallic graphene and semiconducting transition metal dichalcogenides, presents an exciting opportunity to produce highly tunable electronic and optoelectronic systems. In order to engineer pristine layers and their interfaces, epitaxial growth of such heterostructures is required. We report the direct growth of crystalline, monolayer tungsten diselenide (WSe2) on epitaxial graphene (EG) grown from silicon carbide. Raman spectroscopy, photoluminescence, and scanning tunneling microscopy confirm high-quality WSe2 monolayers, whereas transmission electron microscopy shows an atomically sharp interface, and low energy electron diffraction confirms near perfect orientation between WSe2 and EG. Vertical transport measurements across the WSe2/EG heterostructure provides evidence that an additional barrier to carrier transport beyond the expected WSe2/EG band offset exists due to the interlayer gap, which is supported by theoretical local density of states (LDOS) calculations using self-consistent density functional theory (DFT) and nonequilibrium Green's function (NEGF).

  13. Stabilizing nanostructured solid oxide fuel cell cathode with atomic layer deposition.

    Science.gov (United States)

    Gong, Yunhui; Palacio, Diego; Song, Xueyan; Patel, Rajankumar L; Liang, Xinhua; Zhao, Xuan; Goodenough, John B; Huang, Kevin

    2013-09-11

    We demonstrate that the highly active but unstable nanostructured intermediate-temperature solid oxide fuel cell cathode, La0.6Sr0.4CoO3-δ (LSCo), can retain its high oxygen reduction reaction (ORR) activity with exceptional stability for 4000 h at 700 °C by overcoating its surfaces with a conformal layer of nanoscale ZrO2 films through atomic layer deposition (ALD). The benefits from the presence of the nanoscale ALD-ZrO2 overcoats are remarkable: a factor of 19 and 18 reduction in polarization area-specific resistance and degradation rate over the pristine sample, respectively. The unique multifunctionality of the ALD-derived nanoscaled ZrO2 overcoats, that is, possessing porosity for O2 access to LSCo, conducting both electrons and oxide-ions, confining thermal growth of LSCo nanoparticles, and suppressing surface Sr-segregation is deemed the key enabler for the observed stable and active nanostructured cathode.

  14. A new simulation model for electrochemical metal deposition

    International Nuclear Information System (INIS)

    Schmickler, W.; Poetting, K.; Mariscal, M.

    2006-01-01

    A new atomistic simulation model for electrochemical systems is presented. It combines microcanonical molecular dynamics for the electrode with stochastic dynamics for the solution, and allows the simulation of electrochemical deposition and dissolution for specific electrode potentials. As first applications the deposition of silver and platinum on Au(1 1 1) have been studied; both flat surfaces and surfaces with islands have been considered. The two systems behave quite differently: Ag on Au(1 1 1) grows layer by layer, while Pt forms a surface alloy on Au(1 1 1), which is followed by three-dimensional growth

  15. Graphene-based electrochemical supercapacitors

    Indian Academy of Sciences (India)

    WINTEC

    been great interest in graphene, which constitutes an entirely new class of carbon. Electrical characteriza- tion of single-layer graphene has been reported. 12,13. We have investigated the use of graphene as elec- trode material in electrochemical supercapacitors. For this purpose, we have employed graphene prepared.

  16. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  17. Effects of composition of the micro porous layer and the substrate on performance in the electrochemical reduction of CO2 to CO

    Science.gov (United States)

    Kim, Byoungsu; Hillman, Febrian; Ariyoshi, Miho; Fujikawa, Shigenori; Kenis, Paul J. A.

    2016-04-01

    With the development of better catalysts, mass transport limitations are becoming a challenge to high throughput electrochemical reduction of CO2 to CO. In contrast to optimization of electrodes for fuel cells, optimization of gas diffusion electrodes (GDE) - consisting of a carbon fiber substrate (CFS), a micro porous layer (MPL), and a catalyst layer (CL) - for CO2 reduction has not received a lot of attention. Here, we studied the effect of the MPL and CFS composition on cathode performance in electroreduction of CO2 to CO. In a flow reactor, optimized GDEs exhibited a higher partial current density for CO production than Sigracet 35BC, a commercially available GDE. By performing electrochemical impedance spectroscopy in a CO2 flow reactor we determined that a loading of 20 wt% PTFE in the MPL resulted in the best performance. We also investigated the influence of the thickness and wet proof level of CFS with two different feeds, 100% CO2 and the mixture of 50% CO2 and N2, determining that thinner and lower wet proofing of the CFS yields better cathode performance than when using a thicker and higher wet proof level of CFS.

  18. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  19. Correlations between electrochemical activity and heterogeneous catalysis for hydrogen dissociation on platinum

    Energy Technology Data Exchange (ETDEWEB)

    Ross, P N; Stonehart, P [Pratt and Whitney Aircraft, Middletown, Conn. (USA)

    1975-02-01

    Hydrogen-deuterium exchange rates on platinum surfaces have been compared to equivalent hydrogen molecule and adsorbed hydrogen atom electrochemical oxidation rates on the same surfaces. Over a temperature range of 293 to 360/sup 0/K the first order rate constants for H/sub 2/-D/sub 2/ exchange and hydrogen molecule electrochemical oxidation are the same, showing that the absorption-dissociation reaction (TAFEL, BONHOEFFER-FARKAS) is rate controlling. The rate of oxidation of the adsorbed hydrogen atom reaction involving electron transfer (VOLMER) is an order of magnitude larger.

  20. Electrochemical immunosensor with NiAl-layered double hydroxide/graphene nanocomposites and hollow gold nanospheres double-assisted signal amplification.

    Science.gov (United States)

    Qiao, Lu; Guo, Yemin; Sun, Xia; Jiao, Yancui; Wang, Xiangyou

    2015-08-01

    A sensitive electrochemical immunosensor based on NiAl-layered double hydroxide/graphene nanocomposites (NiAl-LDH/G) and hollow gold nanospheres (HGNs) was proposed for chlorpyrifos detection. The NiAl-LDH/G was prepared using a conventional coprecipitation process and reduction of the supporting graphene oxide. Subsequently, the nanocomposites were dispersed with chitosan (CS). The NiAl-LDH/G possessed good electrochemical behavior and high binding affinity to the electrode. The high surface areas of HGNs and the vast aminos and hydroxyls of CS provided a platform for the covalently crosslinking of antibody. Under optimal conditions, the immunosensor exhibited a wide linear range from 5 to 150 μg/mL and from 150 to 2 μg/mL, with a detection limit of 0.052 ng/mL. The detection results showed good agreement with standard gas chromatography method. The constructed immunosensor exhibited good reproducibility, high specificity, acceptable stability and regeneration performance, which provided a new promising tool for chlorpyrifos detection in real samples.