WorldWideScience

Sample records for edge plasma biasing

  1. Asymmetry of edge plasma turbulence in biasing experiments on tokamak TF-2

    International Nuclear Information System (INIS)

    Budaev, V.P.

    1994-01-01

    It was observed in tokamaks the suppression of edge turbulence causes by setting a radial electric field at the plasma edge. The poloidal plasma rotation governed by this electric field is likely to result in changes in edge convention and poloidal asymmetry, however there is no experimental evidence about that of the experimental database concerning the biasing and conditions of edge plasma electrostatic turbulence excitation is not still complete. Also a relation between macroscopic convection and small-scale electrostatic turbulence have not yet revealed both in biasing and non biasing plasmas. In this paper results from biasing experiments carried on on ohmically heated tokamak TF-2 are presented. Changes in both equilibrium and fluctuated edge plasma parameters also convection and turbulence driven particle flux were demonstrated in probe measurements with biasing of electrode immersed within Last Closed Flux Surface (LCFS). Poloidal edge plasma structure and charge in asymmetry have demonstrated in the biasing experiments. (author). 6 refs, 4 figs

  2. Divertor plasma modification by divertor biasing and edge ergodization in JFT-2M

    International Nuclear Information System (INIS)

    Shoji, T.; Nagashima, K.; Tamai, H.; Ohdachi, S.; Miura, Y.; Ohasa, K.; Maeda, H.; Ohyabu, N.; Leonard, A.W.; Aikawa, H.; Fujita, T.; Hoshino, K.; Kawashima, H.; Matsuda, T.; Maeno, M.; Mori, M.; Ogawa, H.; Shimada, M.; Uehara, K.; Yamauchi, T.

    1995-01-01

    The effects of divertor biasing and edge ergodization on the divertor plasma have been investigated in the JFT-2M tokamak. Experimental results show; (1) The differential divertor biasing can change the in/out asymmetry of the divertor plasma. It especially changes the density on the ion side divertor plasma. The in/out electron pressure difference has a good correlation with the biasing current. (2) The unipolar divertor biasing can change the density profile of divertor plasma. The radial electric field and shear flow are the cause for this change. (3) The electron temperature of the divertor plasma in the H-mode with frequent ELMs induced by edge ergodization is lower than that of usual H-mode. That is due to the enhancement of the radial particle flux by frequent ELMs, ((orig.))

  3. Modifications of plasma edge electric field and confinement properties by limiter biasing on the KT-5C tokamak

    International Nuclear Information System (INIS)

    Hui Gao; Kan Zhai; Yizhi Wen; Shude Wan; Guiding Wang; Changxun Yu

    1995-01-01

    Experiments using a biased multiblock limiter in the KT-5C tokamak show that positive biasing is more effective than negative biasing in modifying the edge electric field, suppressing fluctuations and improving plasma confinement. The biasing effect varies with the limiter area, the toroidal magnetic field and the biasing voltage. By positive biasing, the edge profiles of the plasma potential, the electron temperature and the density become steeper, resulting in a reduced edge particle flux, an increased global particle confinement time and lower fluctuation levels of the edge plasma. (author)

  4. Disruption avoidance in the SINP-Tokamak by means of electrode-biasing at the plasma edge

    Energy Technology Data Exchange (ETDEWEB)

    Basu, Debjyoti [Saha Institute of Nuclear Physics, 1/AF-Bidhannagar, Kolkata 700064, WB (India); Instituto de Ciencias Nucleares-UNAM, Mexico D.F. 04510 (Mexico); Pal, Rabindranath [Saha Institute of Nuclear Physics, 1/AF-Bidhannagar, Kolkata 700064, WB (India); Martinell, Julio J. [Instituto de Ciencias Nucleares-UNAM, Mexico D.F. 04510 (Mexico); Ghosh, Joydeep; Chattopadhyay, Prabal K. [Institute for Plasma Research, Gandhinagar (India)

    2013-05-15

    Control of plasma disruption by a biased edge electrode is reported in SINP-Tokamak. The features that characterize a plasma disruption are reduced with increasing bias potential. The disruption can be completely suppressed with the concomitant stabilization of observed MHD modes that are allegedly precursors of the disruption. An m = 3/n = 1 tearing mode, which apparently causes disruption can be stabilized when a negative biasing potential is applied near the edge. These changes in the disruptive behavior with edge biasing are hypothesized to be due to changes in the current density profile.

  5. Disruption avoidance in the SINP-Tokamak by means of electrode-biasing at the plasma edge

    International Nuclear Information System (INIS)

    Basu, Debjyoti; Pal, Rabindranath; Martinell, Julio J.; Ghosh, Joydeep; Chattopadhyay, Prabal K.

    2013-01-01

    Control of plasma disruption by a biased edge electrode is reported in SINP-Tokamak. The features that characterize a plasma disruption are reduced with increasing bias potential. The disruption can be completely suppressed with the concomitant stabilization of observed MHD modes that are allegedly precursors of the disruption. An m = 3/n = 1 tearing mode, which apparently causes disruption can be stabilized when a negative biasing potential is applied near the edge. These changes in the disruptive behavior with edge biasing are hypothesized to be due to changes in the current density profile

  6. Observation of self-organized criticality (SOC) behavior during edge biasing experiment on TEXTOR

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Y.H.; Jachmich, S.; Weynants, R.R. [Ecole Royale Militaire/Koninklijke Militaire School, Laboratory for Plasma Physics, Euratom-Belgian State Association, Brussels, Belgium, Partner in the Trilateral Euregio Cluster (Belgium)

    2004-07-01

    The self-organized criticality (SOC) behavior of the edge plasma transport has been investigated using the fluctuation data measured in the plasma edge and the scrape-off layer of TEXTOR tokamak before and during the edge electrode biasing experiments. In the 'non-shear' discharge phase before biasing, both the potential and density fluctuations clearly exhibit some of the characteristics associated with SOC: (1) existence of f{sup -1} power-law dependence in the frequency spectrum, (2) slowly decaying long tails in the autocorrelation function, (3) values of Hurst parameters larger than 0.5 at all the detected radial locations, (4) non-Gaussian probability density function of fluctuations and (5) radial propagation of avalanche-like events in the edge plasma area. During the biasing phase, with the generation of an edge radial electric field E{sub r} and hence a sheared E{sub r} x B flow, the local turbulence is found to be well de-correlated by the E{sub r} x B velocity shear, consistent with theoretical predictions. Nevertheless, it is concomitantly found that the Hurst parameters are substantially enhanced in the negative flow shear region and in the scrape-off layer as well, which is contrary to theoretical expectation. Implication of these observations to our understanding of plasma transport mechanisms is discussed. (authors)

  7. Relaxation of potential, flows, and density in the edge plasma of Castor tokamak

    International Nuclear Information System (INIS)

    Hron, M.; Weinzettl, V.; Dufkova, E.; Duran, I.; Stoeckel, J.; Hidalgo, C.

    2004-01-01

    Decay times of plasma flows and plasma profiles have been measured after a sudden biasing switch-off in experiments on the Castor tokamak. A biased electrode has been used to polarize the edge plasma. The edge plasma potential and flows have been characterized by means of Langmuir and Mach probes, the radiation was measured using an array of bolometers. Potential profiles and poloidal flows can be well fitted by an exponential decay time in the range of 10 - 30 μs when the electrode biasing is turned off in the Castor tokamak. The radiation shows a slower time scale (about 1 ms), which is linked to the evolution in the plasma density and particle confinement. (authors)

  8. Enhanced confinement with plasma biasing in the MST reversed field pinch

    International Nuclear Information System (INIS)

    Craig, D.; Almagri, A.F.; Anderson, J.K.

    1997-06-01

    We report an increase in particle confinement with plasma biasing in a reversed field pinch. Miniature plasma sources are used as electrodes to negatively bias the plasma at the edge (r/a ∼ 0.9). Particle content increases and H α radiation decreases upon application of bias and global particle confinement roughly doubles as a result. Measurements of plasma potential, impurity flow, and floating potential fluctuations indicate that strong flows are produced and that electrostatic fluctuations are reduced

  9. Edge Plasma Physics and Relevant Diagnostics on the CASTOR tokamak

    Czech Academy of Sciences Publication Activity Database

    Stöckel, Jan; Devynck, P.; Gunn, J.; Martines, E.; Bonhomme, G.; Van Oost, G.; Hron, Martin; Ďuran, Ivan; Pánek, Radomír; Stejskal, Pavel; Adámek, Jiří

    2004-01-01

    Roč. 3, - (2004), s. 1-6 ISSN 1433-5581. [First Cairo Conference on Plasma Physics & Applications. Cairo, 11.10.2003-15.10.2003] R&D Projects: GA ČR GA202/03/0786; GA ČR GP202/03/P062 Keywords : tokamak * edge plasma * probe diagnostics * biasing * turbulence * polarization Subject RIV: BL - Plasma and Gas Discharge Physics

  10. Investigation of self-organized criticality behavior of edge plasma transport in Torus experiment of technology oriented research

    International Nuclear Information System (INIS)

    Xu, Y.H.; Jachmich, S.; Weynants, R.R.; Huber, A.; Unterberg, B.; Samm, U.

    2004-01-01

    The self-organized criticality (SOC) behavior of the edge plasma transport has been studied using fluctuation data measured in the plasma edge and the scrape-off layer of Torus experiment of technology oriented research tokamak [H. Soltwisch et al., Plasma Phys. Controlled Fusion 26, 23 (1984)] before and during the edge biasing experiments. In the 'nonshear' discharge phase before biasing, the fluctuation data clearly show some of the characteristics associated with SOC, including similar frequency spectra to those obtained in 'sandpile' transport and other SOC systems, slowly decaying long tails in the autocorrelation function, values of Hurst parameters larger than 0.5 at all the detected radial locations, and a radial propagation of avalanchelike events in the edge plasma area. During the edge biasing phase, with the generation of an edge radial electric field E r and thus of E r xB flow shear, contrary to theoretical expectation, the Hurst parameters are substantially enhanced in the negative flow shear region and in the scrape-off layer as well. Concomitantly, it is found that the local turbulence is well decorrelated by the E r xB velocity shear, consistent with theoretical predictions

  11. UCLA program in theory and modeling of edge physics and plasma material interaction

    International Nuclear Information System (INIS)

    Conn, R.W.; Najmabadi, F.; Grossman, A.; Merriman, B.; Day, M.

    1992-01-01

    Our research activity in edge plasma modeling is directed towards understanding edge plasma behavior and towards innovative solutions for controlling the edge plasma as well as the design and operation of impurity control, particle exhaust. and plasma facing components. During the last nine months, substantial progress was made in many areas. The highlights are: (A) Development of a second-generation edge-plasma simulation code (Section II); (B) Development of models for gas-target divertors, including a 1 1/2-D fluid model for plasma and Monte Carlo neutral-transport simulations (Section III); and (C) Utilization of the RF ponderomotive force and electrostatic biasing to distribute the heat load on a larger area of the divertor plate, and the development of analytical and numerical transport models that include both ponderomotive and electrostatic potentials

  12. Plasma confinement using biased electrode in the TCABR tokamak

    International Nuclear Information System (INIS)

    Nascimento, I.C.; Kuznetsov, Y.K.; Severo, J.H.F.; Fonseca, A.M.M.; Elfimov, A.; Bellintani, V.; Heller, M.V.A.P.; Galvao, R.M.O.; Sanada, E.K.; Elizondo, J.I.; Machida, M.

    2005-01-01

    Experimental data obtained on the TCABR tokamak (R = 0.61 m, r = 0.18 m) with an electrally polarized electrode, placed at r = 0.16 m, is reported in this paper. The experiment was performed with plasma current of 90 kA (q 3.1), and hydrogen gas injection adjusted for keeping the electron density at 1.0x10(19) m(-3) without bias. Temporal and radial profiles of plasma parameters with and without bias were measured. The comparison of the profiles shows an increase of the density, up to a maximum factor of 2.6, while H-alpha hydrogen spectral line intensity decreases, and the CIII impurity stays on the same level. The analysis of temporal and radial profiles of plasma parameters indicates that the confined plasma entered in the H-mode regime. The data analysis shows a maximum enhanced confinement factor of 1.95, decaying to 1.5 at the maximum of the density, in comparison with predicted Neo-Alcator scaling law values. Indications of transient increase of the density gradient near the plasma edge were obtained with measurements of density profiles. Calculations of turbulence and transport at the plasma edge, using measured floating potentials and ion saturation currents, show strong decrease in the power spectra and transport. Bifurcation was not observed, and the decrease in the saturation current occurs in 50 microseconds. (author)

  13. Edge biasing in the WEGA stellarator

    International Nuclear Information System (INIS)

    Lischtschenko, Oliver

    2009-01-01

    The WEGA stellarator is used to confine low temperature, overdense (densities exceeding the cut-off density of the heating wave) plasmas by magnetic fields in the range of B=50-500 mT. Microwave heating systems are used to ignite gas discharges using hydrogen, helium, neon or argon as working gases. The produced plasmas have been analyzed using Langmuir and emissive probes, a single-channel interferometer and ultra-high resolution Doppler spectroscopy. For a typical argon discharge in the low field operation, B=56 mT, the maximum electron density is n e ∝10 18 m -3 with temperatures in the range of T=4-12 eV. The plasma parameters are determined by using Langmuir probes and are cross-checked with interferometry. It is demonstrated within this work that the joint use of emissive probes and ultra-high resolution Doppler spectroscopy allows a precise measurement of the radial electric field. The focus of this work is on demonstrating the ability to modify the existing radial electric field in a plasma by using the biasing probe. This work commences with a basic approach and first establishes the diagnostic tools in a well-known discharge. Then the perturbation caused by the biasing probe is assessed. Following the characterization of the unperturbed plasmas, plasma states altered by the operation of the energized biasing probe are characterized. During biasing the plasma two different stable plasma states have been found. The two observed plasma states differ in plasma parameter profiles, such as density, temperature, electric field and confined energy. (orig.)

  14. Active probing of plasma edge turbulence and feedback studies on the Texas Experimental Tokamak (TEXT)

    International Nuclear Information System (INIS)

    Uckan, T.; Carreras, B.A.; Richards, B.; Bengtson, R.D.; Crockett, D.B.; Gentle, K.W.; Li, G.X.; Hurwitz, P.D.; Rowan, W.L.; Tsui, H.Y.W.; Wootton, A.J.

    1993-01-01

    The edge fluctuations play a critical role in the overall tokamak confinement. Experiments on TEXT show that electrostatic fluctuations in the edge plasma are the dominant mechanism for energy and particle transport. The basic mechanisms responsible for the edge turbulence are the subject of ongoing research in fusion devices. To understand the driving forces responsible for edge fluctuations, a novel experiment is underway on TEXT to actively modify the turbulence at the plasma edge by launching waves using electrostatic probes in the shadow of the limiter. This technique permits active probing of the spectral properties of the edge turbulence. This new approach to the study of edge fluctuations can provide more insight into the basic dynamics of the turbulence and may, in turn, enable detailed comparison with the theory. These experiments, which rely on the use of oscillating electric fields at the plasma edge, complement edge fluctuation control studies that are presently limited to the use of applied dc biasing to influence the edge electric field profile. These experiments have been extended to control of the edge plasma fluctuation level, using feedback to explore its effects on the edge turbulence characteristics as well as on confinement

  15. Iridium Coating Deposited by Double Glow Plasma Technique — Effect of Glow Plasma on Structure of Coating at Single Substrate Edge

    International Nuclear Information System (INIS)

    Wu Wangping; Chen Zhaofeng; Liu Yong

    2012-01-01

    Double glow plasma technique has a high deposition rate for preparing iridium coating. However, the glow plasma can influence the structure of the coating at the single substrate edge. In this study, the iridium coating was prepared by double glow plasma on the surface of single niobium substrate. The microstructure of iridium coating at the substrate edge was observed by scanning electron microscopy. The composition of the coating was confirmed by energy dispersive spectroscopy and X-ray diffraction. There was a boundary between the coating and the substrate edge. The covered area for the iridium coating at the substrate edge became fewer and fewer from the inner area to the outer flange-area. The bamboo sprout-like particles on the surface of the substrate edge were composed of elemental niobium. The substrate edge was composed of the Nb coating and there was a transition zone between the Ir coating and the Nb coating. The interesting phenomenon of the substrate edge could be attributed to the effects of the bias voltages and the plasma cloud in the deposition chamber. The substrate edge effect could be mitigated or eliminated by adding lots of small niobium plates around the substrate in a deposition process. (plasma technology)

  16. Active probing of plasma edge turbulence and feedback studies on the Texas Experimental Tokamak (TEXT)

    International Nuclear Information System (INIS)

    Uckan, T.; Richards, B.; Bengtson, R.D.

    1993-01-01

    The edge fluctuations play a critical role in the overall tokamak confinement. Experiments on TEXT show that electrostatic fluctuations in the edge plasma are the dominant mechanism for energy and particle transport. The basic mechanisms responsible for the edge turbulence are the subject of ongoing research in fusion devices. To understand the driving forces responsible for edge fluctuations, a novel experiment is underway on TEXT to actively modify the turbulence at the plasma edge by launching waves using electrostatic probes in the shadow of the limiter. This technique permits active probing of the spectral properties of the edge turbulence. This new approach to the study of edge fluctuations can provide more insight into the basic dynamics of the turbulence and may, in turn, enable detailed comparison with the theory. These experiments, which rely on the use of oscillating electric fields at the plasma edge, complement edge fluctuation control studies that are presently limited to the use of applied dc biasing to influence the edge electric field profile. These experiments have been extended to control of the edge plasma fluctuation level, using feedback to explore its effects on the edge turbulence characteristics as well as on confinement. (author) 8 refs., 7 figs

  17. Edge plasma physical investigations of tokamak plasmas in CRIP

    International Nuclear Information System (INIS)

    Bakos, J.; Ignacz, P.; Koltai, L.; Paszti, F.; Petravich, G.; Szigeti, J.; Zoletnik, S.

    1988-01-01

    The results of the measurements performed in the field of thermonuclear high temperature plasma physics in CRIP (Hungary) are summarized. In the field of the edge plasma physics solid probes were used to test the external zone of plasma edges, and atom beams and balls were used to investigate both the external and internal zones. The plasma density distribution was measured by laser blow-off technics, using Na atoms, which are evaporated by laser pulses. The excitation of Na atom ball by tokamak plasma gives information on the status of the plasma edge. The toroidal asymmetry of particle transport in tokamak plasma was measured by erosion probes. The evaporated and transported impurities were collected on an other part of the plasma edge and were analyzed by SIMS and Rutherford backscattering. The interactions in plasma near the limiter were investigated by a special limiter with implemented probes. Recycling and charge exchange processes were measured. Disruption phenomena of tokamak plasma were analyzed and a special kind of disruptions, 'soft disruptions' and the related preliminary perturbations were discovered. (D.Gy.) 10 figs

  18. Turbulent transport reduction by E x B velocity shear during edge plasma biasing in tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Van Oost, G. [Dept. of Applied Physics, Ghent Univ., Ghent (Belgium); Adamek, J.; Antoni, V.; Balan, P.; Boedo, J.A.; Devynck, P.; Duran, I.; Eliseev, L.; Gunn, J.P.; Hron, M.; Ionita, C.; Jachmich, S.; Kirnev, G.S.; Martines, E.; Melnikov, A.; Peleman, P.; Schrittwieser, R.; Silva, C.; Stoeckel, J.; Tendler, M.; Varandas, C.; Van Schoor, M.; Vershkov, V.; Weynants, R.R.

    2004-07-01

    Experiments in the tokamaks TEXTOR, CASTOR, T-10 and ISTTOK have provided new and complementary evidence on the physics of the universal mechanism of E x B velocity shear stabilization of turbulence, concomitant transport barrier formation and radial conductivity by using various edge biasing techniques. (orig.)

  19. ICRF induced edge plasma convection in ASDEX upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei [Max Planck Institute for Plasma Physics, Garching/Greifswald (Germany); University of Ghent, Ghent (Belgium); Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China); Feng, Yuehe; Lunt, Tilmann; Jacquot, Jonathan; Coster, David; Bilato, Roberto; Bobkov, Volodymyr; Ochoukov, Roman [Max Planck Institute for Plasma Physics, Garching/Greifswald (Germany); Noterdaeme, Jean-Marie [Max Planck Institute for Plasma Physics, Garching/Greifswald (Germany); University of Ghent, Ghent (Belgium); Colas, Laurent [CEA, IRFM, Saint-Paul-Lez-Durance (France); Collaboration: ASDEX Upgrade Team

    2016-07-01

    Ion Cyclotron Range of Frequency (ICRF) heating is one of the main auxiliary plasma heating methods in tokamaks. It relies on the fast wave to heat the plasma. However the slow wave can also be generated parasitically. The parallel electric field of the slow wave can induce large biased plasma potential through sheath rectification. The rapid variation of this rectified potential across the magnetic field can cause significant E x B convection in the Scrape-Off Layer (SOL). The ICRF induced convection can affect the SOL density, influence the ICRF power coupling and enhance the strength of plasma-wall interactions. To explore these physics, we not only show the experimental evidences in ASDEX Upgrade, but also present the associated simulation results with the 3D edge plasma fluid code EMC3-Eirene. Further simulations via combination of EMC3-Eirene and a sheath code SSWICH in an iterative and quasi self-consistent way can give good predictions for future experiments.

  20. Advanced Biasing Experiments on the C-2 Field-Reversed Configuration Device

    Science.gov (United States)

    Thompson, Matthew; Korepanov, Sergey; Garate, Eusebio; Yang, Xiaokang; Gota, Hiroshi; Douglass, Jon; Allfrey, Ian; Valentine, Travis; Uchizono, Nolan; TAE Team

    2014-10-01

    The C-2 experiment seeks to study the evolution, heating and sustainment effects of neutral beam injection on field-reversed configuration (FRC) plasmas. Recently, substantial improvements in plasma performance were achieved through the application of edge biasing with coaxial plasma guns located in the divertors. Edge biasing provides rotation control that reduces instabilities and E × B shear that improves confinement. Typically, the plasma gun arcs are run at ~ 10 MW for the entire shot duration (~ 5 ms), which will become unsustainable as the plasma duration increases. We have conducted several advanced biasing experiments with reduced-average-power plasma gun operating modes and alternative biasing cathodes in an effort to develop an effective biasing scenario applicable to steady state FRC plasmas. Early results show that several techniques can potentially provide effective, long-duration edge biasing.

  1. Effects of the location of a biased limiter on turbulent transport in the IR-T1 tokamak plasma

    International Nuclear Information System (INIS)

    Alipour, R.; Ghoranneviss, M.; Salar Elahi, A.; Meshkani, S.

    2017-01-01

    Plasma confinement plays an important role in fusion study. Applying an external voltage using limiter biasing system is proved to be an efficient approach for plasma confinement. In this study, the position of the limiter biasing system was changed to investigate the effect of applying external voltages at different places to the plasma. The external voltages of ±200 V were applied at the different positions of edge, 5 mm and 10 mm inside the plasma. Then, the main plasma parameters were measured. The results show that the poloidal turbulent transport and radial electric field increased about 25-35% and 35-45%, respectively (specially when the limiter biasing system was placed 5 mm inside the plasma). Also, the Reynolds stress has experienced its maximum reduction about 5-10% when the limiter biasing system was at 5 mm inside the plasma and the voltage of +200 V was applied to the plasma. When the limiter biasing system move 10 mm inside the plasma, the main plasma parameters experienced more instabilities and fluctuations than other positions. (authors)

  2. Properties of the tokamak edge plasma

    International Nuclear Information System (INIS)

    Wolff, H.

    1988-01-01

    A short review of some features of the edge plasma in limiter tokamaks is given. The limits of the simple one-dimensional scrape-off layer (SOL) model and the relation between the core plasma are discussed. Multifaceted asymmetric radiation from the edge (MARFE) phenomena and detached plasma are closely connected with the particle and energy balance of the SOL. Their occurrence is based on the relation of plasma parameters of the edge plasma to those of the core. Important problems of plasma wall interactions are the detection of the impurity sources and sinks and the study of the impurity transport and shielding. The non-uniform character of plasma wall interactions and their dependence on the discharge performance still renders difficult any theoretical forecast of impurity distribution and transport and calls for better diagnostics. (author)

  3. Electrode and limiter biasing experiments on the tokamak ISTTOK

    International Nuclear Information System (INIS)

    Silva, C.; Figueiredo, H.; Cabral, J.A.C.; Nedzelsky, I.; Varandas, C.A.F.

    2003-01-01

    In this contribution limiter and electrode biasing experiments are compared, in particular in what concerns their effects on the edge plasma parameters. For electrode AC bias a substantial increase (>50%) in the average plasma density is observed with positive voltage, without significant changes in the edge density, leading to steeper profiles. The ratio n e /Hα also increases significantly (>20%), indicating an improvement in gross particle confinement. The plasma potential profile is strongly modified as both the edge E r and its shear increase significantly. For positive limiter bias an increase in the average plasma density and the radiation losses is observed, resulting in almost no modification, or a slight, in particle confinement. Preliminary results of simultaneous electrode and limiter bias experiments show that the control of the plasma potential profile is very limited, since negative voltages do not modify the plasma parameters significantly. (author)

  4. Presheath profiles in simulated tokamak edge plasmas

    International Nuclear Information System (INIS)

    LaBombard, B.; Conn, R.W.; Hirooka, Y.; Lehmer, R.; Leung, W.K.; Nygren, R.E.; Ra, Y.; Tynan, G.

    1988-04-01

    The PISCES plasma surface interaction facility at UCLA generates plasmas with characteristics similar to those found in the edge plasmas of tokamaks. Steady state magnetized plasmas produced by this device are used to study plasma-wall interaction phenomena which are relevant to tokamak devices. We report here progress on some detailed investigations of the presheath region that extends from a wall surface into these /open quotes/simulated tokamak/close quotes/ edge plasma discharges along magnetic field lines

  5. Magnetohydrodynamic stability of tokamak edge plasmas

    International Nuclear Information System (INIS)

    Connor, J.W.; Hastie, R.J.; Wilson, H.R.; Miller, R.L.

    1998-01-01

    A new formalism for analyzing the magnetohydrodynamic stability of a limiter tokamak edge plasma is developed. Two radially localized, high toroidal mode number n instabilities are studied in detail: a peeling mode and an edge ballooning mode. The peeling mode, driven by edge current density and stabilized by edge pressure gradient, has features which are consistent with several properties of tokamak behavior in the high confinement open-quotes Hclose quotes-mode of operation, and edge localized modes (or ELMs) in particular. The edge ballooning mode, driven by the pressure gradient, is identified; this penetrates ∼n 1/3 rational surfaces into the plasma (rather than ∼n 1/2 , expected from conventional ballooning mode theory). Furthermore, there exists a coupling between these two modes and this coupling provides a picture of the ELM cycle

  6. Influence of the plasma edge on tokamak performance

    International Nuclear Information System (INIS)

    Wilson, H.R.; Connor, J.W.; Field, A.R.; Fielding, S.J.; Hastie, R.J.; Taylor, J.B.; Miller, R.L.

    2000-01-01

    A number of edge plasma physics phenomena are considered to determine tokamak performance: transport barrier, edge MHD instabilities and plasma flow. These phenomena are thought to be causally related: a spontaneous increase in the plasma flow (actually, its radial variation) suppresses heat and particle fluxes at the plasma edge to form a transport barrier; the edge pressure gradient steepens until limited by MHD instabilities, resulting in a temperature pedestal at the top of the steep gradient region; a number of core transport models predict enhanced confinement for higher values of the temperature pedestal. The article examines these phenomena and their interaction. (author)

  7. Influence of the plasma edge on tokamak performance

    International Nuclear Information System (INIS)

    Wilson, H.R.; Connor, J.W.; Field, A.R.; Fielding, S.J.; Hastie, R.J.; Taylor, J.B.; Miller, R.L.

    1999-01-01

    A number of edge plasma physics phenomena are considered to determine tokamak performance: transport barrier, edge magneto-hydrodynamic (MHD) instabilities, plasma flow. These phenomena are thought to be causally related: a spontaneous increase in the plasma flow (actually, its radial variation) suppresses heat and particle fluxes at the plasma edge, to form a transport barrier; the edge pressure gradient steepens until limited by MHD instabilities, resulting in a temperature pedestal at the top of the steep gradient region; a number of core transport models predict enhanced confinement for higher values of the temperature pedestal. This paper examines these phenomena and their interaction. (author)

  8. Influence of the plasma edge on tokamak performance

    International Nuclear Information System (INIS)

    Wilson, H.R.; Connor, J.W.; Field, A.R.; Fielding, S.J.; Hastie, R.J.; Taylor, J.B.; Miller, R.L.

    2001-01-01

    A number of edge plasma physics phenomena are considered to determine tokamak performance: transport barrier, edge magneto-hydrodynamic (MHD) instabilities, plasma flow. These phenomena are thought to be causally related: a spontaneous increase in the plasma flow (actually, its radial variation) suppresses heat and particle fluxes at the plasma edge, to form a transport barrier; the edge pressure gradient steepens until limited by MHD instabilities, resulting in a temperature pedestal at the top of the steep gradient region; a number of core transport models predict enhanced confinement for higher values of the temperature pedestal. This paper examines these phenomena and their interaction. (author)

  9. Integrated modelling of the edge plasma and plasma facing components

    International Nuclear Information System (INIS)

    Coster, D.P.; Bonnin, X.; Mutzke, A.; Schneider, R.; Warrier, M.

    2007-01-01

    Modelling of the interaction between the edge plasma and plasma facing components (PFCs) has tended to place more emphasis on either the plasma or the PFCs. Either the PFCs do not change with time and the plasma evolution is studied, or the plasma is assumed to remain static and the detailed interaction of the plasma and the PFCs are examined, with no back-reaction on the plasma taken into consideration. Recent changes to the edge simulation code, SOLPS, now allow for changes in both the plasma and the PFCs to be considered. This has been done by augmenting the code to track the time-development of the properties of plasma facing components (PFCs). Results of standard mixed-materials scenarios (base and redeposited C; Be) are presented

  10. Plasma confinement using biased electrode in the TCABR tokamak

    International Nuclear Information System (INIS)

    Nascimento, I.C.; Kuznetsov, Y.K.; Severo, J.H.F.; Fonseca, A.M.M.; Elfimov, A.; Bellintani, V.; Machida, M.; Heller, M.V.A.P.; Galvao, R.M.O.; Sanada, E.K.; Elizondo, J.I.

    2005-01-01

    Experimental data obtained on the TCABR tokamak (R = 0.61 m, a = 0.18 m) with an electrically polarized electrode, placed at r = 0.16 m, is reported in this paper. The experiment was performed with plasma current of 90 kA (q 3.1) and hydrogen gas injection adjusted for keeping the electron density at 1.0 x 10 19 m -3 without bias. Time evolution and radial profiles of plasma parameters with and without bias were measured. The comparison of the profiles shows an increase of the central line-averaged density, up to a maximum factor of 2.6, while H α hydrogen spectral line intensity decreases and the C III impurity stays on the same level. The analysis of temporal behaviour and radial profiles of plasma parameters indicates that the confined plasma enters the H-mode regime. The data analysis shows a maximum enhanced energy confinement factor of 1.95, decaying to 1.5 at the maximum of the density, in comparison with predicted Neo-Alcator scaling law values. Indications of transient increase of the density gradient near the plasma edge were obtained with measurements of density profiles. Calculations of turbulence and transport at the Scrape-Off-Layer, using measured floating potentials and ion saturation currents, show a strong decrease in the power spectra and transport. Bifurcation was not observed and the decrease in the saturation current occurs in 50 μs

  11. Thermal stability of the tokamak plasma edge

    International Nuclear Information System (INIS)

    Stacey, W.M.

    1997-01-01

    The general linear, fluid, thermal instability theory for the plasma edge has been extended. An analysis of a two-dimensional fluid model of the plasma edge has identified the importance of many previously unappreciated phenomena associated with parallel and gyroviscous forces in the presence of large radial gradients, with large radial or parallel flows, with the temperature dependence of transport coefficients, and with the coupling of temperature, flow and density perturbations. The radiative condensation effect is generalized to include a further destabilizing condensation effect associated with radial heat conduction. Representative plasma edge neutral and impurity densities are found to be capable of driving thermal instabilities in the edge transport barrier and radiative mantle, respectively. (author)

  12. Transport in the tokamak plasma edge

    International Nuclear Information System (INIS)

    Vold, E.L.

    1989-01-01

    Experimental observations characterize the edge plasma or boundary layer in magnetically confined plasmas as a region of great complexity. Evidence suggests the edge physics plays a key role in plasma confinement although the mechanism remains unresolved. This study focuses on issues in two areas: observed poloidal asymmetries in the Scrape Off Layer (SOL) edge plasma and the physical nature of the plasma-neutral recycling. A computational model solves the coupled two dimensional partial differential equations governing the plasma fluid density, parallel and radial velocities, electron and ion temperatures and neutral density under assumptions of toroidal symmetry, ambipolarity, anomalous diffusive radial flux, and neutral-ion thermal equilibrium. Drift flow and plasma potential are calculated as dependent quantities. Computational results are compared to experimental data for the CCT and TEXTOR:ALT-II tokamak limiter cases. Comparisons show drift flux is a major component of the poloidal flow in the SOL along the tangency/separatrix. Plasma-neutral recycling is characterized in several tokamak divertors, including the C-MOD device using magnetic flux surface coordinates. Recycling is characterized by time constant, τ rc , on the order of tens of milliseconds. Heat flux transients from the core into the edge on shorter time scales significantly increase the plasma temperatures at the target and may increase sputtering. Recycling conditions in divertors vary considerably depending on recycled flux to the core. The high density, low temperature solution requires that the neutral mean free path be small compared to the divertor target to x-point distance. The simulations and analysis support H-mode confinement and transition models based on the recycling divertor solution bifurcation

  13. Suppression and excitation of MHD activity with an electrically polarized electrode at the TCABR tokamak plasma edge

    International Nuclear Information System (INIS)

    Nascimento, I.C.; Kuznetsov, Yu.K.; Guimaraes-Filho, Z.O.; Chamaa-Neto, I. El; Usuriaga, O.; Fonseca, A.M.M.; Galvao, R.M.O.; Caldas, I.L.; Severo, J.H.F.; Semenov, I.B.; Ribeiro, C.; Heller, M.V.P.; Bellintani, V.; Elizondo, J.I.; Sanada, E.

    2007-01-01

    Two reproducible regimes of tokamak operation, with excitation or suppression of MHD activity can be obtained using a voltage-biased electrode inside the edge of the TCABR tokamak. The experiment was carried out adjusting the tokamak parameters to obtain two types of discharges: with strong or weak MHD activity, without biasing in both cases. The plasma current was adjusted to cover a range of safety factor from 2.9 up to 3.5, so that when biasing was applied the magnetic island (3,1) could interact with the edge barrier. The application of biasing in subsequent discharges of each type resulted in excitation or suppression of the MHD activity. The results show that the dominant modes are m = 2, n = 1 and m = 3, n = 1 for excitation and partial suppression, respectively. In both regimes a strong decrease in the radial electric field is detected with destruction of the transport barrier and of the improved confinement caused by different mechanisms. The measurements include temporal behaviour of edge transport, turbulence, poloidal electric and magnetic fields, edge density, radial electric fields and radial profile of H α line intensity. The explanation of the excitation and suppression processes is discussed in the paper

  14. Edge radial electric field structure in quiescent H-mode plasmas in the DIII-D tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Burrell, K H [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); West, W P [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Doyle, E J [University of California, Los Angeles, CA 90095-1597 (United States); Austin, M E [University of Texas at Austin, Austin, TX 78712 (United States); DeGrassie, J S [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Gohil, P [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Greenfield, C M [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Groebner, R J [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Jayakumar, R [Lawrence Livermore National Laboratory, Livermore, CA 94551-9900 (United States); Kaplan, D H [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Lao, L L [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Leonard, A W [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Makowski, M A [Lawrence Livermore National Laboratory, Livermore, CA 94551-9900 (United States); McKee, G R [University of Wisconsin, Madison, WI 53706-1687 (United States); Solomon, W M [Princeton Plasma Physics Laboratory, Princeton, NJ 08543-0451 (United States); Thomas, D M [General Atomics, PO Box 85608, San Diego, CA 92186-5608 (United States); Rhodes, T L [University of California, Los Angeles, CA 90095-1597 (United States); Wade, M R [Oak Ridge National Laboratory, Oak Ridge, TN 37831 (United States); Wang, G [University of California, Los Angeles, CA 90095-1597 (United States); Watkins, J G [Sandia National Laboratories, Albuquerque, NM 87185 (United States); Zeng, L [University of California, Los Angeles, CA 90095-1597 (United States)

    2004-05-01

    H-mode operation is the choice for next step tokamak devices based on either conventional or advanced tokamak physics. This choice, however, comes at a significant cost for both the conventional and advanced tokamaks because of the effects of edge localized modes (ELMs). ELMs can produce significant erosion in the divertor and can affect the {beta} limit and reduced core transport regions needed for advanced tokamak operation. Experimental results from DIII-D over the past four years have demonstrated a new operating regime, the quiescent H-mode (QH-mode) regime, that solves these problems. QH-mode plasmas have now been run for over 4 s (>30 energy confinement times). Utilizing the steady-state nature of the QH-mode edge allows us to obtain unprecedented spatial resolution of the edge ion profiles and the edge radial electric field, E{sub r}, by sweeping the edge plasma slowly past the view points of the charge exchange spectroscopy system. We have investigated the effects of direct edge ion orbit loss on the creation and sustainment of the QH-mode. Direct loss of ions injected into the velocity-space loss cone at the plasma edge is not necessary for creation or sustainment of the QH-mode. The direct ion orbit loss has little effect on the edge E{sub r} well. The E{sub r} at the bottom of the well in these cases is about -100 kV m{sup -1} compared with -20 to -30 kV m{sup -1} in the standard H-mode. The well is about 1 cm wide, which is close to the diameter of the deuteron gyro-orbit. We also have investigated the effect of changing edge triangularity by changing the plasma shape from upwardly biased single null to magnetically balanced double null. We have now achieved the QH-mode in these double-null plasmas. The increased triangularity allows us to increase pedestal density in QH-mode plasmas by a factor of about 2.5 and overall pedestal pressure by a factor of 2. Pedestal {beta} and {nu}{sup *} values matching the values desired for ITER have been achieved. In

  15. Numerical simulation of edge plasma in tokamak

    International Nuclear Information System (INIS)

    Chen Yiping; Qiu Lijian

    1996-02-01

    The transport process and transport property of plasma in edge layer of Tokamak are simulated by solving numerically two-dimensional and multi-fluid plasma transport equations using suitable simulation code. The simulation results can show plasma parameter distribution characteristics in the area of edge layer, especially the characteristics near the first wall and divertor target plate. The simulation results play an important role in the design of divertor and first wall of Tokamak. (2 figs)

  16. Limiter biasing experiments on the tokamak ISTTOK

    Czech Academy of Sciences Publication Activity Database

    Silva, C.; Nedzelskiy, I.; Figueiredo, H.; Cabral, J. A. C.; Varandas, C. A. F.; Stöckel, Jan

    2003-01-01

    Roč. 53, č. 10 (2003), s. 937-944 ISSN 0011-4626. [Workshop "Electric Fields Structures and Relaxation in Edge Plasmas"/6th./. St. Petersburg, 13.06.2003-14.06.2003] Institutional research plan: CEZ:AV0Z2043910 Keywords : biasing, edge plasma, particle confinement Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.263, year: 2003

  17. DC and AC biasing of a transition edge sensor microcalorimeter

    International Nuclear Information System (INIS)

    Cunningham, M.F.; Ullom, J.N.; Miyazaki, T.; Drury, O.; Loshak, A.; Berg, M.L. van den; Labov, S.E.

    2002-01-01

    We are developing AC-biased transition edge sensor (TES) microcalorimeters for use in large arrays with frequency-domain multiplexing. Using DC bias, we have achieved a resolution of 17 eV FWHM at 2.6 keV with a decay time of 90 μs and an effective detector diameter of 300 μm. We have successfully measured thermal pulses with a TES microcalorimeter operated with an AC bias. We present here preliminary results from a single pixel detector operated under DC and AC bias conditions

  18. Nonlinear neoclassical theory for toroidal edge plasmas

    International Nuclear Information System (INIS)

    Fueloep, T.; Helander, P.

    2001-01-01

    Edge plasma processes play a critical role for the global confinement of the plasma. In the edge region, where impurity ions are abundant and the temperature and density gradients are large, the assumptions of the standard neoclassical theory break down. We have extended the theory of neoclassical transport in an impure plasma with arbitrary cross section and aspect ratio to allow for steeper pressure and temperature gradients than are usually considered in the conventional theory. The gradients are allowed to be so large that the friction force between the bulk ions and heavy impurities is comparable to the parallel impurity pressure gradient. In this case the impurity ions are found to undergo a spontaneous rearrangement on each flux surface. This reduces their parallel friction with the bulk ions and causes the neoclassical ion flux to become a non-monotonic function of the gradients for plasma parameters typical of the tokamak edge. Thus, the neoclassical confinement is improved in regions where the gradients are large, such as in the edge pedestal. The theoretical predictions are compared with experimental data from several tokamaks. (orig.)

  19. Nonlinear neoclassical transport in toroidal edge plasmas

    International Nuclear Information System (INIS)

    Fueloep, T.

    2002-01-01

    Edge plasma processes play a critical role for the global confinement of the plasma in a tokamak. In the edge region, where impurity ions are abundant and the temperature and density gradients are large, the assumptions of standard neoclassical theory break down. This paper reviews recent extensions of neoclassical theory to treat this problem, in particular our own work, which focuses on the nonlinear aspects of transport in a plasma with heavy impurity ions. In this theory, the pressure and temperature gradients are allowed to be steeper than in conventional theory neoclassical theory, so that the friction force between the bulk ions and heavy impurities is comparable to the parallel impurity pressure gradient. The impurity ions are then found to undergo a spontaneous rearrangement on each flux surface. This reduces their parallel friction with the bulk ions and causes the neoclassical ion flux to become a non-monotonic function of the gradients for plasma parameters typical of the tokamak edge. Thus, the neoclassical confinement is improved in regions where the gradients are large, such as in the edge pedestal. (orig.)

  20. Plasma edge modelling with ICRF coupling

    Directory of Open Access Journals (Sweden)

    Zhang Wei

    2017-01-01

    Full Text Available The physics of Radio-Frequency (RF wave heating in the Ion Cyclotron Range of Frequencies (ICRF in the core plasmas of fusion devices are relatively well understood while those in the Scrape-Off Layer (SOL remain still unresolved. This paper is dedicated to study the ICRF interactions with the plasma edge, mainly from the theoretical and numerical point of view, in particular with the 3D edge plasma fluid and neutral transport code EMC3-EIRENE and various wave codes. Here emphasis is given to the improvement of ICRF coupling with local gas puffing and to the ICRF induced density convection in the SOL.

  1. Critical gradients and plasma flows in the edge plasma of Alcator C-Moda)

    Science.gov (United States)

    Labombard, B.; Hughes, J. W.; Smick, N.; Graf, A.; Marr, K.; McDermott, R.; Reinke, M.; Greenwald, M.; Lipschultz, B.; Terry, J. L.; Whyte, D. G.; Zweben, S. J.; Alcator C-Mod Team

    2008-05-01

    Recent experiments have led to a fundamental shift in our view of edge transport physics; transport near the last-closed flux surface may be more appropriately described in terms of a critical gradient phenomenon rather than a diffusive and/or convective paradigm. Edge pressure gradients, normalized by the square of the poloidal magnetic field strength, appear invariant in plasmas with the same normalized collisionality, despite vastly different currents and magnetic fields—a behavior that connects with first-principles electromagnetic plasma turbulence simulations. Near-sonic scrape-off layer (SOL) flows impose a cocurrent rotation boundary condition on the confined plasma when B ×∇B points toward the active x-point, suggesting a link to the concomitant reduction in input power needed to attain high-confinement modes. Indeed, low-confinement mode plasmas are found to attain higher edge pressure gradients in this configuration, independent of the direction of B, evidence that SOL flows may affect transport and "critical gradient" values in the edge plasma.

  2. A complex probe for tokamak plasma edge conditions

    International Nuclear Information System (INIS)

    Castro, R.M. de; Silva, R.P. da; Heller, M.V.A.P.; Caldas, I.L.; Nascimento, I.C.; Degasperi, F.T.

    1995-01-01

    The study of the physical processes that occur in the plasma edge of tokamak machines has recently grown due to the evidence that these processes influence those that occur in the center of the plasma column. Experimental studies show the existence of a strong level of fluctuations in the plasma edge. The results of these studies indicate that these fluctuations enhance particle and energy transport and degrade the confinement. In order to investigate these processes in the plasma edge of the TBR-1 Tokamak, a Langmuir probe array, a triple and a set of magnetic probes have been designed and constructed. With this set probes the mean and fluctuation values of the magnetic field were detected and correlated with the fluctuating parameters obtained with the electrostatic probes. (author). 7 refs., 5 figs

  3. The edge plasma and divertor in TIBER

    Energy Technology Data Exchange (ETDEWEB)

    Barr, W.L.

    1987-10-16

    An open divertor configuration has been adopted for TIBER. Most recent designs, including DIII-D, NET and CIT use open configurations and rely on a dense edge plasma to shield the plasma from the gas produced at the neutralizer plate. Experiments on ASDEX, PDX, D-III, and recently on DIII-D have shown that a dense edge plasma can be produced by re-ionizing most of the gas produced at the plate. This high recycling mode allows a large flux of particles to carry the heat to the plate, so that the mean energy per particle can be low. Erosion of the plate can be greatly reduced if the average impact energy of the ions at the plate can be reduced to near or below the threshold for sputtering of the plate material. The present configuration allows part of the flux of edge plasma ions to be neutralized at the entrance to the pumping duct so that helium is pumped as well as hydrogen. 7 refs., 3 figs.

  4. The edge plasma and divertor in TIBER

    International Nuclear Information System (INIS)

    Barr, W.L.

    1987-01-01

    An open divertor configuration has been adopted for TIBER. Most recent designs, including DIII-D, NET and CIT use open configurations and rely on a dense edge plasma to shield the plasma from the gas produced at the neutralizer plate. Experiments on ASDEX, PDX, D-III, and recently on DIII-D have shown that a dense edge plasma can be produced by re-ionizing most of the gas produced at the plate. This high recycling mode allows a large flux of particles to carry the heat to the plate, so that the mean energy per particle can be low. Erosion of the plate can be greatly reduced if the average impact energy of the ions at the plate can be reduced to near or below the threshold for sputtering of the plate material. The present configuration allows part of the flux of edge plasma ions to be neutralized at the entrance to the pumping duct so that helium is pumped as well as hydrogen. 7 refs., 3 figs

  5. Edge plasma control using an LID configuration on CHS

    Energy Technology Data Exchange (ETDEWEB)

    Masuzaki, S.; Komori, A.; Morisaki, T. [National Inst. for Fusion Science, Oroshi, Toki (Japan)] [and others

    1997-07-01

    A Local Island Divertor (LID) has been proposed to enhance energy confinement through neutral particle control. For the case of the Large Helical Device (LHD), the separatrix of an m/n = 1/1 magnetic island, formed at the edge region, will be utilized as a divertor configuration. The divertor head is inserted in the island, and the island separatrix provides connection between the edge plasma region surrounding the core plasma and the back plate of the divertor head through the field lines. The particle flux and associated heat flux from the core plasma strike the back plate of the divertor head, and thus particle recycling is localized in this region. A pumping duct covers the divertor head to form a closed divertor system for efficient particle exhaust. The advantages of the LID are ease of hydrogen pumping because of the localized particle recycling and avoidance of the high heat load that would be localized on the leading edge of the divertor head. With efficient pumping, the neutral pressure in the edge plasma region will be reduced, and hence the edge plasma temperature will be higher, hopefully leading to a better core confinement region. A LID configuration experiment was done on the Compact Helical System (CHS) to confirm the effect of the LID. The typical effects of the LID configuration on the core plasma are reduction of the line averaged density to a half, and small or no reduction of the stored energy. In this contribution, the experimental results which were obtained in edge plasma control experiments with the LID configuration in the CHS are presented.

  6. Probes for edge plasma studies of TFTR (invited)

    International Nuclear Information System (INIS)

    Manos, D.M.; Budny, R.V.; Kilpatrick, S.; Stangeby, P.; Zweben, S.

    1986-01-01

    Tokamak fusion test reactor (TFTR) probes are designed to study the interaction of the plasma with material surfaces such as the wall and limiters, and to study the transport of particles and energy between the core and edge. Present probe heads have evolved from prototypes in Princeton large torus (PLT), poloidal divertor experiment (PDX) [Princeton BETA experiment (PBX)], and the initial phase of TFTR operation. The newest heads are capable of making several simultaneous measurements and include Langmuir probes, heat flux probes, magnetic coils, rotating calorimeter fast ion probes, and sample exposure specimens. This paper describes these probe heads and presents some of the data they and their prototypes have acquired. The paper emphasizes measurement of transient plasma effects such as fast ion loss during auxiliary heating, the evolution of the edge plasma during heating, compression, and free expansion, and fluctuations in the edge plasma

  7. Edge Plasma Boundary Layer Generated By Kink Modes in Tokamaks

    International Nuclear Information System (INIS)

    Zakharov, L.E.

    2010-01-01

    This paper describes the structure of the electric current generated by external kink modes at the plasma edge using the ideally conducting plasma model. It is found that the edge current layer is created by both wall touching and free boundary kink modes. Near marginal stability, the total edge current has a universal expression as a result of partial compensation of the (delta)-functional surface current by the bulk current at the edge. The resolution of an apparent paradox with the pressure balance across the plasma boundary in the presence of the surface currents is provided.

  8. Plasma edge physics in an actively cooled tokamak

    International Nuclear Information System (INIS)

    Gunn, J.P.; Adamek, A.; Boucher, C.

    2005-01-01

    Tore Supra is a large tokamak with a plasma of circular cross section (major radius 2.4 m and minor radius 0.72 m) lying on a toroidal limiter. Tore Supra's main mission is the development of technology to inject up to 25 MW of microwave heating power and extract it continuously for up to 1000 s in steady state without uncontrolled overheating of, or outgassing from, plasma-facing components. The entire first wall of the tokamak is actively cooled by a high pressure water loop and special carbon fiber composite materials have been designed to handle power fluxes up to 10 MW/m 2 . The edge plasma on open magnetic flux surfaces that intersect solid objects plays an important role in the overall behaviour of the plasma. The transport of sputtered impurity ions and the fueling of the core plasma are largely governed by edge plasma density, temperature, and flow profiles. Measurements of these quantities are becoming more reliable and frequent in many tokamaks, and it has become clear that we do not understand them very well. Classical two-dimensional fluid modelling fails to reproduce many aspects of the experimental observations such as the significant thickness of the edge plasma, and the near-sonic flows that occur where none should be expected. It is suspected that plasma turbulence is responsible for these anomalies. In the Tore Supra tokamak, various kinds of Langmuir probes are used to characterize the edge plasma. We will present original measurements that demonstrate the universality of many phenomena that have been observed in X-point divertor tokamaks, especially concerning the ion flows. As in the JET tokamak, surprisingly large values of parallel Mach number are measured midway between the two strike zones, where one would expect to find nearly stagnant plasma if the particle source were poloidally uniform. We will present results of a novel experiment that provides evidence for a poloidally localized particle and energy source on the outboard midplane of

  9. High-confinement-mode edge stability of Alcator C-mod plasmas

    International Nuclear Information System (INIS)

    Mossessian, D.A.; Snyder, P.; Hubbard, A.; Hughes, J.W.; Greenwald, M.; La Bombard, B.; Snipes, J.A.; Wolfe, S.; Wilson, H.

    2003-01-01

    For steady state high-confinement-mode (H-mode) operation, a relaxation mechanism is required to limit build-up of the edge gradient and impurity content. Alcator C-Mod [Hutchinson et al., Phys. Plasmas 1, 1511 (1994)] sees two such mechanisms--EDA (enhanced D-alpha H mode) and grassy ELMs (edge localized modes), but not large type I ELMs. In EDA the edge relaxation is provided by an edge localized quasicoherent (QC) electromagnetic mode that exists at moderate pedestal temperature T 95 >3.5, and does not limit the buildup of the edge pressure gradient. The q boundary of the operational space of the mode depends on plasma shape, with the q 95 limit moving down with increasing plasma triangularity. At high edge pressure gradients and temperatures the mode is replaced by broadband fluctuations ( f<50 kHz) and small irregular ELMs are observed. Ideal MHD (magnetohydrodynamic) stability analysis that includes both pressure and current driven edge modes shows that the discharges where the QC mode is observed are stable. The ELMs are identified as medium n (10< n<50) coupled peeling/ballooning modes. The predicted stability boundary of the modes as a function of pedestal current and pressure gradient is reproduced in experimental observations. The measured dependence of the ELMs' threshold and amplitude on plasma triangularity is consistent with the results of ideal MHD analysis performed with the linear stability code ELITE [Wilson et al., Phys. Plasmas 9, 1277 (2002)

  10. Effect of neutral atoms on tokamak edge plasmas

    International Nuclear Information System (INIS)

    Fueloep, T.; Catto, Peter J.; Helander, P.

    2001-01-01

    Neutral atoms can significantly influence the physics of tokamak edge plasmas, e.g., by affecting the radial electric field and plasma flow there, which may, in turn, be important for plasma confinement. Earlier work [Fueloep et al., Phys. Plasmas 5, 3969 (1998)], assuming short mean-free path neutrals and Pfirsch-Schlueter ions, has shown that the ion-neutral coupling through charge-exchange affects the neoclassical flow velocity significantly. However, the mean-free path of the neutrals is not always small in comparison with the radial scale length of densities and temperatures in the edge pedestal. It is therefore desirable to determine what happens in the limit when the neutral mean-free path is comparable with the scale length. In the present work a self-similar solution for the neutral distribution function allowing for strong temperature and density variation is used, following the analysis of Helander and Krasheninnikov [Phys. Plasmas 3, 226 (1995)]. The self-similar solution is possible if the ratio of the mean-free path to the temperature and density scale length is constant throughout the edge plasma. The resulting neutral distribution function is used to investigate the neutral effects on the ion flow and electrostatic potential as this ratio varies from much less than one to order unity

  11. Sheared-flow induced confinement transition in a linear magnetized plasma

    International Nuclear Information System (INIS)

    Zhou, S.; Heidbrink, W. W.; Boehmer, H.; McWilliams, R.; Carter, T. A.; Vincena, S.; Friedman, B.; Schaffner, D.

    2012-01-01

    A magnetized plasma cylinder (12 cm in diameter) is induced by an annular shape obstacle at the Large Plasma Device [W. Gekelman, H. Pfister, Z. Lucky, J. Bamber, D. Leneman, and J. Maggs, Rev. Sci. Instrum. 62, 2875 (1991)]. Sheared azimuthal flow is driven at the edge of the plasma cylinder through edge biasing. Strong fluctuations of density and potential (δn/n∼eδφ/kT e ∼0.5) are observed at the plasma edge, accompanied by a large density gradient (L n =∇lnn -1 ∼2cm) and shearing rate (γ∼300kHz). Edge turbulence and cross-field transport are modified by changing the bias voltage (V bias ) on the obstacle and the axial magnetic field (B z ) strength. In cases with low V bias and large B z , improved plasma confinement is observed, along with steeper edge density gradients. The radially sheared flow induced by ExB drift dramatically changes the cross-phase between density and potential fluctuations, which causes the wave-induced particle flux to reverse its direction across the shear layer. In cases with higher bias voltage or smaller B z , large radial transport and rapid depletion of the central plasma density are observed. Two-dimensional cross-correlation measurement shows that a mode with azimuthal mode number m=1 and large radial correlation length dominates the outward transport in these cases. Linear analysis based on a two-fluid Braginskii model suggests that the fluctuations are driven by both density gradient (drift wave like) and flow shear (Kelvin-Helmholtz like) at the plasma edge.

  12. Guiding-center models for edge plasmas and numerical simulations of isolated plasma filaments

    International Nuclear Information System (INIS)

    Madsen, Jens

    2010-09-01

    The work presented in this thesis falls into two categories: development of reduced dynamical models applicable to edge turbulence in magnetically confined fusion plasmas and numerical simulations of isolated plasma filaments in the scrape-off layer region investigating the influence of finite Larmor radius effects on the radial plasma transport. The coexistence of low-frequency fluctuations, having length scales comparable to the ion gyroradius, steep pressure gradients and strong E x B flows in the edge region of fusion plasmas violates the standard gyrokinetic ordering. In this thesis two models are presented that overcome some of the difficulties associated with the development of reduced dynamical models applicable to the edge. Second order guiding-center coordinates are derived using the phasespace Lie transform method. Using a variational principle the corresponding Vlasov-Maxwell equations expressed in guiding-center coordinates are derived including a local energy theorem. The second order terms describe lowest order finite Larmor radius effects. This set of equations might be relevant for edge plasmas due to the capability of capturing strong E x B flows and lowest order finite Larmor radius effects self-consistently. Next, an extension of the existing gyrokinetic formalism with strong flows is presented. In this work the background electric fields is dynamical, whereas earlier contributions did only incorporate a stationary electric field. In an ordering relevant for edge plasma turbulence, fully electromagnetic second order gyrokinetic coordinates and the corresponding gyrokinetic Vlasov-Maxwell equations are derived, including a local energy theorem. By taking the polarization and magnetization densities in the drift kinetic limit, we present the gyrokinetic Vlasov-Maxwell equations in a more tractable form, which could be relevant for direct numerical simulations of edge plasma turbulence. Finally, an investigation of the influence of finite Larmor

  13. Guiding-center models for edge plasmas and numerical simulations of isolated plasma filaments

    Energy Technology Data Exchange (ETDEWEB)

    Madsen, Jens

    2010-09-15

    The work presented in this thesis falls into two categories: development of reduced dynamical models applicable to edge turbulence in magnetically confined fusion plasmas and numerical simulations of isolated plasma filaments in the scrape-off layer region investigating the influence of finite Larmor radius effects on the radial plasma transport. The coexistence of low-frequency fluctuations, having length scales comparable to the ion gyroradius, steep pressure gradients and strong E x B flows in the edge region of fusion plasmas violates the standard gyrokinetic ordering. In this thesis two models are presented that overcome some of the difficulties associated with the development of reduced dynamical models applicable to the edge. Second order guiding-center coordinates are derived using the phasespace Lie transform method. Using a variational principle the corresponding Vlasov-Maxwell equations expressed in guiding-center coordinates are derived including a local energy theorem. The second order terms describe lowest order finite Larmor radius effects. This set of equations might be relevant for edge plasmas due to the capability of capturing strong E x B flows and lowest order finite Larmor radius effects self-consistently. Next, an extension of the existing gyrokinetic formalism with strong flows is presented. In this work the background electric fields is dynamical, whereas earlier contributions did only incorporate a stationary electric field. In an ordering relevant for edge plasma turbulence, fully electromagnetic second order gyrokinetic coordinates and the corresponding gyrokinetic Vlasov-Maxwell equations are derived, including a local energy theorem. By taking the polarization and magnetization densities in the drift kinetic limit, we present the gyrokinetic Vlasov-Maxwell equations in a more tractable form, which could be relevant for direct numerical simulations of edge plasma turbulence. Finally, an investigation of the influence of finite Larmor

  14. Plasma edge and plasma-wall interaction modelling: Lessons learned from metallic devices

    Directory of Open Access Journals (Sweden)

    S. Wiesen

    2017-08-01

    Full Text Available Robust power exhaust schemes employing impurity seeding are needed for target operational scenarios in present day tokamak devices with metallic plasma-facing components (PFCs. For an electricity-producing fusion power plant at power density Psep/R>15MW/m divertor detachment is a requirement for heat load mitigation. 2D plasma edge transport codes like the SOLPS code as well as plasma-wall interaction (PWI codes are key to disentangle relevant physical processes in power and particle exhaust. With increased quantitative credibility in such codes more realistic and physically sound estimates of the life-time expectations and performance of metallic PFCs can be accomplished for divertor conditions relevant for ITER and DEMO. An overview is given on the recent progress of plasma edge and PWI modelling activities for (carbon-free metallic devices, that include results from JET with the ITER-like wall, ASDEX Upgrade and Alcator C-mod. It is observed that metallic devices offer an opportunity to progress the understanding of underlying plasma physics processes in the edge. The validation of models can be substantially improved by eliminating carbon from the experiment as well as from the numerical system with reduced degrees of freedom as no chemical sputtering from amorphous carbon layers and no carbon or hydro-carbon transport are present. With the absence of carbon as the primary plasma impurity and given the fact that the physics of the PWI at metallic walls is less complex it is possible to isolate the crucial plasma physics processes relevant for particle and power exhaust. For a reliable 2D dissipative plasma exhaust model these are: cross-field drifts, complete kinetic neutral physics, geometry effects (including main-chamber, divertor and sub-divertor structures, SOL transport reflecting also the non-diffusive nature of anomalous transport, as well as transport within the pedestal region in case of significant edge impurity radiation

  15. Edge measurements of T/sub e/,T/sub i/,n,E/sub r/ on the DITE tokamak using a biased power bolometer

    International Nuclear Information System (INIS)

    Stangeby, P.C.; McCracken, G.M.; Erents, S.K.; Vince, J.E.; Wilden, R.

    1983-01-01

    A new edge probe, the biased power bolometer or combined heat flux/Langmuir probe, has been used on the DITE tokamak to obtain detailed spatial and temporal information on plasma density, electron and ion temperature (separately), and radial electric field. The radial electric field is of a magnitude and direction to result in local ambipolar flow to each part of a large equipotential surface such as a limiter

  16. Total hydrogen and oxygen fluxes in the edge plasma of tokamaks

    International Nuclear Information System (INIS)

    Kastelewicz, H.

    1988-01-01

    A relativistic model of the edge plasma of tokamaks is described considering the primary neutral fluxes emitted from limiter and wall. The primary neutrals, which determine essentially the particle flux balance in the plasma edge, the scrape-off layer plasma and the particles adsorbed at limiter and wall are treated as separate subsystems which are iteratively coupled through the mutual particle sinks and sources. The model is used for the calculation of total hydrogen and oxygen fluxes in edge plasma of tokamaks. The results for different fractions of and contributions to the total fluxes are illustrated and discussed

  17. New approach in two-dimensional fluid modeling of edge plasma transport with high intermittency due to blobs and edge localized modes

    International Nuclear Information System (INIS)

    Pigarov, A. Yu.; Krasheninnikov, S. I.; Rognlien, T. D.

    2011-01-01

    A new approach is proposed to simulate intermittent, non-diffusive plasma transport (via blobs and filaments of edge localized modes (ELMs)) observed in the tokamak edge region within the framework of two-dimensional transport codes. This approach combines the inherently three-dimensional filamentary structures associated with an ensemble of blobs into a macro-blob in the two-dimensional poloidal cross-section and advects the macro-blob ballistically across the magnetic field, B. Intermittent transport is represented as a sequence of macro-blobs appropriately seeded in the edge plasma according to experimental statistics. In this case, the code is capable of reproducing both the long-scale temporal evolution of the background plasma and the fast spatiotemporal dynamics of blobs. We report the results from a two-dimensional edge plasma code modeling of a single macro-blob dynamics, and its interaction with initially stationary background plasma as well as with material surfaces. The mechanisms of edge plasma particle and energy losses from macro-blobs are analyzed. The effects of macro-blob sizes and advection velocity on edge plasma profiles are studied. The macro-blob impact on power loading and sputtering rates on the chamber wall and on inner and outer divertor plates is discussed. Temporal evolution of particle inventory of the edge plasma perturbed by macro-blobs is analyzed. Application of macro-blobs to ELM modeling is highlighted.

  18. Neutral molecules in tokamak edge plasma - role of vibrationally excited hydrogen molecules

    International Nuclear Information System (INIS)

    Cadez, I.; Cercek, M.; Pelicon, P.; Razpet, A.

    2003-01-01

    The role of neutral molecules in edge plasma is discussed with special emphasis on the vibrationally excited hydrogen. Neutral molecules are formed mostly by surface processes on the walls and then released to the edge plasma where they take part in volumetric reactions with other particles. Typically these molecules are formed in excited states and data are needed for their reactions on the wall and in the volume. Processes in edge plasma determine particle and energy flux what is especially critical issue in tokamak divertor region. Various cross sections and reaction rates are needed for modelling edge plasma and its interaction with walls. (author)

  19. Ion extraction from positively biased laser-ablation plasma

    International Nuclear Information System (INIS)

    Isono, Fumika; Nakajima, Mitsuo; Hasegawa, Jun; Horioka, Kazuhiko

    2016-01-01

    Ions were extracted through a grounded grid from a positively biased laser-ablation plasma and the behaviors were investigated. Since the plasma was positively biased against the grounded wall, we could extract the ions without insulated gap. We confirmed formation of a virtual anode when we increased the distance between the grid and the ion collector. Results also indicated that when the ion flux from the ablation plasma exceeded a critical value, the current was strongly suppressed to the space charge limited level due to the formation of virtual anode.

  20. Extended fluid transport theory in the tokamak plasma edge

    Science.gov (United States)

    Stacey, W. M.

    2017-06-01

    Fluid theory expressions for the radial particle and energy fluxes and the radial distributions of pressure and temperature in the edge plasma are derived from fundamental conservation (particle, energy, momentum) relations, taking into account kinetic corrections arising from ion orbit loss, and integrated to illustrate the dependence of the observed edge pedestal profile structure on fueling, heating, and electromagnetic and thermodynamic forces. Solution procedures for the fluid plasma and associated neutral transport equations are discussed.

  1. Carbon distribution during plasma detachment triggered by edge magnetic island formation in LHD

    International Nuclear Information System (INIS)

    Dong, C.F.; Morita, S.; Kobayashi, M.; Oishi, T.; Goto, M.; Wang, E.H.; Huang, X.L.

    2013-01-01

    The detached plasma has been successfully achieved by applying the edge 1/1 magnetic island in Large Helical Device (LHD). Carbon, which is uniquely the dominant intrinsic impurity in general LHD discharges, is considered to be the main radiating species in the island-triggered detached plasma. The vertical profile of CIV measured from general discharges of LHD is characterized by a single edge intensity peak. In detached plasmas triggered by the edge magnetic island formation, however, the vertical profile of CIV shows a significant difference. Double edge peaks of CIV are found during the plasma detachment and the CIV radiation is also remarkably enhanced in the vicinity of X-point, whereas the vertical profile of CVI does not show any significant difference in both the attached and detached plasmas. In this proceeding the carbon distribution during the plasma detachment is presented and the results are discussed with edge magnetic field structure. (author)

  2. Toward a first-principles integrated simulation of tokamak edge plasmas

    International Nuclear Information System (INIS)

    Chang, C S; Klasky, Scott A; Cummings, Julian; Samtaney, Ravi; Shoshani, A.; Sugiyama, L.; Keyes, David E; Ku, Seung-Hoe; Park, G.; Parker, Scott; Podhorszki, Norbert; Strauss, H.; Abbasi, H.; Adams, Mark; Barreto, Roselyne D; Bateman, Glenn; Bennett, K.; Chen, Yang; D'Azevedo, Eduardo; Docan, Ciprian; Ethier, Stephane; Feibush, E.; Greengard, Leslie; Hahm, Taik Soo; Hinton, Fred; Jin, Chen; Khan, A.; Kritz, Arnold; Krstic, Predrag S; Lao, T.; Lee, Wei-Li; Lin, Zhihong; Lofstead, J.; Mouallem, P. A.; Nagappan, M.; Pankin, A.; Parashar, Manish; Pindzola, Michael S.; Reinhold, Carlos O; Schultz, David Robert; Schwan, Karsten; Silver, D.; Sim, A.; Stotler, D.

    2008-01-01

    Performance of the ITER is anticipated to be highly sensitive to the edge plasma condition. The edge pedestal in ITER needs to be predicted from an integrated simulation of the necessary first principles, multi-scale physics codes. The mission of the SciDAC Fusion Simulation Project (FSP) Prototype Center for Plasma Edge Simulation (CPES) is to deliver such a code integration framework by (1) building new kinetic codes XGC0 and XGC1, which can simulate the edge pedestal buildup; (2) using and improving the existing MHD codes ELITE, M3D-OMP, M3D-MPP and NIMROD, for study of large-scale edge instabilities called Edge Localized Modes (ELMs); and (3) integrating the codes into a framework using cutting-edge computer science technology. Collaborative effort among physics, computer science, and applied mathematics within CPES has created the first working version of the End-to-end Framework for Fusion Integrated Simulation (EFFIS), which can be used to study the pedestal-ELM cycles

  3. Impurity flux collection at the plasma edge of the tokamak MT-1

    International Nuclear Information System (INIS)

    Hildebrandt, D.; Bakos, J.S.; Petravich, G.

    1989-09-01

    Fluxes of intrinsic and injected impurities and background plasma ions were collected using a bidirectional probe at the plasma edge of the tokamak MT-1. The directional and radial dependences of injected impurities and plasma ions were very similar indicating a strong coupling of the impurity transport to the dynamics of the background plasma. The measured intrinsic concentration of about 10 -4 for Mo at the plasma edge is derived. (author) 17 refs.; 5 figs

  4. Code improvements and applications of a two-dimensional edge plasma model for toroidal devices

    International Nuclear Information System (INIS)

    Baelmans, M.

    1994-03-01

    This thesis focuses mainly on plasma behaviour in boundary layers of magnetically confined plasmas. Increasing emphasis has been put on edge studies during the last decade, as it became evident that some aspects of Tokamak operations are largely controlled, or even dominated, by edge processes. Therefore, the motivation for this research is to improve understanding of plasma behaviour in general, and edge plasma behaviour in particular, firstly in present experiments, and also to predict edge plasma conditions in future nuclear fusion devices. In a first section some fundamental concepts and principles of controlled fusion are described. Two different types of plasma confinement concepts which have promising features with regard to the above mentioned goal are outlined in a next section, 1.2. In section 1.3 an introduction to plasma edge phenomena is given. In a last section, 1.4, the outline of the thesis is described. (orig.)

  5. Dual-electrode biasing experiments in KT-5C device

    International Nuclear Information System (INIS)

    Yu Yi; Lu Ronghua; Wang Chen; Pan Geshen; Wen Yizhi; Yu Changxuan; Ma Jinxiu; Wan Shude; Liu Wandong

    2005-01-01

    Based on the single biasing electrode experiments to optimize the confinement of plasma in the device of KT-5C tokamak, dual-biasing electrodes were inserted into the KT5C plasma for the first time to explore the enhancement of the effects of biasing and the mechanisms of the biasing. By means of applying different combinations of biasing voltages to the dual electrodes, the changes in E r , which is the key factor for boosting up the Er x B flow shear, were observed. The time evolution showed the inner electrode played a major role in dual-biasing, for it always drew a larger current than the outer one. The outer electrode made little influence. It turned out that the dual-biasing electrodes were as effective as a single one, in improving plasma confinement, for the mechanism of biasing was essentially an edge effect. (author)

  6. Interaction of ICRF power and edge plasma in Tore Supra ergodic divertor configuration

    International Nuclear Information System (INIS)

    Nguyen, F.; Grosman, A.; Basiuk, V.; Fraboulet, D.; Beaumont, B.; Becoulet, A.; Ghendrih, Ph.; Ladurelle, L.; Meslin, B.

    2000-01-01

    The coupling of ICRF power to plasma is a crucial problem in Tore Supra for high power and long pulse operations and depends greatly on the edge parameters, in particular on the edge density. Conversely, the behaviour of the bulk plasma is related to the edge conditions and the injection of RF power also induces major modifications on the edge plasma. Moreover, the Ergodic Divertor (ED) of Tore Supra imposes a complex configuration at the edge due to the presence of the magnetic perturbation. Several diagnostics are available to study the interaction of ICRF power with the edge plasma: Langmuir probes on the ED modules, infra red (IR) cameras, charge exchange neutral analysers. In minority heating scheme, the edge density is very sensitive to any perturbation in the high recycling regime which is always found in the ED configuration for relevant plasma parameters. Partially detached regimes, with or without inhomogeneities of density and temperature induced by the flux tubes of the laminar layer, are obtained for high resistance coupling values. The coupling is then not very robust and feedback control or antenna automatic matching techniques are developed. In fast wave electron heating scheme with ED, various fast wave absorption mechanisms (minority heating, Mode Conversion, Alfven resonance) are present at the plasma edge due to the large size of the plasma. The ICRF coupling is difficult due to the low fast wave direct electron damping, even with high hydrogen minority scheme. An increase of the injected ICRF power could improve this situation

  7. Poloidal rotation induced by injecting lower hybrid waves in tokamak plasma edge

    International Nuclear Information System (INIS)

    Jiao Yiming; Gao Qingdi; Shi Bingren

    2001-01-01

    The poloidal rotation of the magnetized edge plasma in tokamak driven by the ponderomotive force which is generated by injecting lower hybrid wave (LHW) electric field has been studied. The LHW is launched from a waveguide in the plasma edge, and by Brambilla's grill theory, analytic expressions for the wave electric field in the slab model of an inhomogeneous cold plasma have been derived. It is shown that a strong wave electric field will be generated in the plasma edge by injecting LH wave of the power in MW magnitude, and this electric field will induce a poloidal rotation with a sheared poloidal velocity

  8. Self-consistent non-linear description of radio-frequency wave propagation and of the edge of a magnetized plasma

    International Nuclear Information System (INIS)

    Jacquot, Jonathan

    2013-01-01

    A correct understanding of the interactions between the edge plasma and the ion cyclotron (IC) waves (40-80 MHz) is needed to inject reliably large amount of power required for self-sustainable fusion plasmas. These thesis objectives were to model separately, with Comsol Multiphysics, but in compatible approaches the wave coupling and the radio-frequency (RF) sheath formation to anticipate development of a single code combining both. Modelling of fast wave coupling requires a detailed description of the antenna (2D or 3D) and of the plasma environment by a full wave approach for a cold plasma. Absorption of outgoing waves is emulated by perfectly matched layers, rendered compatible with a plasma dielectric tensor. Experimental trends for the coupling resistance of the antennas of Tore Supra are qualitatively reproduced but the coupling efficiency is overestimated. In parallel a novel self-consistent description, including RF sheaths, of the interplay between the cold wave propagation and DC biasing of the magnetized edge plasma of a tokamak was developed with the minimum set of physics ingredients. For Tore Supra antenna cases, the code coupled with TOPICA allowed to unveil qualitatively some unexpected observations on the latest design of Tore Supra Faraday screens whose electrical design was supposed to minimize RF sheaths. From simulations, a DC (Direct Current) current transport appears necessary to explain the radial structures of measurements. Cantilevered bars have been identified as the design element in the antenna structure enhancing the plasma potential. (author) [fr

  9. Plasma potential measurements in the edge region of the ISTTOK plasma, using electron emissive probes

    International Nuclear Information System (INIS)

    Ionita, C.; Balan, P.; Schrittwieser, R.; Cabral, J.A.; Fernandes, H.; Figueiredo, H. F.C.; Varandas, C.

    2001-01-01

    We have recently started to use electron-emissive probes for direct measurements of the plasma potential and its fluctuations in the edge region of the plasma ring in the tokamak ISTTOK in Lisbon, Portugal. This method is based on the fact that the electron emission current of such a probe is able to compensate electron temperature variations and electron drifts, which can occur in the edge plasma region of magnetized fusion devices, and which are making measurements with cold probes prone to errors. In this contribution we present some of the first results of our investigations in ISTTOK.(author)

  10. Plasma current dependence of the edge pedestal height in JET ELM-free H-modes

    International Nuclear Information System (INIS)

    Nave, M.F.F; Lomas, P.; Gowers, C.; Guo, H.; Hawkes, N.; Huysmans, G.T.A.; Jones, T.; Parail, V.V.; Rimini, F.; Schunke, B.

    2000-01-01

    Some models for the suppression of turbulence in the L to H transition, suggest that the width of the H-mode edge barrier is either proportional or is of the order of the thermal or the fast-ion poloidal Larmor radius. This would require that the width of the edge barrier should depend on the plasma current. This dependence has been clearly verified at JET in experiments designed to control the edge MHD stability of ELM-free hot-ion H-mode plasmas. The effects of isotopic mass and the applicability of several edge barrier models to the hot-ion H-mode plasmas were analysed in (Guo H Y et al 2000 Edge transport barrier in JET hot-ion H-modes Nucl. Fusion 40 69) using a large database containing both deuterium-only and deuterium-tritium plasmas. This database has now been enlarged to include discharges from a plasma shape scan, allowing one to study the dependence of the pedestal height on the edge shear. In addition, the range of plasma currents was extended up to 6 MA. It is shown that the edge data are best described by a model where the edge barrier width is determined by the fast ions weighted towards the components with largest poloidal Larmor radii. However, it is not possible to conclusively eliminate the thermal ion model. (author)

  11. Synthetic Aperture Microwave Imaging (SAMI) of the plasma edge on NSTX-U

    Science.gov (United States)

    Vann, Roddy; Taylor, Gary; Brunner, Jakob; Ellis, Bob; Thomas, David

    2016-10-01

    The Synthetic Aperture Microwave Imaging (SAMI) system is a unique phased-array microwave camera with a +/-40° field of view in both directions. It can image cut-off surfaces corresponding to frequencies in the range 10-34.5GHz; these surfaces are typically in the plasma edge. SAMI operates in two modes: either imaging thermal emission from the plasma (often modified by its interaction with the plasma edge e.g. via BXO mode conversion) or ``active probing'' i.e. injecting a broad beam at the plasma surface and imaging the reflected/back-scattered signal. SAMI was successfully pioneered on the Mega-Amp Spherical Tokamak (MAST) at Culham Centre for Fusion Energy. SAMI has now been installed and commissioned on the National Spherical Torus Experiment Upgrade (NSTX-U) at Princeton Plasma Physics Laboratory. The firmware has been upgraded to include real-time digital filtering, which enables continuous acquisition of the Doppler back-scattered active probing data. In this poster we shall present SAMI's analysis of the plasma edge on NSTX-U including measurements of the edge pitch angle on NSTX-U using SAMI's unique 2-D Doppler-backscattering capability.

  12. Plasma current dependence of the edge pedestal height in JET ELM-free H-modes

    International Nuclear Information System (INIS)

    Nave, M.; Lomas, P.; Gowers, C.

    2000-01-01

    Models for the suppression of turbulence in the L to H transition, suggest that the width of the H-mode edge barrier is either proportional or is of the order of the ion poloidal Larmor radius. This would require that the width of the edge barrier should depend on the plasma current. This dependence has been clearly verified at JET in experiments designed to control the edge MHD stability of ELM-free hot-ion H-mode plasmas. The effects of isotopic mass and the applicability of several edge barrier models to the hot-ion H-mode plasmas were analysed in using a large database containing both Deuterium-only (DD) and Deuterium-Tritium (DT) plasmas. This database has now been enlarged to include discharges from a plasma shape scan, allowing to study the dependence of the pedestal height on the edge shear. In addition the range of plasma currents was extended up to 6 MA. It is shown that the edge data is best described by a model where the edge barrier width is determined by the fast ions weighted towards the components with largest poloidal Larmor radii. However, it is not possible to eliminate conclusively the thermal ion model. (author)

  13. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    International Nuclear Information System (INIS)

    Matsuyama, Shoichiro; Shinohara, Shunjiro

    2001-01-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  14. High plasma rotation velocity and density transitions by biased electrodes in RF produced, magnetized plasma

    Energy Technology Data Exchange (ETDEWEB)

    Matsuyama, Shoichiro; Shinohara, Shunjiro [Kyushu Univ., Interdisciplinary Graduate School of Engineering Sciences, Fukuoka (Japan)

    2001-07-01

    A large density profile modification was successfully obtained by voltage biasing to electrodes inserted in a RF (radio frequency) produced, magnetized plasma, and formation of strong shear of azimuthal plasma rotation velocity in a supersonic regime was found. For the case of biasing to an electrode near the central plasma region, two types of density transitions were observed in the outer plasma region: one was an oscillatory transition between two states, and the other was a transition from high to low density states with a large reduction of density fluctuations. (author)

  15. Neutral particle transport modeling with a reflective source in the plasma edge

    International Nuclear Information System (INIS)

    Valenti, M.E.

    1992-01-01

    A reflective source term is incorporated into the Boltzmann neutral particle transport equation to account for boundary reflection. This reflective neutral model is integrated over a uniform axis and subsequently discretized. The discrete two-dimensional equations are solved iteratively with a computer code. The results of the reflective neutral model computer code are benchmarked with the neutral particle transport code ONEDANT. The benchmark process demonstrates the validity of the reflective neutral model. The reflective neutral model is coupled to the Braams plasma particle and energy transport code. The coupled system generates self-consistent plasma edge transport solutions. These solutions, which utilize the transport equation are similar to solutions which utilize simple plasma edge neutral models when high recycle divertors are modeled. In the high recycle mode, the high electron density at the divertor plate reduces the mean free path of plate neutrals. Hence, the similarity in results. It is concluded that simple neutral models are sufficient for the analysis of high recycle power reactor edge plasmas. Low recycle edge plasmas were not examined

  16. Scaling study of edge plasma parameters using a multi-device database

    International Nuclear Information System (INIS)

    Tsui, H.Y.W.; Miner, W.H.; Wootton, A.J.

    1995-01-01

    A database consisting of edge equilibrium, turbulence and transport related plasma parameters has been compiled. Scaling laws for edge density, electron temperature, and radial particle flux are derived in an initial study using a subset of data obtained from tokamaks Phaedrus-T, Tokamak de Varennes, TEXT and TEXT-U. A comparison of edge particle transport in divertor and limiter plasmas shows that the magnetic topology of a separatrix or a divertor improves particle confinement. The particle diffusion coefficient varies radially in a manner opposite to that of Bohm diffusion. ((orig.))

  17. Peeling-off of the external kink modes at tokamak plasma edge

    International Nuclear Information System (INIS)

    Zheng, L. J.; Furukawa, M.

    2014-01-01

    It is pointed out that there is a current jump between the edge plasma inside the last closed flux surface and the scrape-off layer and that the current jump can lead the external kink modes to convert to the tearing modes, due to the current interchange effects [L. J. Zheng and M. Furukawa, Phys. Plasmas 17, 052508 (2010)]. The magnetic reconnection in the presence of tearing modes subsequently causes the tokamak edge plasma to be peeled off to link to the divertors. In particular, the peeling or peeling-ballooning modes can become the “peeling-off” modes in this sense. This phenomenon indicates that the tokamak edge confinement can be worse than the expectation based on the conventional kink mode picture

  18. Peeling-off of the external kink modes at tokamak plasma edge

    Energy Technology Data Exchange (ETDEWEB)

    Zheng, L. J. [Institute for Fusion Studies, University of Texas at Austin, Austin, Texas 78712 (United States); Furukawa, M. [Graduate School of Engineering, Tottori University, Tottori 680-8552 (Japan)

    2014-08-15

    It is pointed out that there is a current jump between the edge plasma inside the last closed flux surface and the scrape-off layer and that the current jump can lead the external kink modes to convert to the tearing modes, due to the current interchange effects [L. J. Zheng and M. Furukawa, Phys. Plasmas 17, 052508 (2010)]. The magnetic reconnection in the presence of tearing modes subsequently causes the tokamak edge plasma to be peeled off to link to the divertors. In particular, the peeling or peeling-ballooning modes can become the “peeling-off” modes in this sense. This phenomenon indicates that the tokamak edge confinement can be worse than the expectation based on the conventional kink mode picture.

  19. Integrated Predictive Models for ICRF-Edge Plasma Interactions

    International Nuclear Information System (INIS)

    Daniel A. D'Ippolito

    2005-01-01

    The coupling of radiofrequency waves to the edge plasma of a fusion device produces strong nonlinear interactions with the plasma and surrounding material walls which must be controlled in order to protect the antenna and to obtain efficient heating of the core plasma. The goal of the STTR project was to develop the first quantitative numerical simulation of this problem. This report describes the results of the Phase I work by Lodestar and ORNL on this project

  20. Sheared-flow induced confinement transition in a linear magnetized plasma

    Science.gov (United States)

    Zhou, S.; Heidbrink, W. W.; Boehmer, H.; McWilliams, R.; Carter, T. A.; Vincena, S.; Friedman, B.; Schaffner, D.

    2012-01-01

    A magnetized plasma cylinder (12 cm in diameter) is induced by an annular shape obstacle at the Large Plasma Device [W. Gekelman, H. Pfister, Z. Lucky, J. Bamber, D. Leneman, and J. Maggs, Rev. Sci. Instrum. 62, 2875 (1991)]. Sheared azimuthal flow is driven at the edge of the plasma cylinder through edge biasing. Strong fluctuations of density and potential (δn /n~eδφ/kTe~0.5) are observed at the plasma edge, accompanied by a large density gradient (Ln=|∇lnn |-1~2cm) and shearing rate (γ ~300kHz). Edge turbulence and cross-field transport are modified by changing the bias voltage (Vbias) on the obstacle and the axial magnetic field (Bz) strength. In cases with low Vbias and large Bz, improved plasma confinement is observed, along with steeper edge density gradients. The radially sheared flow induced by E ×B drift dramatically changes the cross-phase between density and potential fluctuations, which causes the wave-induced particle flux to reverse its direction across the shear layer. In cases with higher bias voltage or smaller Bz, large radial transport and rapid depletion of the central plasma density are observed. Two-dimensional cross-correlation measurement shows that a mode with azimuthal mode number m =1 and large radial correlation length dominates the outward transport in these cases. Linear analysis based on a two-fluid Braginskii model suggests that the fluctuations are driven by both density gradient (drift wave like) and flow shear (Kelvin-Helmholtz like) at the plasma edge.

  1. Theory of edge plasma in a spheromak

    International Nuclear Information System (INIS)

    Hooper, E.B.

    1998-01-01

    Properties of the edge plasma in the SSPX spheromak during the plasma formation and sustainment phases are discussed. For the breakdown and formation phase, the main emphasis is on the analysis of possible plasma contamination by impurities from the electrodes of the plasma gun (helicity injector). The issue of an azimuthally uniform breakdown initiation is also discussed. After the plasma settles down in the main vacuum chamber, one has to sustain the current between the electrodes, in order to continuously inject helicity. We discuss properties of the plasma on the field lines intersecting the electrodes. We conclude that the thermal balance of this plasma is maintained by Joule heating competing with parallel heat losses to the electrodes. The resulting plasma temperature is in the range of 15 - 30 eV. Under the expected operational conditions, the ''current'' velocity of the electrons is only slightly below their thermal velocity. Implications of this observation are briefly discussed

  2. Edge-plasma analysis for liquid-wall MFE concepts

    International Nuclear Information System (INIS)

    Moir, R.W.; Rensink, M.E.; Rognlien, T.D.

    2001-01-01

    A thick flowing layer of liquid (e.g., flibe - a molten salt, or Sn 80 Li 20 - a liquid metal) protects the structural walls of the magnetic fusion configuration so that they can last the life of the plant even with intense 14 MeV neutron bombardment from the D-T fusion reaction. The surface temperature of the liquid rises as it passes from the inlet nozzles to the exit nozzles due to absorption of line and bremsstrahlung radiation, and neutrons. The surface temperature can be reduced by enhanced turbulent convection of hot surface liquid into the cooler interior. This surface temperature is affected by the temperature of liquid from a heat transport and energy recovery system. The evaporative flux from the wall driven by the surface temperature must also result in an acceptable impurity level in the core plasma. The shielding of the core by the edge plasma is modeled with a 2D-transport code for the DT and impurity ions; these impurity ions are either swept out to the divertor, or diffuse to the hot plasma core. An auxiliary plasma between the edge plasma and the liquid wall may further attenuate evaporating flux of atoms and molecules by ionization near the wall. (author)

  3. Rf-biasing of highly idealized plasmas

    NARCIS (Netherlands)

    Westermann, R.H.J.; Blauw, M.A.; Goedheer, W.J.; Sanden, van de M.C.M.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    Remote plasmas, which are subjected to a radio-frequency (RF) biased surface, have been investigated theoretically and experimentally for decades. The relation between the complex power (DC) voltage characteristics, the ion energy distribution and control losses of the ion bombardment are of

  4. Electron transport in the plasma edge with rotating resonant magnetic perturbations at the TEXTOR tokamak

    International Nuclear Information System (INIS)

    Stoschus, Henning

    2011-01-01

    Small three-dimensional (3D) magnetic perturbations can be used as a tool to control the edge plasma parameters in magnetically confined plasmas in high confinement mode (''H-mode'') to suppress edge instabilities inherent to this regime, the Edge Localized Modes (ELMs). In this work, the impact of rotating 3D resonant magnetic perturbation (RMP) fields on the edge plasma structure characterized by electron density and temperature fields is investigated. We study a low confinement (L-mode) edge plasma (r/a>0.9) with high resistivity (edge electron collisionality ν * e >4) at the TEXTOR tokamak. The plasma structure in the plasma edge is measured by a set of high resolution diagnostics: a fast CCD camera (Δt=20 μs) is set up in order to visualize the plasma structure in terms of electron density variations. A supersonic helium beam diagnostic is established as standard diagnostic at TEXTOR to measure electron density n e and temperature T e with high spatial (Δr=2 mm) and temporal resolution (Δt=20 μs). The measured plasma structure is compared to modeling results from the fluid plasma and kinetic neutral transport code EMC3-EIRENE. A sequence of five new observations is discussed: (1) Imaging of electron density variations in the plasma edge shows that a fast rotating RMP field imposes an edge plasma structure, which rotates with the external RMP rotation frequency of vertical stroke ν RMP vertical stroke =1 kHz. (2) Measurements of the electron density and temperature provide strong experimental evidence that in the far edge a rotating 3D scrape-off layer (SOL) exists with helical exhaust channels to the plasma wall components. (3) Radially inward, the plasma structure at the next rational flux surface is found to depend on the relative rotation between external RMP field and intrinsic plasma rotation. For low relative rotation the plasma structure is dominated by a particle and energy loss along open magnetic field lines to the wall components. For high

  5. Electron transport in the plasma edge with rotating resonant magnetic perturbations at the TEXTOR tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Stoschus, Henning

    2011-10-13

    Small three-dimensional (3D) magnetic perturbations can be used as a tool to control the edge plasma parameters in magnetically confined plasmas in high confinement mode (''H-mode'') to suppress edge instabilities inherent to this regime, the Edge Localized Modes (ELMs). In this work, the impact of rotating 3D resonant magnetic perturbation (RMP) fields on the edge plasma structure characterized by electron density and temperature fields is investigated. We study a low confinement (L-mode) edge plasma (r/a>0.9) with high resistivity (edge electron collisionality {nu}{sup *}{sub e}>4) at the TEXTOR tokamak. The plasma structure in the plasma edge is measured by a set of high resolution diagnostics: a fast CCD camera ({delta}t=20 {mu}s) is set up in order to visualize the plasma structure in terms of electron density variations. A supersonic helium beam diagnostic is established as standard diagnostic at TEXTOR to measure electron density n{sub e} and temperature T{sub e} with high spatial ({delta}r=2 mm) and temporal resolution ({delta}t=20 {mu}s). The measured plasma structure is compared to modeling results from the fluid plasma and kinetic neutral transport code EMC3-EIRENE. A sequence of five new observations is discussed: (1) Imaging of electron density variations in the plasma edge shows that a fast rotating RMP field imposes an edge plasma structure, which rotates with the external RMP rotation frequency of vertical stroke {nu}{sub RMP} vertical stroke =1 kHz. (2) Measurements of the electron density and temperature provide strong experimental evidence that in the far edge a rotating 3D scrape-off layer (SOL) exists with helical exhaust channels to the plasma wall components. (3) Radially inward, the plasma structure at the next rational flux surface is found to depend on the relative rotation between external RMP field and intrinsic plasma rotation. For low relative rotation the plasma structure is dominated by a particle and energy loss

  6. A dynamics investigation into edge plasma turbulence

    International Nuclear Information System (INIS)

    Thomsen, H.

    2002-08-01

    The present experimental work investigates plasma turbulence in the edge region of magnetized high-temperature plasmas. A main topic is the turbulent dynamics parallel to the magnetic field, where hitherto only a small data basis existed, especially for very long scale lengths in the order of ten of meters. A second point of special interest is the coupling of the dynamics parallel and perpendicular to the magnetic field. This anisotropic turbulent dynamics is investigated by two different approaches. Firstly, spatially and temporally high-resolution measurements of fluctuating plasma parameters are investigated by means of two-point correlation analysis. Secondly, the propagation of signals externally imposed into the turbulent plasma background is studied. For both approaches, Langmuir probe arrays were utilized for diagnostic purposes. (orig.)

  7. Long-range correlations and universality in plasma edge turbulence

    International Nuclear Information System (INIS)

    Milligen, B.Ph. van; Pedrosa, M.A.; Carreras, B.A.

    1999-01-01

    Long-range correlations in turbulence, associated with self-similarity of the fluctuations, are a signature of transport by avalanches as occurs in Self-Organized Critical systems. We have investigated long-range correlations in plasma edge fluctuations in a variety of fusion devices, using the Rescaled-Range and similar techniques. We find that the degree of self-similarity in confining devices is high and similar between devices, and much different from non-confining devices where it is low. Likewise, we find that turbulent spectra show a high degree of similarity between devices. These findings strongly indicate the existence of universality in plasma edge (ohmic) turbulence, and demonstrate its non-Gaussian character. (author)

  8. Plasma edge cooling during RF heating

    International Nuclear Information System (INIS)

    Suckewer, S.; Hawryluk, R.J.

    1978-01-01

    A new approach to prevent the influx of high-Z impurities into the core of a tokamak discharge by using RF power to modify the edge plasma temperature profile is presented. This concept is based on spectroscopic measurements on PLT during ohmic heating and ATC during RF heating. A one dimensional impurity transport model is used to interpret the ATC results

  9. Exponential power spectra, deterministic chaos and Lorentzian pulses in plasma edge dynamics

    International Nuclear Information System (INIS)

    Maggs, J E; Morales, G J

    2012-01-01

    Exponential spectra have been observed in the edges of tokamaks, stellarators, helical devices and linear machines. The observation of exponential power spectra is significant because such a spectral character has been closely associated with the phenomenon of deterministic chaos by the nonlinear dynamics community. The proximate cause of exponential power spectra in both magnetized plasma edges and nonlinear dynamics models is the occurrence of Lorentzian pulses in the time signals of fluctuations. Lorentzian pulses are produced by chaotic behavior in the separatrix regions of plasma E × B flow fields or the limit cycle regions of nonlinear models. Chaotic advection, driven by the potential fields of drift waves in plasmas, results in transport. The observation of exponential power spectra and Lorentzian pulses suggests that fluctuations and transport at the edge of magnetized plasmas arise from deterministic, rather than stochastic, dynamics. (paper)

  10. Resonance absorption of ICRF wave in edge plasma

    International Nuclear Information System (INIS)

    Sugihara, Ryo; Yamanaka, Kaoru.

    1987-07-01

    An edge plasma is shown to significantly absorb ICRF wave when a resonant triplet, a cutoff-resonance-cutoff triplet, is constructed in the evanescent region. Two-ion-component plasmas in a torus are considered though the plasmas are modeled by a slab in which the density changes linearly along the x-axis. The resonance is a perpendicular-ion-cyclotron resonance, i.e., an Alfven resonance, and is formed when the applied frequency ω is smaller than the local cyclotron frequency, at the edge of the antenna side, of the lighter species of ions. Roughly the absorption rate A b is given by M 2 for M 2 >> S 2 and S 4 for S 2 >> M 2 where M = k y l and S ≅ k z l and l is a scale length of the order of the plasma minor radius and k y and k z are the perpendicular and the parallel components of the wave vector. It is noted that the both quantities, M and S, readily become of the order of unity. Since A b is not very sensitive to the density ratio of the two ion species, a few percent of impurities may cause a significant absorption. As the mass ratio of the two ion species comes close to unity the triplet forms readily. Therefore a D-T plasma seems to suffer more easily this kind of resonance absorption than a D-H plasma. (author)

  11. Plasma Edge Control in Tore Supra

    International Nuclear Information System (INIS)

    Evans, T.E.; Mioduszewski, P.K.; Foster, C.; Haste, G.; Horton, L.; Grosman, A.; Ghendrih, P.; Chatelier, M.; Capes, H.; Michelis, C. De; Fall, T.; Geraud, A.; Grisolia, C.; Guilhem, D.; Hutter, T.

    1990-01-01

    TORE SUPRA is a large superconducting tokamak designed for sustaining long inductive pulses (t∼ 30 s). In particular, all the first wall components have been designed for steady-state heat and particle exhaust, particle injection, and additional heating. In addition to these technological assets, a strict control of the plasma-wall interactions is required. This has been done at low power: experiments with ohmic heating have been mainly devoted to the pump limiter, ergodic divertor and pellet injection experiments. Some specific problems arising in large tokamaks are encountered; the pump limiter and the ergodic divertor yield the expected effects on the plasma edge. The effects on the bulk are discussed

  12. Edge localized mode control by resonant magnetic perturbations in tokamak plasmas

    International Nuclear Information System (INIS)

    Orain, Francois

    2014-01-01

    The growth of plasma instabilities called Edge Localized Modes (ELMs) in tokamaks results in the quasi-periodic relaxation of the edge pressure profile. These relaxations induce large heat fluxes which might be harmful for the divertor in ITER, thus ELM control is mandatory in ITER. One of the promising control methods planned in ITER is the application of external resonant magnetic perturbations (RMPs), already efficient for ELM mitigation/suppression in current tokamak experiments. However a better understanding of the interaction between ELMs, RMPs and plasma flows is needed to explain the experimental results and make reliable predictions for ITER. In this perspective, non-linear modeling of ELMs and RMPs is done with the reduced MHD code JOREK, in toroidal geometry including the X-point and the Scrape-Off Layer. The initial model has been further developed to describe self-consistent plasma flows - with the addition of the bi-fluid diamagnetic drifts, the neoclassical friction and a source of parallel rotation - and to simulate the RMP penetration consistently with the plasma response. As a first step, the plasma response to RMPs (without ELMs) is studied for JET, MAST and ITER realistic plasma parameters and geometry. The general behaviour of the plasma/RMP interaction is similar for the three studied cases: RMPs are generally screened by the formation of response currents, induced by the plasma rotation on the resonant surfaces. RMPs however penetrate at the very edge where an ergodic zone is formed. The amplification of the non-resonant spectrum of the magnetic perturbations is also observed in the core. The edge ergodization induces an enhanced transport at the edge, which slightly degrades the pedestal profiles. RMPs also generate the 3D-deformation of the plasma boundary with a maximum deformation near the X-point where lobe structures are formed. Then the full dynamics of a multi-ELM cycle (without RMPs) is modeled for the first time in realistic

  13. Edge plasma control: Particle channeling in Tore Supra pump limiter and ergodic divertor

    International Nuclear Information System (INIS)

    Ghendrih, P.; Samain, A.; Grosman, A.; Capes, H.; Morera, J.P.

    1989-01-01

    Improved pumping efficiency can be achieved on Tore Supra by channeling process for particles, i.e. channeling of neutrals in the throat of pump limiters and channeling of plasma towards neutralizer plates in the ergodic divertor. The plugging length for the pump limiter throat is computed and numerical evidence of plasma flux channeling between the conductor bars of the ergodic divertor is presented. The effect of the Tore Supra ergodic divertor on edge plasma state and edge plasma transport is discussed. (orig.)

  14. Quiescent H-mode plasmas with strong edge rotation in the cocurrent direction.

    Science.gov (United States)

    Burrell, K H; Osborne, T H; Snyder, P B; West, W P; Fenstermacher, M E; Groebner, R J; Gohil, P; Leonard, A W; Solomon, W M

    2009-04-17

    For the first time in any tokamak, quiescent H-mode (QH-mode) plasmas have been created with strong edge rotation in the direction of the plasma current. This confirms the theoretical prediction that the QH mode should exist with either sign of the edge rotation provided the magnitude of the shear in the edge rotation is sufficiently large and demonstrates that counterinjection and counteredge rotation are not essential for the QH mode. Accordingly, the present work demonstrates a substantial broadening of the QH-mode operating space and represents a significant confirmation of the theory.

  15. Plasma-surface interaction at sharp edges and corners during ion-assisted physical vapor deposition. Part I: Edge-related effects and their influence on coating morphology and composition

    International Nuclear Information System (INIS)

    Macak, E.B.; Muenz, W.-D.; Rodenburg, J.M.

    2003-01-01

    Ion-assisted physical vapor deposition (PVD) is a common industrial method for growing thin coatings of various interstitial nitride alloys. The interaction between the ions and three-dimensional nonflat samples during the deposition can, however, lead to unwanted local changes in the properties of the coating and thus its performance. We analyze the characteristics of the ion bombardment during ion-assisted PVD on sharp convex substrates and their effect on the growing coating. We show that the magnitude and the spatial extent of the edge-related changes are directly related to the characteristics of the plasma sheath around the biased edges. We examine the influence of the edge geometry and the deposition conditions. The edge-related effects are studied on the example of wedge-shaped samples coated with TiAlN/VN by closed-field unbalanced magnetron deposition process using high-flux low-energy Ar + -ion irradiation (J i /J me ∼4, E i =75-150 eV). The samples are analyzed by scanning electron microscopy and energy-dispersive x-ray spectroscopy. Significant changes in the morphology, thickness, and composition of the coatings are found in the edge region. In order to account for the changes, we apply a self-consistent model of the plasma sheath around wedge-shaped samples proposed by Watterson [J. Phys. D 22, 1300 (1989)], to our conditions. For a 30 deg. wedge coated at -150 V, the resputtering rate in the edge region is found to be increased by up to ten times as compared to flat substrate areas. The effect is due to the combined action of an increased ion flux and increased sputtering yield as a result of the nonperpendicular angle of incidence of ions in the edge region. The situation at sharp corners, where even more severe effects are observed, is analyzed and modeled in the companion article E. B. Macak et al., J. Appl. Phys. (2003) (Part II)

  16. Edge localized modes and edge pedestal in NBI and ICRF heated H, D and T-plasmas in JET

    International Nuclear Information System (INIS)

    Bhatnagar, V.; Lingertat, J.; Barnsley, R.

    1998-12-01

    Based on experiments carried out in JET in D:T mixtures varying from 100:0 to 5:95 and those carried out in hydrogen plasmas, the isotopic mass dependence of ELM parameters and the edge pedestal pressure in neutral beam (NBI) and ion cyclotron resonance (ICRF) heated H-mode plasmas is presented. The ELM frequency is found to decrease with the atomic mass number both in ICRH and NBI discharges. However, the frequency in the case of ICRH is about 8 - 10 times higher than in the NBI case. Assuming that ELMs occur at a critical edge pressure gradient, limited by the ballooning instability, the scaling of the maximum edge pressure is most consistent with the assumption that the width of the transport barrier scales as the ion poloidal Larmor radius governed by the average energy of fast ions at the edge. The critical edge pressure in NBI heated discharges increases with the isotopic mass which. is consistent with the higher deduced width of the edge transport, barrier in tritium than in deuterium and hydrogen. The critical edge pressure in ICRH discharges is smaller, presumably, due to the smaller fast-ion contribution to the edge region. As a consequence of the edge pressure scaling with isotopic mass, the edge operational space in the n e - T e diagram increases with operation in tritium. If the evidence that the edge pedestal width is governed by the average energy of fast ions in the edge prevails, the pedestal in ITER would be controlled by the slowing down energy spectrum of α-particles in the edge. (author)

  17. Edge transport and fluctuation induced turbulence characteristics in early SST-1 plasma

    Energy Technology Data Exchange (ETDEWEB)

    Kakati, B., E-mail: bharat.kakati@ipr.res.in; Pradhan, S., E-mail: pradhan@ipr.res.in; Dhongde, J.; Semwal, P.; Yohan, K.; Banaudha, M.

    2017-02-15

    Highlights: • Anomalous particle transport during the high MHD activity at SST-1. • Electrostatic turbulence is modulated by MHD activity at SST-1 tokamak. • Edge floating potential fluctuations shows poloidal long-range cross correlation. - Abstract: Plasma edge transport characteristics are known to be heavily influenced by the edge fluctuation induced turbulences. These characteristics play a critical role towards the confinement of plasma column in a Tokamak. The edge magnetic fluctuations and its subsequent effect on electrostatic fluctuations have been experimentally investigated for the first time at the edge of the SST-1 plasma column. This paper reports the correlations that exist and is experimentally been observed between the edge densities and floating potential fluctuations with the magnetic fluctuations. The edge density and floating potential fluctuations have been measured with the help of poloidally separated Langmuir probes, whereas the magnetic fluctuations have been measured with poloidally spaced Mirnov coils. Increase in magnetic fluctuations associated with enhanced MHD activities has been found to increase the floating potential and ion saturation current. These observations indicate electrostatic turbulence getting influenced with the MHD activities and reveal the edge anomalous particle transport during SST-1 tokamak discharge. Large-scale coherent structures have been observed in the floating potential fluctuations, indicating long-distance cross correlation in the poloidal directions. From bispectral analysis, a strong nonlinear coupling among the floating potential fluctuations is observed in the low-frequency range about 0–15 kHz.

  18. DIII-D Edge Plasma, Disruptions, and Radiative Processes. Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Boedo, J. A.; Luckhardt, S.C.; Moyer, R. A.

    2001-01-01

    The scientific goal of the UCSD-DIII-D Collaboration during this period was to understand the coupling of the core plasma to the plasma-facing components through the plasma boundary (edge and scrape-off layer). To achieve this goal, UCSD scientists studied the transport of particles, momentum, energy, and radiation from the plasma core to the plasma-facing components under normal (e.g., L-mode, H-mode, and ELMs), and off-normal (e.g., disruptions) operating conditions.

  19. DIII-D Edge Plasma, Disruptions, and Radiative Processes. Final Report

    International Nuclear Information System (INIS)

    Boedo, J. A.; Luckhardt, S.C.; Moyer, R. A.

    2001-01-01

    The scientific goal of the UCSD-DIII-D Collaboration during this period was to understand the coupling of the core plasma to the plasma-facing components through the plasma boundary (edge and scrape-off layer). To achieve this goal, UCSD scientists studied the transport of particles, momentum, energy, and radiation from the plasma core to the plasma-facing components under normal (e.g., L-mode, H-mode, and ELMs), and off-normal (e.g., disruptions) operating conditions

  20. ALT-II toroidal belt limiter biasing experiments on TEXTOR

    International Nuclear Information System (INIS)

    Doerner, R.; Boedo, J.A.; Gray, D.S.

    1991-01-01

    Edge electric fields have been related to H-mode-like behaviour. The experiments reported here are an attempt to control the SOL profiles by electrostatic biasing of the full toroidal-belt limiter ALT-II. The specific goals are: influencing the edge particle flows, particle removal, power deposition and the global confinement. The ALT-II pump limiter is a full toroidal belt located at 45 o below the outer midplane and consisting of eight graphite covered blades which can be independently biased. Particle scoops located behind the limiter neutralize and direct the incoming plasma into the pumping ducts. (author) 5 refs., 3 figs

  1. 2D edge plasma modeling extended up to the main chamber

    Energy Technology Data Exchange (ETDEWEB)

    Dekeyser, W., E-mail: wouter.dekeyser@mech.kuleuven.be [Department of Mechanical Engineering, Katholieke Universiteit Leuven, Celestijnenlaan 300A, 3001 Leuven (Belgium); Baelmans, M. [Department of Mechanical Engineering, Katholieke Universiteit Leuven, Celestijnenlaan 300A, 3001 Leuven (Belgium); Reiter, D.; Boerner, P.; Kotov, V. [Institut fuer Plasmaphysik, Forschungszentrum Juelich GmbH, EURATOM-Association, Trilateral Euregio Cluster, D-52425 Juelich (Germany)

    2011-08-01

    Far SOL plasma flow, and hence main chamber recycling and plasma surface interaction, are today still only very poorly described by current 2D fluid edge codes, such as B2, UEDGE or EDGE2D, due to a common technical limitation. We have extended the B2 plasma fluid solver in the current ITER version of B2-EIRENE (SOLPS4.3) to allow plasma solutions to be obtained up to the 'real vessel wall', at least on the basis of ad hoc far SOL transport models. We apply here the kinetic Monte Carlo Code EIRENE on such plasma solutions to study effects of this model refinement on main chamber fluxes and sputtering, for an ITER configuration. We show that main chamber sputtering may be significantly modified both due to thermalization of CX neutrals in the far SOL and poloidally highly asymmetric plasma wall contact, as compared to hitherto applied teleportation of particle fluxes across this domain.

  2. Numerical simulation of the anomalous transport at the plasma-edge

    International Nuclear Information System (INIS)

    Pohn, E.

    2001-03-01

    In addition to the classical transport which is caused by Coloumb-collisions two further transport mechanisms take place in an inhomogeneous magnetically confined thermonuclear fusion-plasma, the neoclassical and the anomalous transport. The anomalous transport is caused by collective motion of the plasma-particles respectively turbulence and essentially affects the energy-confinement-time of the plasma. The energy-confinement-time in turn constitutes an important criterion with respect to the feasibility of using nuclear fusion for energy production. The anomalous transport is theoretically not yet well understood. By means of numerical simulations of the anomalous transport in the plasma edge, it is the intention of this work to contribute to the understanding of this transport mechanism. The Vlasov-Poisson-system constitutes the starting point for all performed simulations. This system consists of kinetic equations, which model for each particle-species the motion of the particles composing the plasma in six-dimensional phase-space. A coupling of these kinetic equations occurs due to the Poisson-equation, resulting in a nonlinear system of differential equations. The time evolution of this system was calculated numerically. On the one hand, simulations were performed where the whole velocity-space was retained. This fully-kinetic model was applied for the spatially one- as well as two-dimensional case. In the one-dimensional case only the radial direction of the plasma-edge was modeled, i.e. the direction along which the plasma joins to the vacuum. When performing the spatially two-dimensional simulations, in addition the poloidal direction has been regarded. A second set of simulations was performed using a gyro-kinetic model. In this model only the velocity-component parallel to the magnetic field vector is retained. The components perpendicular to the magnetic field vector, which are responsible for the gyration of particles, are omitted from phase-space but

  3. Measurement of plasma edge profile on Wendelstein 7-X

    Energy Technology Data Exchange (ETDEWEB)

    Drews, Philipp; Liang, Yunfeng; Neubauer, Olaf; Denner, Peter; Rack, Michael; Liu, Shaocheng; Wang, Nunchao; Nicolai, Dirk; Hollfeld, Klaus; Satheeswaran, Guruparan [Forschungszentrum Juelich, IEK4, Juelich (Germany); Grulke, Olaf [Max-Planck-Institut fuer Plasmaphysik, Greifswald (Germany); Collaboration: W7-X Team

    2016-07-01

    Wendelstein 7-X (W7-X), currently under commissioning at the IPP Greifswald, will be the world's largest stellarator with modular superconducting coils, which will enable steady-state-like plasma operation of up to thirty minutes in order to explore the reactor relevance of this concept. The first operation phase of W7-X will employ a limiter configuration. It will be used primarily for setting up the diagnostics and testing the magnetic configuration. In conjunction with the multipurpose manipulator, a fast reciprocating probe is installed. The combined probe head will be used to measure the radial distribution of the magnetic field using magnetic pick-up coils; the plasma temperature and density profiles and the radial electric field using Langmuir pins; and the plasma flows using a Mach setup. As a quasi-isodynamic stellarator, it has been predicted that not only neoclassical but also turbulent transport will be comparable to or possibly even lower than that of tokamaks. Edge plasma profile measurements, especially those of the electron temperature and density, will play a key role in validating this performance in comparison to the tokamak and hence the viability of a stellarator fusion reactor. The edge plasma profile measurements using the combined probe head are presented.

  4. Edge plasma fluctuations in STOR-M

    International Nuclear Information System (INIS)

    Zhang, W.; Hirose, A.; Zhang, L.; Xiao, C.; Conway, G.D.; Skarsgard, H.M.

    1993-01-01

    In the STOR-M tokamak, the coherence and propagation nature of the density (n e ) and magnetic (B r ) fluctuations are investigated both in the scrape-off layer (SOL, r/a > 1) and at the plasma edge (r/a -2 is of the order of the reverse electron skin depth kθ ≅ ω pe /c. In terms of the hybrid ion Larmor radius ρ s = c s /Ω i , it corresponds to k θρ s ≅ 0.1. These observations support the skin size electromagnetic drift mode which predicts that a low β tokamak discharge is unstable against the skin size electromagnetic instability with a phase velocity significantly smaller than the electron diamagnetic drift velocity. Edge fluctuations observed in STOR-M appear to propagate at the local E x B drift, and the phase velocity in the plasma from is υ theta ≅ 5 x 10 4 cm/sec, compared with the local electron diamagnetic drift, υ e ≅ 2.5 x 10 5 cm/sec. In the SOL region, the density fluctuations propagate in the ion diamagnetic drift, but still with the local E x B drift because E r changes its sign at r/a ≅ 1

  5. Relevance, Realization and stability of a cold layer at the plasma edge for fusion reactors

    International Nuclear Information System (INIS)

    1990-09-01

    The workshop was dedicated to the realization and stability of a cold layer at the plasma edge for fusion reactors. The subjects of the communications presented were: impurity transport, and control, plasma boundary layers, power balance, radiation control and modifications, limiter discharges, tokamak density limit, Asdex divertor discharges, thermal stability of a radiating diverted plasma, plasma stability, auxiliary heating in Textor, detached plasma in Tore Supra, poloidal divertor tokamak, radiation cooling, neutral-particle transport, plasma scrape-off layer, edge turbulence

  6. On the physics of the pressure and temperature gradients in the edge of tokamak plasmas

    Science.gov (United States)

    Stacey, Weston M.

    2018-04-01

    An extended plasma fluid theory including atomic physics, radiation, electromagnetic and themodynamic forces, external sources of particles, momentum and energy, and kinetic ion orbit loss is employed to derive theoretical expressions that display the role of the various factors involved in the determination of the pressure and temperature gradients in the edge of tokamak plasmas. Calculations for current experiments are presented to illustrate the magnitudes of various effects including strong radiative and atomic physics edge cooling effects and strong reduction in ion particle and energy fluxes due to ion orbit loss in the plasma edge. An important new insight is the strong relation between rotation and the edge pressure gradient.

  7. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  8. A model for the neoclassical toroidal viscosity effect on Edge plasma toroidal rotation

    Energy Technology Data Exchange (ETDEWEB)

    Miron, I.G. [National Institute for Laser, Plasma and Radiation Physics, Euratom-MEdC Association, Bucharest (Romania)

    2013-11-15

    A semianalytic expression for the edge plasma angular toroidal rotation frequency that includes the neoclassical toroidal viscosity braking influence is obtained. Based on the model presented in a previous paper [I.G. Miron, Contrib. Plasma Phys. 53, 214 (2013)], the less destabilizing error field spectrum is found in order to minimize the nonlinear effect of the NTV on the toroidal rotation of the edge of the plasma. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Intrinsic momentum generation by a combined neoclassical and turbulence mechanism in diverted DIII-D plasma edge

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Janghoon; Choe, W. [Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); Chang, C. S.; Ku, S. [Princeton Plasma Physics Laboratory, Princeton, New Jersey 08543 (United States); Kwon, J. M. [National Fusion Research institute, Daejeon 305-806 (Korea, Republic of); Müller, Stefan H. [Max Planck Institute for Plasma Physics, Garching 85748 (Germany); Center for Energy Research, University of California San Diego, La Jolla, California 92093 (United States)

    2014-09-15

    Fluid Reynolds stress from turbulence has usually been considered to be responsible for the anomalous toroidal momentum transport in tokamak plasma. Experiment by Müller et al. [Phys. Rev. Lett. 106, 115001 (2011)], however, reported that neither the observed edge rotation profile nor the inward momentum transport phenomenon at the edge region of an H-mode plasma could be explained by the fluid Reynolds stress measured with reciprocating Langmuir-probe. The full-function gyrokinetic code XGC1 is used to explain, for the first time, Müller et al.'s experimental observations. It is discovered that, unlike in the plasma core, the fluid Reynolds stress from turbulence is not sufficient for momentum transport physics in plasma edge. The “turbulent neoclassical” physics arising from the interaction between kinetic neoclassical orbit dynamics and plasma turbulence is key in the tokamak edge region across the plasma pedestal into core.

  10. Impact of bumpiness control on edge plasma in a helical-axis heliotron device

    International Nuclear Information System (INIS)

    Mizuuchi, T.; Watanabe, S.; Fujikawa, S.; Okada, H.; Kobayashi, S.; Yabutani, H.; Nagasaki, K.; Nakamura, H.; Torii, Y.; Yamamoto, S.; Kaneko, M.; Arimoto, H.; Motojima, G.; Kitagawa, H.; Tsuji, T.; Uno, M.; Matsuoka, S.; Nosaku, M.; Watanabe, N.; Nakamura, Y.; Hanatani, K.; Kondo, K.; Sano, F.

    2007-01-01

    In the helical-axis heliotron configuration, bumpiness of the confinement field ε b is introduced to control the plasma transport. The plasma performance were experimentally investigated in Heliotron J for three configurations with ε b = 0.01, 0.06 and 0.15 at ρ = 2/3. The obtained volume-averaged stored energy depends on the configuration. To understand the observed difference in global energy confinement, the ε b -control effects on the edge plasma is discussed. For ε b = 0.01, the plasma density and temperature in the peripheral region is low compared to other cases. This poor plasma edge relates to the observed low stored energy or poor energy confinement for ε b = 0.01

  11. Effects of plasma shape and profiles on edge stability in DIII-D

    International Nuclear Information System (INIS)

    Lao, L.L.; Chan, V.S.; Chen, L.

    1998-12-01

    The results of recent experimental and theoretical studies concerning the effects of plasma shape and current and pressure profiles on edge instabilities in DIII-D are presented. Magnetic oscillations with toroidal mode number n ∼ 2--9 and a fast growth time γ -1 = 20--150 micros are often observed prior to the first giant type 1 ELM in discharges with moderate squareness. High n ideal ballooning second stability access encourages edge instabilities by facilitating the buildup of the edge pressure gradient and bootstrap current density which destabilize the intermediate to low n modes. Analysis suggests that discharges with large edge pressure gradient and bootstrap current density are more unstable to n > 1 modes. Calculations and experimental results show that ELM amplitude and frequency can be varied by controlling access to the second ballooning stability regime at the edge through variation of the squareness of the discharge shape. A new method is proposed to control edge instabilities by reducing access to the second ballooning stability regime at the edge using high order local perturbation of the plasma shape in the outboard bad curvature region

  12. Effects of plasma shape and profiles on edge stability in DIII-D

    International Nuclear Information System (INIS)

    Lao, L.L.; Ferron, J.R.; Miller, R.L.

    2001-01-01

    The results of recent experimental and theoretical studies concerning the effects of plasma shape and current and pressure profiles on edge instabilities in DIII-D are presented. Magnetic oscillations with toroidal mode number n∼2-9 and a fast growth time γ -1 =20-150μs are often observed prior to the first giant type I ELM in discharges with moderate squareness. High n ideal ballooning second stability access encourages edge instabilities by facilitating the buildup of the edge pressure gradient and bootstrap current density which destabilize the intermediate to low n modes. Analysis suggests that discharges with large edge pressure gradient and bootstrap current density are more unstable to n>1 modes. Calculations and experimental results show that ELM amplitude and frequency can be varied by controlling access to the second ballooning stability regime at the edge through variation of the squareness of the discharge shape. A new method is proposed to control edge instabilities by reducing access to the second ballooning stability regime at the edge using high order local perturbation of the plasma shape in the outboard bad curvature region. (author)

  13. Nonlinear neoclassical transport in toroidal edge plasmas

    International Nuclear Information System (INIS)

    Fueloep, T.; Helander, P.

    2001-01-01

    In conventional neoclassical theory, the density and temperature gradients are not allowed to be as steep as frequently observed in the tokamak edge. In this paper the theory of neoclassical transport in a collisional, impure plasma is extended to allow for steeper profiles than normally assumed. The dynamics of highly charged impurity ions then becomes nonlinear, which affects the transport of all species. As earlier found in the banana regime, when the bulk plasma gradients are large the impurity ions undergo a poloidal redistribution, which reduces their parallel friction with the bulk ions and suppresses the neoclassical ion particle flux. The neoclassical confinement is thus improved in regions with large radial gradients. When the plasma is collisional and the gradients are large, the impurities accumulate on the inboard side of the torus

  14. HIGHLY RESOLVED MEASUREMENTS OF PERIODIC RADIAL ELECTRIC FIELD AND ASSOCIATED RELAXATIONS IN EDGE BIASING EXPERIMENTS

    Czech Academy of Sciences Publication Activity Database

    Peleman, P.; Xu, Y.; Spolaore, M.; Brotánková, Jana; Devynck, P.; Stöckel, Jan; Van Oost, G.; Boucher, C.

    363-365, č. 17 (2007), s. 638-642 ISSN 0022-3115. [ Plasma Surface Interactions in Controlled Fusion Devices/17th./. Hefei, 22.05.2007-26.05.2007] Institutional research plan: CEZ:AV0Z20430508 Keywords : Relaxations * Edge transport * Plasma flow * Radial electric fields Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.643, year: 2007

  15. Interchange turbulence model for the edge plasma in SOLEDGE2D-EIRENE

    Energy Technology Data Exchange (ETDEWEB)

    Bufferand, H.; Marandet, Y. [Aix-Marseille Universite, CNRS, PIIM, Marseille (France); Ciraolo, G.; Ghendrih, P.; Bucalossi, J.; Fedorczak, N.; Gunn, J.; Tamain, P. [CEA, IRFM, Saint-Paul-Lez-Durance (France); Colin, C.; Galassi, D.; Leybros, R.; Serre, E. [Aix-Marseille Universite, CNRS, M2P2, Marseille (France)

    2016-08-15

    Cross-field transport in edge tokamak plasmas is known to be dominated by turbulent transport. A dedicated effort has been made to simulate this turbulent transport from first principle models but the numerical cost to run these simulations on the ITER scale remains prohibitive. Edge plasma transport study relies mostly nowadays on so-called transport codes where the turbulent transport is taken into account using effective ad-hoc diffusion coefficients. In this contribution, we propose to introduce a transport equation for the turbulence intensity in SOLEDGE2D-EIRENE to describe the interchange turbulence properties. Going beyond the empirical diffusive model, this system automatically generates profiles for the turbulent transport and hence reduces the number of degrees of freedom for edge plasma transport codes. We draw inspiration from the k-epsilon model widely used in the neutral fluid community. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  16. Simultaneous Measurements of Electrostatic and Magnetic Fluctuations in ASDEX Upgrade Edge Plasma

    DEFF Research Database (Denmark)

    Ionita, Codrina; Vianello, Nicola; Müller, H.W.

    2009-01-01

    In ASDEX Upgrade (AUG) electrostatic and magnetic fluctuations in the edge plasma region were measured simultaneously during ELMy H-mode (high confinement) plasmas and L-mode (low confinement) plasmas and during a transition between the two modes. A special probe was used containing six Langmuir...

  17. Edge and Plasma -Wall Interaction Diagnostics in the TJ-II Stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Tabares, F. L.; Tafalla, D.; Branas, B.; Hidalgo, A.; Garcia-Cortes, I.; Lopez-Fraguas, A.; Ortiz, P.

    2003-07-01

    The operation of the TJ-II stellarator, carried out under ECR heating conditions until now, the plasma edge parameters and those processes has been identified. Therefore, an important , has implieda careful control of partied e sources and the associated plasma-wall interaction processes. A clear coupling between the plasma edge parameters and those processes has been identified. Therefore, an important effort has been devoted to the development of dedicated diagnostics in both fields. Remarkable success has been attained in the development of atomic-beam based edge diagnostics, namely, thermal Li and supersonic He beams. In particular, fast (up to 200 Hz) sampling of temperature and density profiles has been made possible thorough an upgraded version of the pulsed, supersonic He beam diagnostic. In this paper, whorl devoted to the upgrading of these techniques is described. Also, preliminary experiments oriented to the validation of the collisional radiative models use din the beam-based diagnostic interpretaron as well as simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. (Author) 17 refs.

  18. Edge and Plasma-Wall Interaction Diagnostics in the TJ-II Stellarator

    International Nuclear Information System (INIS)

    Tabares, F.L.; Tafalla, D.; Branas, B.; Hidalgo, A.; Garcia-Cortes, I.; Lopez-Fraguas, A.; Ortiz, P.

    2003-01-01

    The operation of the TJ-II stellarator, carried out under ECR heating conditions until now, the plasma edge parameters and those processes has been identified. Therefore, an important, has implied a careful control of partied e sources and the associated plasma-wall interaction processes. A clear coupling between the plasma edge parameters and those processes has been identified. Therefore, an important effort has been devoted to the development of dedicated diagnostics in both fields. Remarkable success has been attained in the development of atomic-beam based edge diagnostics, namely, thermal Li and supersonic He beams. In particular, fast (up to 200 Hz) sampling of temperature and density profiles has been made possible thorough an upgraded version of the pulsed, supersonic He beam diagnostic. In this paper, whorl devoted to the upgrading of these techniques is described. Also, preliminary experiments oriented to the validation of the collisional radiative models used in the beam-based diagnostic interpretaron as well as simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. (Author) 17 refs

  19. Edge Plasma Response to Non-Axisymmetric Fields in Tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Ferraro, N. M.; Lao, L. L.; Buttery, R. J.; Evans, T. E.; Snyder, P. B.; Wade, M.R., E-mail: ferraro@fusion.gat.com [General Atomics, San Diego (United States); Moyer, R. A.; Orlov, D. M. [University of California San Diego, La Jolla (United States); Lanctot, M. J. [Lawrence Livermore National Laboratory, Livermore (United States)

    2012-09-15

    Full text: The application of non-axisymmetric fields is found to have significant effects on the transport and stability of H-mode tokamak plasmas. These effects include dramatic changes in rotation and particle transport, and may lead to the partial or complete suppression of edge-localized modes (ELMs) under some circumstances. The physical mechanism underlying these effects is presently not well understood, in large part because the response of the plasma to non- axisymmetric fields is significant and complex. Here, recent advances in modeling the plasma response to non-axisymmetric fields are discussed. Calculations using a resistive two-fluid model in diverted toroidal geometry confirm the special role of the perpendicular electron velocity in suppressing the formation of islands in the plasma. The possibility that islands form near the top of the pedestal, where the zero-crossing of the perpendicular electron velocity may coincide with a mode-rational surface, is explored, and the implications for ELM suppression are discussed. Modeling results are compared with empirical data. It is shown that numerical modeling is successful in reproducing some experimentally observed effects of applied non-axisymmetric fields on the edge temperature and density profiles. The numerical model self-consistently includes the plasma, separatrix, and scrape-off layer. Rotation and diamagnetic effects are also included self-consistently. Solutions are calculated using the M3D-C1 extended-MHD code. (and others)

  20. Transport of plasma impurities and the role of the plasma edge layers for the hot plasma production

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1987-01-01

    The first problem of impurity transport is removal of alpha particles from the interior outward. The second problem is the control of impurities produced in the plasma-wall interaction. Finally there is the problem of using injected impurities for assessment of transport coefficients. The influence of impurity radiation on the power balance of a DT plasma is considered. Limiters and divertors as impurity sources are mentioned and transport equations for impurities are given. As an example iron impurities transport in a hydrogen plasma is considered. The role of the edge layer is emphasized. Finally requirements for plasma diagnostics are stated. 50 refs., 10 figs. (qui)

  1. Response of the plasma to the size of an anode electrode biased near the plasma potential

    International Nuclear Information System (INIS)

    Barnat, E. V.; Laity, G. R.; Baalrud, S. D.

    2014-01-01

    As the size of a positively biased electrode increases, the nature of the interface formed between the electrode and the host plasma undergoes a transition from an electron-rich structure (electron sheath) to an intermediate structure containing both ion and electron rich regions (double layer) and ultimately forms an electron-depleted structure (ion sheath). In this study, measurements are performed to further test how the size of an electron-collecting electrode impacts the plasma discharge the electrode is immersed in. This is accomplished using a segmented disk electrode in which individual segments are individually biased to change the effective surface area of the anode. Measurements of bulk plasma parameters such as the collected current density, plasma potential, electron density, electron temperature and optical emission are made as both the size and the bias placed on the electrode are varied. Abrupt transitions in the plasma parameters resulting from changing the electrode surface area are identified in both argon and helium discharges and are compared to the interface transitions predicted by global current balance [S. D. Baalrud, N. Hershkowitz, and B. Longmier, Phys. Plasmas 14, 042109 (2007)]. While the size-dependent transitions in argon agree, the size-dependent transitions observed in helium systematically occur at lower electrode sizes than those nominally derived from prediction. The discrepancy in helium is anticipated to be caused by the finite size of the interface that increases the effective area offered to the plasma for electron loss to the electrode

  2. Investigation of low-frequency fluctuations in the edge plasma of ASDEX

    Energy Technology Data Exchange (ETDEWEB)

    Rudyj, A; Carlson, A; Giannone, L.; Niedermeyer, H [Max-Planck-Institut fuer Plasmaphysik, Garching (Germany, F.R.); Bengtson, R D; Ritz, Ch P [Texas Univ., Austin, TX (USA); Kraemer, M [Bochum Univ. (Germany, F.R.); Tsois, N [NRS Demokritos, Attiki (Greece)

    1989-01-01

    Density fluctuations in the edge plasma of tokamaks in the frequency range up to a few 100 kHz have been reported for many years. The fluctuations are easily observed with Langmuir probes and are also visible in the H/sub {alpha}/ emission at locations with sufficient neutral gas density. High speed cine films taken on ASDEX show fluctuating stripes aligned approximately parallel to the magnetic field. It has been shown that these fluctuations, which are electrostatic, cause a major part if not all of the particle transport at the plasma edge. The mechanism driving these instabilities is however not yet clear. Langmuir probe measurements and optical observations were performed on ASDEX and a comparison was made with magnetic fluctuation measurements in order to further clarify the mechanism responsible for the edge turbulence. 5 refs., 3 figs.

  3. Investigation of low-frequency fluctuations in the edge plasma of ASDEX

    International Nuclear Information System (INIS)

    Rudyj, A.; Carlson, A.; Giannone, L.; Niedermeyer, H.; Bengtson, R.D.; Ritz, Ch.P.; Kraemer, M.; Tsois, N.

    1989-01-01

    Density fluctuations in the edge plasma of tokamaks in the frequency range up to a few 100 kHz have been reported for many years. The fluctuations are easily observed with Langmuir probes and are also visible in the H α emission at locations with sufficient neutral gas density. High speed cine films taken on ASDEX show fluctuating stripes aligned approximately parallel to the magnetic field. It has been shown that these fluctuations, which are electrostatic, cause a major part if not all of the particle transport at the plasma edge. The mechanism driving these instabilities is however not yet clear. Langmuir probe measurements and optical observations were performed on ASDEX and a comparison was made with magnetic fluctuation measurements in order to further clarify the mechanism responsible for the edge turbulence. 5 refs., 3 figs

  4. The scaling of edge parameters in jet with plasma input power

    International Nuclear Information System (INIS)

    Erents, S.K.; McCracken, G.M.; Harbour, P.J.; Clement, S.; Summers, D.D.R.; Tagle, J.A.; Kock, L. de

    1989-01-01

    The scaling of edge parameters of density and temperature with central density and ohmic power in JET has been presented previously for the discrete limiter geometry and more recently for the new belt limiter configuration. However, the scaling with plasma current (I p ) is difficult to interpret because varying I p does not only change the input power but also the safety factor qs and consequently the SOL thickness. The use of additional heating at constant current allows more direct observation of the effects of changing heating power. In this paper we present data in which the plasma input power is increased by ICRH, (Pt<20MW), using a 3MA target plasma, and compare data for different plasma currents using discrete and belt limiter geometries. Edge data is presented from Langmuir probes in tiles at the top of the torus, when the tokamak is operated in single null magnetic separatrix (divertor) mode, as well as for probes in the main plasma boundary to contrast these data with limiter data. (author) 3 refs., 4 figs

  5. Sheath and bulk expansion induced by RF bias in atmospheric pressure microwave plasma

    Science.gov (United States)

    Lee, Jimo; Nam, Woojin; Lee, Jae Koo; Yun, Gunsu

    2017-10-01

    A large axial volume expansion of microwave-driven plasma at atmospheric pressure is achieved by applying a low power radio frequency (RF) bias at an axial location well isolated from the original plasma bulk. The evolution of the plasma plume visualized by high speed ICCD imaging suggest that the free electrons drifting toward the bias electrode cause the prodigious expansion of the sheath, creating a stable plasma stream channel between the microwave and the RF electrodes. For argon plasma in ambient air, enhanced emissions of OH and N2 spectral lines are measured in the extended plume region, supporting the acceleration of electrons and subsequent generation of radical species. The coupling of RF bias with microwave provides an efficient way of enlarging the plasma volume and enhancing the production of radicals. Work supported by the National Research Foundation of Korea under BK21+ program and Grant No. 2015R1D1A1A01061556 (Ministry of Education).

  6. Multifractal analysis of plasma turbulence in biasing experiments on Castor tokamak

    Czech Academy of Sciences Publication Activity Database

    Budaev, V.P.; Dufková, Edita; Nanobashvili, S.; Weinzettl, Vladimír; Zajac, Jaromír

    2005-01-01

    Roč. 55, C (2005), s. 1615-1621 ISSN 0011-4626. [Workshop “Electric Fields, Structures and Relaxation in Edge Plasmas". Tarragona, 5.7.2005-5.7.2005] Institutional research plan: CEZ:AV0Z20430508 Keywords : plasma turbulence * multifractal analysis Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.360, year: 2005

  7. Assessment of ion-atom collision data for magnetic fusion plasma edge modelling

    International Nuclear Information System (INIS)

    Phaneuf, R.A.

    1990-01-01

    Cross-section data for ion-atom collision processes which play important roles in the edge plasma of magnetically-confined fusion devices are surveyed and reviewed. The species considered include H, He, Li, Be, C, O, Ne, Al, Si, Ar, Ti, Cr, Fe, Ni, Cu, Mo, W and their ions. The most important ion-atom collision processes occurring in the edge plasma are charge-exchange reactions. Excitation and ionization processes are also considered. The scope is limited to atomic species and to collision velocities corresponding to plasma ion temperatures in the 2-200 eV range. Sources of evaluated or recommended data are presented where possible, and deficiencies in the data base are indicated. 42 refs., 1 fig., 4 tabs

  8. Practical aspects of a 2-D edge-plasma model

    International Nuclear Information System (INIS)

    Rensink, M.E.; Hill, D.N.; Porter, G.D.; Braams, B.J.; Princeton Univ., NJ

    1989-07-01

    The poloidal divertor configuration is considered the most promising solution to the particle and energy exhaust problem for a tokamak reactor. The scrape-off layer plasma surrounding the core and the high-recycling plasma near the divertor plates can be modelled by fluid equations for particle, momentum and energy transport. A numerical code (B2) based on a two-dimensional multi-fluid model has been developed for the study of edge plasmas in tokamaks. In this report we identify some key features of this model as applied to the DIII-D tokamak. 2 refs., 1 fig

  9. Time-dependent 2-D modeling of edge plasma transport with high intermittency due to blobs

    International Nuclear Information System (INIS)

    Pigarov, A. Yu.; Krasheninnikov, S. I.; Rognlien, T. D.

    2012-01-01

    The results on time-dependent 2-D fluid modeling of edge plasmas with non-diffusive intermittent transport across the magnetic field (termed cross-field) based on the novel macro-blob approach are presented. The capability of this approach to simulate the long temporal evolution (∼0.1 s) of the background plasma and simultaneously the fast spatiotemporal dynamics of blobs (∼10 −4 s) is demonstrated. An analysis of a periodic sequence of many macro-blobs (PSMB) is given showing that the resulting plasma attains a dynamic equilibrium. Plasma properties in the dynamic equilibrium are discussed. In PSMB modeling, the effect of macro-blob generation frequency on edge plasma parameters is studied. Comparison between PSMB modeling and experimental profile data is given. The calculations are performed for the same plasma discharge using two different models for anomalous cross-field transport: time-average convection and PSMB. Parametric analysis of edge plasma variation with transport coefficients in these models is presented. The capability of the models to accurately simulate enhanced transport due to blobs is compared. Impurity dynamics in edge plasma with macro-blobs is also studied showing strong impact of macro-blob on profiles of impurity charge states caused by enhanced outward transport of high-charge states and simultaneous inward transport of low-charge states towards the core. Macro-blobs cause enhancement of sputtering rates, increase radiation and impurity concentration in plasma, and change erosion/deposition patterns.

  10. Edge density profiles in high-performance JET plasmas

    International Nuclear Information System (INIS)

    Summers, D.D.R.; Viaccoz, B.; Vince, J.

    1997-01-01

    Detailed electron density profiles of the scrape-off layer in high-performance JET plasmas (plasma current, I p nbi ∝17 MW) have been measured by means of a lithium beam diagnostic system featuring high spatial resolution [Kadota (1978)[. Measurements were taken over a period of several seconds, allowing examination of the evolution of the edge profile at a location upstream from the divertor target. The data clearly show the effects of the H-mode transition - an increase in density near the plasma separatrix and a reduction in density scrape-off length. The profiles obtained under various plasma conditions are compared firstly with data from other diagnostics, located elsewhere in the vessel, and also with the predictions of an 'onion-skin' model (DIVIMP), which used, as initial parameters, data from an array of probes located in the divertor target. (orig.)

  11. Exposure of tungsten nano-structure to TEXTOR edge plasma

    International Nuclear Information System (INIS)

    Ueda, Y.; Miyata, K.; Ohtsuka, Y.; Lee, H.T.; Fukumoto, M.; Brezinsek, S.; Coenen, J.W.; Kreter, A.; Litnovsky, A.; Philipps, V.; Schweer, B.; Sergienko, G.; Hirai, T.; Taguchi, A.; Torikai, Y.; Sugiyama, K.; Tanabe, T.; Kajita, S.; Ohno, N.

    2011-01-01

    W nano-structures (fuzz), produced in the linear high plasma device, NAGDIS, were exposed to TEXTOR edge plasmas (ohmic He/D mixed plasma and pure D plasma) to study formation, erosion and C deposition on W fuzz in tokamak plasmas for the first time. Fuzz layers were either completely eroded or covered by C deposit. There was no clear indication of W fuzz growth under the present conditions. There was no significant difference of C deposition between 'thick' fuzz (500-600 nm in thickness) and 'thin' fuzz (300-400 nm) in the He/D plasma. On the W fuzz surface, C deposition was enhanced probably due to reduction of effective sputtering yield and effective reflection coefficient of carbon ions, similar to roughness effects. Formation and erosion of W fuzz in tokamak devices and role of impurities are discussed.

  12. Characterisation of edge turbulence in relation to edge magnetic field configuration in L-mode plasmas in the Mega Amp Spherical Tokamak.

    Science.gov (United States)

    Dewhurst, J.; Hnat, B.; Dudson, B.; Dendy, R. O.; Counsell, G. F.; Kirk, A.

    2007-12-01

    Almost all astrophysical and magnetically confined fusion plasmas are turbulent. Here, we examine ion saturation current (Isat) measurements of edge plasma turbulence for three MAST L-mode plasmas that differ primarily in their edge magnetic field configurations. First, absolute moments of the coarse grained data are examined to obtain accurate values of scaling exponents. The dual scaling behaviour is identified in all samples, with the temporal scale τ ≍ 40-60 μs separating the two regimes. Strong universality is then identified in the functional form of the probability density function (PDF) for Isat fluctuations, which is well approximated by the Fréchet distribution on temporal scales τ ≤ 40μs. For temporal scales τ > 40μs, the PDFs appear to converge to the Gumbel distribution, which has been previously identified as a universal feature of many other complex phenomena. The optimal fitting parameters k=1.15 for Fréchet and a=1.35 for Gumbel provide a simple quantitative characterisation of the full spectrum of fluctuations. We conclude that, to good approximation, the properties of the edge turbulence are independent of the edge magnetic field configuration.

  13. Rippling modes in the edge of a tokamak plasma

    International Nuclear Information System (INIS)

    Carreras, B.A.; Callen, J.D.; Gaffney, P.W.; Hicks, H.R.

    1982-02-01

    A promising resistive magnetohydrodynamic candidate for the underlying cause of turbulence in the edge of a tokamak plasma is the rippling instability. In this paper we develop a computational model for these modes in the cylindrical tokamak approximation and explore the linear growth and single-helicity quasi-linear saturation phases of the rippling modes for parameters appropriate to the edge of a tokamak plasma. Large parallel heat conduction does not stabilize these modes; it only reduces their growth rate by a factor scaling as k/sub parallel//sup -4/3/. Nonlinearly, individual rippling modes are found to saturate by quasi-linear flattening of the resistivity profile. The saturated amplitude of the modes scales as m/sup -1/, and the radial extent of these modes grows linearly with time due to radial Vector E x Vector B 0 convection. This evolution is found to be terminated by parallel heat conduction

  14. Rippling modes in the edge of a tokamak plasma

    International Nuclear Information System (INIS)

    Carreras, B.A.; Gaffney, P.W.; Hicks, H.R.; Callan, J.D.

    1982-01-01

    A promising resistive magnetohydrodynamic candidate for the underlying cause of turbulence in the edge of a tokamak plasma is the rippling instability. In this paper a computational model for these modes in the cylindrical tokamak approximation was developed and the linear growth and single-helicity quasi-linear saturation phases of the rippling modes for parameters appropriate to the edge of a tokamak plasma were explored. Large parallel heat conduction does not stabilize these modes; it only reduces their growth rate by a factor sacling as K/sup -4/3//sub parallel/. Nonlinearly, individual rippling modes are found to saturate by quasi-linear flattening of the resistivity profile. The saturated amplitude of the modes scales as m -1 , and the radial extent of these modes grows linearly with time due to radial E x B 0 convection. This evolution is found to be terminated by parallel heat conduction

  15. Model of divertor biasing and control of scrape-off layer and divertor plasmas

    International Nuclear Information System (INIS)

    Nagasaki, K.; Itoh, K.; Itoh, S.

    1991-02-01

    Analytic model of the divertor biasing is described. For the given plasma and energy sources from the core plasma, the heat and particle flux densities on the divertor plate as well as scrape-off-layer (SOL)/divertor plasmas are analyzed in a slab model. Using a two-dimensional model, the effects of the divertor biasing and SOL current are studied. The conditions to balance the plasma temperature or sheath potential on different divertor plates are obtained. Effect of the SOL current on the heat channel width is also discussed. (author)

  16. Simulation of an ITER-like dissipative divertor plasma with a combined edge plasma Navier-Stokes neutral model

    International Nuclear Information System (INIS)

    Knoll, D.A.; McHugh, P.R.; Krasheninnikov, S.I.; Sigmar, D.J.

    1996-01-01

    A combined edge plasma/Navier-Stokes neutral transport model is used to simulate dissipative divertor plasmas in the collisional limit for neutrals on a simplified two-dimensional slab geometry with ITER-like plasma conditions and scale lengths. The neutral model contains three momentum equations which are coupled to the plasma through ionization, recombination, and ion-neutral elastic collisions. The neutral transport coefficients are evaluated including both ion-neutral and neutral-neutral collisions. (orig.)

  17. H-mode edge stability of Alcator C-mod plasmas

    International Nuclear Information System (INIS)

    Mossessian, D.A.; Hubbard, A.; Hughes, J.W.; Greenwald, M.; LaBombard, B.; Snipes, J.A.; Wolfe, S.; Snyder, P.; Wilson, H.; Xu, X.; Nevins, W.

    2003-01-01

    For steady state H-mode operation, a relaxation mechanism is required to limit build-up of the edge gradient and impurity content. C-Mod sees two such mechanisms - EDA and grassy ELMs, but not large type I ELMs. In EDA the edge relaxation is provided by an edge localized quasi coherent electromagnetic mode that exists at moderate pedestal temperature T 3.5 and does not limit the build up of the edge pressure gradient. The mode is not observed in the ideal MHD stability analysis, but is recorded in the nonlinear real geometry fluctuations modeling based on fluid equations and is thus tentatively identified as a resistive ballooning mode. At high edge pressure gradients and temperatures the mode is replaced by broadband fluctuations (f< 50 kHz) and small irregular ELMs are observed. Based on ideal MHD calculations that include the effects of edge bootstrap current, these ELMs are identified as medium n (10 < n < 50) coupled peeling/ballooning modes. The stability thresholds, its dependence on the plasma shape and the modes structure are studied experimentally and with the linear MHD stability code ELITE. (author)

  18. CONTROL SYSTEM FOR THE LITHIUM BEAM EDGE PLASMA CURRENT DENSITY DIAGNOSTIC ON THE DIII-D TOKAMAK

    International Nuclear Information System (INIS)

    PEAVY, J.J.; CARY, W.P; THOMAS, D.M; KELLMAN, D.H.; HOYT, D.M; DELAWARE, S.W.; PRONKO, S.G.E.; HARRIS, T.E.

    2004-03-01

    OAK-B135 An edge plasma current density diagnostic employing a neutralized lithium ion beam system has been installed on the DIII-D tokamak. The lithium beam control system is designed around a GE Fanuc 90-30 series PLC and Cimplicity(reg s ign) HMI (Human Machine Interface) software. The control system operates and supervises a collection of commercial and in-house designed high voltage power supplies for beam acceleration and focusing, filament and bias power supplies for ion creation, neutralization, vacuum, triggering, and safety interlocks. This paper provides an overview of the control system, while highlighting innovative aspects including its remote operation, pulsed source heating and pulsed neutralizer heating, optimizing beam regulation, and beam ramping, ending with a discussion of its performance

  19. Ion transport in turbulent edge plasmas

    International Nuclear Information System (INIS)

    Helander, P.; Massachusetts Inst. of Tech., Cambridge, MA; Hazeltine, R.D.; Catto, P.J.

    1996-02-01

    Edge plasmas, such as the tokamak scrape-off layer, exist as a consequence of a balance between cross-field diffusion and parallel losses. The former is usually anomalous, and is widely thought to be driven by strong electrostatic turbulence. It is shown that the anomalous diffusion affects the parallel ion transport by giving rise to a new type of thermal force between different ion species. This force is parallel to the magnetic field, but arises entirely because of perpendicular gradients, and could be important for impurity retention in the tokamak divertor. (author)

  20. Study of plasma discharge evolution and edge turbulence with fast visible imaging in the Aditya tokamak

    International Nuclear Information System (INIS)

    Banerjee, Santanu; Manchanda, R.; Chowdhuri, M.B.

    2015-01-01

    Study of discharge evolution through the different phases of a tokamak plasma shot viz., the discharge initiation, current ramp-up, current flat-top and discharge termination, is essential to address many inherent issues of the operation of a Tokamak. Fast visible imaging of the tokamak plasma can provide valuable insight in this regard. Further, edge turbulence is considered to be one of the quintessential areas of tokamak research as the edge plasma is at the immediate vicinity of the plasma core and plays vital role in the core plasma confinement. The edge plasma also bridges the core and the scrape off layer (SOL) of the tokamak and hence has a bearing on the particle and heat flux escaping the plasma column. Two fast visible imaging systems are installed on the Aditya tokamak. One of the system is for imaging the plasma evolution with a wide angle lens covering a major portion of the vacuum vessel. The imaging fiber bundle along with the objective lens is installed inside a radial re-entrant viewport, specially designed for the purpose. Another system is intended for tangential imaging of the plasma column. Formation of the plasma column and its evolution are studied with the fast visible imaging in Aditya. Features of the ECRH and LHCD operations on Aditya will be discussed. 3D filaments can, be seen at the plasma edge all along the discharge and they get amplified in intensity at the plasma termination phase. Statistical analysis of these filaments, which are essentially plasma blobs will be presented. (author)

  1. Influence of Plasma Biasing on Coherent Structures in TJ-K

    Science.gov (United States)

    Ramisch, M.; Greiner, F.; Lechte, C.; Mahdizadeh, N.; Rahbarnia, K.; Stroth, U.

    2003-10-01

    Poloidal shear flows play an important role in the improvement of plasma confinement in fusion devices. They limit the radial correlation length via the shear decorrelation mechanism [1] and can trigger transitions into transport barriers. External biasing can be used to drive poloidal shear flows [2] in order to study the decorrelation mechanism. The torsatron TJ-K is operated with low-temperature plasmas produced by ECRH. Coherent and quasi-coherent structures have been observed [3]. Their structure size varies according to the drift scale ρ_s. The influence of biasing on these structures is investigated by means of electrostatic probes. Electron density fluctuations as well as fluctuations of the floating potential tend to decrease in the presence of a positively biased probe. The evolution of radial electric field, poloidal flow and radial transport are investigated for different plasma parameters using a 2D Langmuir probe array with 64 tips in comparison with two-point correlation measurements. First results are presented. [1] H. Biglari et al., Phys. Fluids B 2, p. 1 (1990); [2] R. J. Taylor et al., Phys. Rev. Lett. 63, 21, p. 2365 (1989); [3] C. Lechte, PhD-Thesis, CAU Kiel (2003)

  2. Changes in atomic populations due to edge plasma fluctuations

    Energy Technology Data Exchange (ETDEWEB)

    Hammami, R., E-mail: ramzi.hammami@univ-provence.fr [PIIM, Aix-Marseille Université and CNRS, centre Saint Jérôme, Marseille 13397 (France); Capes, H. [PIIM, Aix-Marseille Université and CNRS, centre Saint Jérôme, Marseille 13397 (France); Catoire, F. [CELIA, Université de Bordeaux 1 and CNRS, Domaine du Haut Carré, Talence 33405 (France); Godbert-Mouret, L.; Koubiti, M.; Marandet, Y.; Mekkaoui, A.; Rosato, J.; Stamm, R. [PIIM, Aix-Marseille Université and CNRS, centre Saint Jérôme, Marseille 13397 (France)

    2013-07-15

    The population balance of atoms or ions in an edge plasma is calculated in the presence of fluctuating density or temperature. We have used a stochastic model taking advantage of the knowledge of the plasma parameter statistical properties, and assuming a stepwise constant stochastic process for the fluctuating variable. The model is applied to simplified atomic systems such as three level hydrogen atoms or the ionization balance of carbon affected by electronic temperature or density fluctuations obeying a gamma PDF, and an exponential waiting time distribution.

  3. Dynamics of the edge transport barrier at plasma biasing on the CASTOR tokamak

    Czech Academy of Sciences Publication Activity Database

    Stöckel, Jan; Spolaore, M.; Peleman, P.; Brotánková, Jana; Horáček, Jan; Dejarnac, Renaud; Devynck, P.; Ďuran, Ivan; Gunn, J. P.; Hron, Martin; Kocan, M.; Martines, E.; Pánek, Radomír; Sharma, A.; Van Oost, G.

    2006-01-01

    Roč. 12, č. 6 (2006), s. 19-23 ISSN 1562-6016. [International Conference on Plasma Physics and Technology/11th./. Alushta, 11.9.2006-16.9.2006] Institutional research plan: CEZ:AV0Z20430508 Keywords : tokamak * plasma * transport barrier * relaxations Subject RIV: BL - Plasma and Gas Discharge Physics http:// vant .kipt.kharkov.ua/TABFRAME.html

  4. Two-body similarity and its violation in tokamak edge plasmas

    International Nuclear Information System (INIS)

    Catto, P.J.; Knoll, D.A.; Krasheninnikov, S.I.

    1996-01-01

    Scaling laws found under the assumption that two-body collisions dominate can be effectively used to benchmark complex multi-dimensional codes dedicated to investigating tokamak edge plasmas. The applicability of such scaling laws to the interpretation of experimental data, however, is found to be restricted to the relatively low plasma densities ( 19 m -3 ) at which multistep processes, which break the two-body collision approximation, are unimportant. copyright 1996 American Institute of Physics

  5. Radial electric field studies in the plasma edge of ASDEX upgrade

    International Nuclear Information System (INIS)

    Viezzer, Eleonora

    2012-01-01

    In magnetically confined fusion plasmas, edge transport barriers (ETBs) are formed during the transition from a highly turbulent state (low confinement regime, L-mode) to a high energy confinement regime (H-mode) with reduced turbulence and transport. The performance of an H-mode fusion plasma is highly dependent on the strength of the ETB which extends typically over the outermost 5% of the confined plasma. The formation of the ETB is strongly connected to the existence of a sheared plasma flow perpendicular to the magnetic field caused by a local radial electric field E r . The gradients in E r and the accompanying E x B velocity shear play a fundamental role in edge turbulence suppression, transport barrier formation and the transition to H-mode. Thus, the interplay between macroscopic flows and transport at the plasma edge is of crucial importance to understanding plasma confinement and stability. The work presented in this thesis is based on charge exchange recombination spectroscopy (CXRS) measurements performed at the plasma edge of the ASDEX Upgrade (AUG) tokamak. During this thesis new high-resolution CXRS diagnostics were installed at the outboard and inboard miplane of AUG, which provide measurements of the temperature, density and flows of the observed species. From these measurements the radial electric field can be directly determined via the radial force balance equation. The new CXRS measurements, combined with the other edge diagnostics available at AUG, allow for an unprecedented, high-accuracy localization (2-3 mm) of the E r profile. The radial electric field has been derived from charge exchange spectra measured on different impurity species including He 2+ , B 5+ , C 6+ and Ne 10+ . The resulting E r profiles are found to be identical within the uncertainties regardless of the impurity species used, thus demonstrating the validity of the diagnostic technique. Inside the ETB the E r profile forms a deep, negative (i.e. directed towards the

  6. Radial electric field studies in the plasma edge of ASDEX upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Viezzer, Eleonora

    2012-12-18

    In magnetically confined fusion plasmas, edge transport barriers (ETBs) are formed during the transition from a highly turbulent state (low confinement regime, L-mode) to a high energy confinement regime (H-mode) with reduced turbulence and transport. The performance of an H-mode fusion plasma is highly dependent on the strength of the ETB which extends typically over the outermost 5% of the confined plasma. The formation of the ETB is strongly connected to the existence of a sheared plasma flow perpendicular to the magnetic field caused by a local radial electric field E{sub r}. The gradients in E{sub r} and the accompanying E x B velocity shear play a fundamental role in edge turbulence suppression, transport barrier formation and the transition to H-mode. Thus, the interplay between macroscopic flows and transport at the plasma edge is of crucial importance to understanding plasma confinement and stability. The work presented in this thesis is based on charge exchange recombination spectroscopy (CXRS) measurements performed at the plasma edge of the ASDEX Upgrade (AUG) tokamak. During this thesis new high-resolution CXRS diagnostics were installed at the outboard and inboard miplane of AUG, which provide measurements of the temperature, density and flows of the observed species. From these measurements the radial electric field can be directly determined via the radial force balance equation. The new CXRS measurements, combined with the other edge diagnostics available at AUG, allow for an unprecedented, high-accuracy localization (2-3 mm) of the E{sub r} profile. The radial electric field has been derived from charge exchange spectra measured on different impurity species including He{sup 2+}, B{sup 5+}, C{sup 6+} and Ne{sup 10+}. The resulting E{sub r} profiles are found to be identical within the uncertainties regardless of the impurity species used, thus demonstrating the validity of the diagnostic technique. Inside the ETB the E{sub r} profile forms a deep

  7. Plasma-edge gradients in L-mode and ELM-free H-mode JET plasmas

    International Nuclear Information System (INIS)

    Breger, P.; Zastrow, K.-D.; Davies, S.J.; K ig, R.W.T.; Summers, D.D.R.; Hellermann, M.G. von; Flewin, C.; Hawkes, N.C.; Pietrzyk, Z.A.; Porte, L.

    1998-01-01

    Experimental plasma-edge gradients in JET during the edge-localized-mode (ELM) free H-mode are examined for evidence of the presence and location of the transport barrier region inside the magnetic separatrix. High spatial resolution data in electron density is available in- and outside the separatrix from an Li-beam diagnostic, and in electron temperature inside the separatrix from an ECE diagnostic, while outside the separatrix, a reciprocating probe provides electron density and temperature data in the scrape-off layer. Ion temperatures and densities are measured using an edge charge-exchange diagnostic. A comparison of observed widths and gradients of this edge region with each other and with theoretical expectations is made. Measurements show that ions and electrons form different barrier regions. Furthermore, the electron temperature barrier width (3-4 cm) is about twice that of electron density, in conflict with existing scaling laws. Suitable parametrization of the edge data enables an electron pressure gradient to be deduced for the first time at JET. It rises during the ELM-free phase to reach only about half the marginal pressure gradient expected from ballooning stability before the first ELM. Subsequent type I ELMs occur on a pressure gradient contour roughly consistent with both a constant barrier width model and a ballooning mode envelope model. (author)

  8. Tungsten transport in the plasma edge at ASDEX upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Janzer, Michael Arthur

    2015-04-30

    The Plasma Facing Components (PFC) will play a crucial role in future deuterium-tritium magnetically confined fusion power plants, since they will be subject to high energy and particle loads, but at the same time have to ensure long lifetimes and a low tritium retention. These requirements will most probably necessitate the use of high-Z materials such as tungsten for the wall materials, since their erosion properties are very benign and, unlike carbon, capture only little tritium. The drawback with high-Z materials is, that they emit strong line radiation in the core plasma, which acts as a powerful energy loss mechanism. Thus, the concentration of these high-Z materials has to be controlled and kept at low levels in order to achieve a burning plasma. Understanding the transport processes in the plasma edge is essential for applying the proper impurity control mechanisms. This control can be exerted either by enhancing the outflux, e.g. by Edge Localized Modes (ELM), since they are known to expel impurities from the main plasma, or by reducing the influx, e.g. minimizing the tungsten erosion or increasing the shielding effect of the Scrape Off Layer (SOL). ASDEX Upgrade (AUG) has been successfully operating with a full tungsten wall for several years now and offers the possibility to investigate these edge transport processes for tungsten. This study focused on the disentanglement of the frequency of type-I ELMs and the main chamber gas injection rate, two parameters which are usually linked in H-mode discharges. Such a separation allowed for the first time the direct assessment of the impact of each parameter on the tungsten concentration. The control of the ELM frequency was performed by adjusting the shape of the plasma, i.e. the upper triangularity. The radial tungsten transport was investigated by implementing a modulated tungsten source. To create this modulated source, the linear dependence of the tungsten erosion rate at the Ion Cyclotron Resonance

  9. Status of Issues in U.S. Edge-Plasma Research and Priority Topics for the Next Five Years

    International Nuclear Information System (INIS)

    Bateman, G.; Chang, C.; Fenstermacher, M.; Guzdar, P.; Hahm, T.S.; Krasheninnikov, S.; Kritz, A.; Rognlien, T D.; Schnack, D.; Schultz, D.; Snyder, P.; Stotler, D.; Terry, J.; Ulrickson, M.; Bolton, C.

    2005-01-01

    The Edge Coordinating Committee (ECC) was formed in July 14-15, 2004 when OFES Theory Team invited 14 plasma researchers to a two-day meeting in Germantown, MD to discuss the state of edge-plasma research in the U.S. with a focus on theory and modeling (see http://www.mfescience.org/ecc/ ecc/). At that time, OFES tasked the ECC with providing, in about a six month period, a report on the present status of key issues in this area together with a roadmap of what range of activities should be undertaken in the next five years to resolve these issues. This document is a response to that charge. Future edge-plasma research described here is assumed to fit into a budget constraint of a ''flat budget,'' with some additional activities cited for budget increases of as much as 50%. To obtain some measure of the relative fraction of OFES Theory funding presently devoted to edge plasma research, the OFES Theory Team informally surveyed funded work they support in this area at National Labs, Universities, and industry. John Mandrekas reported to us that approximately 10% of the present budget goes to edge-physics areas at 10 institutions, for a total of ∼$2.5M each year. While not explicitly estimated, we note that there are also important edge plasma projects in the Plasma Technology program, especially related to plasma/material sputtering interactions, near-surface plasma chemistry and transport, and impurity transport. Likewise, the Confinement Program has important efforts in interpreting edge-plasma data through modeling codes. This initial status/roadmap work of the ECC is complimentary to the Fusion Energy Science Sciences Advisory Committee (FESAC) Panel on Program Priorities (see http://www.mfescience.org/fesac/ fesac/). This Panel is charged with identifying major science and technology issues for all of controlled fusion and also with recommending campaigns to address these issues. The Panel has organized their report along six thematic areas, one of which

  10. Impact of the plasma response in three-dimensional edge plasma transport modelling for RMP ELM control scenarios at ITER

    Science.gov (United States)

    Schmitz, Oliver

    2014-10-01

    The constrains used in magneto-hydrodynamic (MHD) modeling of the plasma response to external resonant magnetic perturbation (RMP) fields have a profound impact on the three-dimensional (3-D) shape of the plasma boundary induced by RMP fields. In this contribution, the consequences of the plasma response on the actual 3D boundary structure and transport during RMP application at ITER are investigated. The 3D fluid plasma and kinetic neutral transport code EMC3-Eirene is used for edge transport modeling. Plasma response modeling is conducted with the M3D-C1 code using a single fluid, non-linear and a two fluid, linear MHD constrain. These approaches are compared to results with an ideal MHD like plasma response. A 3D plasma boundary is formed for all cases consisting of magnetic finger structures at the X-point intersecting the divertor surface in a helical footprint pattern. The width of the helical footprint pattern is largely reduced compared to vacuum magnetic fields when using the ideal MHD like screening model. This yields increasing peak heat fluxes in contrast to a beneficial heat flux spreading seen with vacuum fields. The particle pump out as well as loss of thermal energy is reduced by a factor of two compared to vacuum fields. In contrast, the impact of the plasma response obtained from both MHD constrains in M3D-C1 is nearly negligible at the plasma boundary and only a small modification of the magnetic footprint topology is detected. Accordingly, heat and particle fluxes on the target plates as well as the edge transport characteristics are comparable to the vacuum solution. This span of modeling results with different plasma response models highlights the importance of thoroughly validating both, plasma response and 3D edge transport models for a robust extrapolation towards ITER. Supported by ITER Grant IO/CT/11/4300000497 and F4E Grant GRT-055 (PMS-PE) and by Start-Up Funds of the University of Wisconsin - Madison.

  11. Bifurcation of radial electric field in tokamak edge plasmas due to ion orbit loss

    International Nuclear Information System (INIS)

    Wu, G.J.; Zhang, X.D.

    2015-01-01

    The ion orbit loss and the formation of radial electric field Er in tokamak edge region are calculated. The ion orbit loss generates a negative Er, which in turn affects the ion loss. As a result, Er can saturates at either a low or a high value, depending on the plasma parameters. When the ion temperature in the plasma edge is higher than a threshold a self-sustaining growth in both the ion loss and Er is found, leading to a high saturation value of Er in the milliseconds time. This mechanism provides a possible explanation for the formation of the edge radial electric field during the L to H-mode transition observed in tokamak experiments. (author)

  12. The response of the Tore Supra edge plasma to supersonic pulsed gas injection

    Czech Academy of Sciences Publication Activity Database

    Pánek, Radomír; Gunn, J. P.; Bucalossi, J.; Ďuran, Ivan; Geraud, A.; Hron, Martin; Loarer, T.; Pégourié, B.; Stöckel, Jan; Tsitrone, E.

    337-339, č. 16 (2005), s. 530-534 ISSN 0022-3115. [Plasma Surface Interactions /16./. Portland, 24.5.2005-28.5.2005] R&D Projects: GA ČR(CZ) GP202/03/P062 Institutional research plan: CEZ:AV0Z20430508 Keywords : Edge plasma * Gas injection and fuelling * probes * Plasma flow * Tore Supra Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.414, year: 2005

  13. Low plasma edge temperatures for the self-pumped limiter

    International Nuclear Information System (INIS)

    Terry, W.K.; Brooks, J.N.

    1985-03-01

    Transport code calculations have been performed to study the operation of an INTOR-like tokamak plasma from which helium is removed by a self-pumped limiter, which traps helium, but not hydrogen, in its surface layers. To prevent saturation by helium, the surface is renewed by continuous injection of the surface material (vanadium in this study) into the scrape-off layer. The presence of the injected vanadium leads to plasma temperatures well below 50 eV in the scrape-off layer, with supplementary rf heating. Operation in this edge temperature regime is essential for the use of medium- and high-Z limiter coatings

  14. Edge modelling of ICFR heated plasmas on PLT

    International Nuclear Information System (INIS)

    Lehrman, I.S.

    1990-01-01

    Theoretical models are presented to explain the edge plasma-antenna interaction that occurs during ICRF heating. The periodic structure of the Faraday shield is found to result in strong ponderomotive force in the vicinity of the antenna. A fluid model, which incorporates the ponderomotive force, predicts an increase in particle transport to the Faraday shield. Kinetic modelling shows that the strong antenna near-fields act to increase the energy of deuterons that strike the shield, thereby increasing the sputtering of shield material. In addition, kinetic modelling shows that E parallel induced between adjacent shield elements acts to heat edge electron that transit close to the antenna. The predictions of the models are shown to be consistent with measurements of enhanced transport on PLT. (author). 27 refs, 17 figs

  15. Structure of density fluctuations in the edge plasma of ASDEX

    International Nuclear Information System (INIS)

    Rudyj, A.; Carlson, A.; Endler, M.; Giannone, L.; Niedermeyer, H.; Theimer, G.

    1990-01-01

    It is now generally believed that the anomalous particle and energy transport in tokamaks is caused by turbulent fluctuations. The physical nature of these fluctuations (mode type, the driving mechanism) have still to be identified experimentally before a self consistent transport theory can be developed. In contrast to the confinement region the plasma edge can be well diagnosed. H α -light, which is emitted at the edge, reacts to density and to some extend to temperature fluctuations. It delivers information about radially integrated spectra and correlations. Langmuir probes measure density and potential fluctuations with good spatial resolution. The edge transport governs the physics in the scrape-off layer and in the divertor which is extremely important for a reactor and therefore deserves a major experimental effort. In this paper we report on an attempt to gain detailed information about the spatial and temporal structure of the edge turbulence hoping to reduce the degrees of freedom for theoretical models to a manageable number. (author) 3 refs., 3 figs

  16. Core-edge coupling and the effect of the edge on overall plasma performance

    International Nuclear Information System (INIS)

    Fichtmueller, M.; Corrigan, G.; Lauro-Taroni, L.

    1999-01-01

    Several attempts to model the entire plasma cross section have been reported in the last few years. Two possibilities are to either couple a core code to a scrape-off layer (SOL) code at a specified interface or to extend the computational region of an SOL-code all the way to the plasma centre. The most advanced global code is the code COCONUT which is based on the former principle and comprises the Monte-Carlo code NIMBUS, the 2D scrape-off layer code EDGE2D, the core transport code JETTO and the core impurity transport code SANCO. A main feature of COCONUT is its modular structure which ensures a high degree of flexibility and the capability to cover a large range of time-scales. The influence of the SOL on the core is illustrated with a range of global simulations carried out with COCONUT. The simulations show that the primary effect of the SOL is the control of the particle sources and sinks with a secondary effect on plasma dilution, radiation and perhaps pedestal temperatures. (author)

  17. Plasma edge physics in the TEXTOR tokamak with poloidal and toroidal limiters

    International Nuclear Information System (INIS)

    Samm, U.; Bogen, P.; Hartwig, H.; Hintz, E.; Hoethker, K.; Lie, Y.T.; Pospieszczyk, A.; Rusbueldt, D.; Schweer, B.; Yu, Y.J.

    1989-01-01

    Investigations of the plasma edge in TEXTOR are presented on the one hand by comparing results obtained with poloidal and toroidal limiters and on the other hand by discussing general problems of plasma edge physics which are independent of the limiter configuration. The characteristic properties of plasma flow to the different limiters are analyzed and show e.g. that the fraction of total ion flow to the limiter is much larger in the case of a toroidal limiter (80%). Density and heat flux profiles are presented which demonstrate that for both types of limiters a significant steepening of the scrape-off layer (SOL) occurs close to the limiter, leading to a small heat load e-folding length of 5-8 mm. The velocity distribution of recycled neutral hydrogen at a main limiter has been determined from the Doppler broadening of the H α line. The data clearly show that a large fraction of particles (30-50%) is reflected at the limiter surface having energies of about the sheath potential. Significant isotopic effects (H/D) concerning the plasma edge properties and the plasma core are presented and their relation to enhanced particle and energy transport in hydrogen compared to deuterium is discussed. A decrease of the cross field diffusion coefficient with increasing density can be deduced from density profile measurements in the SOL and a comparison with density fluctuations is given. The role of oxygen for impurity release is demonstrated. A new type of wall conditioning - boronization - is described, with two major improvements for quasi stationary conditions: reduction of oxygen and better density control. Best results with ICRH have been obtained under these conditions. (orig.)

  18. A survey of problems in divertor and edge plasma theory

    International Nuclear Information System (INIS)

    Boozer, A.; Braams, B.; Weitzner, H.; Hazeltine, R.; Houlberg, W.; Oktay, E.; Sadowski, W.; Wootton, A.

    1992-01-01

    Theoretical physics problems related to divertor design are presented, organized by the region in which they occur. Some of the open questions in edge physics are presented from a theoretician's point of view. After a cursory sketch of the fluid models of the edge plasma and their numerical realization, the following topics are taken up: time-dependent problems, non-axisymmetric effects, anomalous transport in the scrape-off layer, edge kinetic theory, sheath effects and boundary conditions in divertors, electric field effects, atomic and molecular data issues, impurity transport in the divertor region, poloidally localized power dissipation (MARFEs and dense gas targets), helium ash removal, and neutral transport. The report ends with a summary of selected problems of particular significance and a brief bibliography of survey articles and related conference proceedings

  19. Blue shift of the plasma edge of a ferromagnetic semimetal

    International Nuclear Information System (INIS)

    Wachter, P.; Bommeli, F.; Degiorgi, L.; Burlet, P.; Bourdarot, F.

    1998-01-01

    Full text: In general rare earth pnictides are semimetals and antiferromagnets. Only some nitrides are quoted as ferri or ferromagnetic. However, it has been shown when prepared stoichiometrically and in single crystalline form the free carrier concentration is only in the percent per cation range, thus they are typical low carrier systems. Under these conditions the nitrides are all canted antiferromagnets and metamagnets, i.e. they show Abstract only. The full magnetic moment only with an applied magnetic field. However, when prepared as single crystals but with excess of the rare earths they become spontaneously ferromagnets due to the Ruderman-Kittel-Kasuya-Yosida (RKKY) interaction in addition to the superexchange mechanisms. On such ferromagnetic compositions of TbN and GdN and also in EuB 6 a new magneto-optic effect has been discovered, a spontaneous blue shift of the plasma edge upon magnetic order. The plasma edge is measured with optical reflectivity and it depends on the free carrier concentration. In other words the free carrier concentration increases upon ferromagnetic order. This effect can be understood with the spontaneous exchange splitting of mainly the 5d conduction band, lowering the bottom of the spin up 5d band, thus increasing the indirect overlap with the valence p band of the anions and thus enhancing the carrier concentration. This blue shift of the plasma edge follows a spin correlation function. An external magnetic field applied near TC enhances the blue shift since the magnetization is not yet saturated. For T→0 a magnetic field has no effect since the magnetization is spontaneously saturated

  20. Impact of rotating resonant magnetic perturbation fields on plasma edge electron density and temperature

    International Nuclear Information System (INIS)

    Stoschus, H.; Schmitz, O.; Frerichs, H.; Reiser, D.; Unterberg, B.; Lehnen, M.; Reiter, D.; Samm, U.; Jakubowski, M.W.

    2012-01-01

    Rotating resonant magnetic perturbation (RMP) fields impose a characteristic modulation to the edge electron density n e (r, t) and temperature T e (r, t) fields, which depends on the relative rotation f rel between external RMP field and plasma fluid. The n e (r, t) and T e (r, t) fields measured in the edge (r/a = 0.9–1.05) of TEXTOR L-mode plasmas are in close correlation with the local magnetic vacuum topology for low relative rotation f rel = −0.2 kHz. In comparison with the 3D neutral and plasma transport code EMC3-Eirene, this provides substantial experimental evidence that for low relative rotation level and high resonant field amplitudes (normalized radial field strength B r 4/1 /B t =2×10 -3 ), a stochastic edge with a remnant island chain dominated by diffusive transport exists. Radially outside a helical scrape-off layer, the so-called laminar zone embedded into a stochastic domain is found to exist. In contrast for high relative rotation of f rel = 1.8 kHz, the measured modulation of n e is shifted by π/2 toroidally with respect to the modelled vacuum topology. A pronounced flattening in T e (r) and a reduction in n e (r) is measured at the resonant flux surface and represents a clear signature for a magnetic island, which is phase shifted with respect to the vacuum island position. A correlated shift of the laminar zone radially outwards at the very plasma edge is observed suggesting that the actual near-field structure at the perturbation source is determined by the plasma response as well. (paper)

  1. Impurity Control Test Facility (ICTF) for the study of fusion reactor plasma/edge materials interactions

    International Nuclear Information System (INIS)

    Brooks, J.N.; Mattas, R.F.; Ehst, D.A.; Boley, C.D.; Hershkowitz, N.

    1984-05-01

    A test facility for investigating many of the impurity control issues associated with the interactions of materials with the plasma edge is outlined. Analysis indicates that the plasma edge conditions expected in TFCX, INTOR, etc. can be readily produced at the end cells of an rf stabilized mirror, similar in some respects to the Phaedrus device at the University of Wisconsin. A steady-state, Impurity Control Test Facility (ICTF) based on such a mirror device is expected to produce a plasma with typical parameters of n/sub e/ approx. 3 x 10 18 m -3 , T/sub e/ = 50 eV, and T/sub i/ = 100 eV at each end cell. A heat load of approx. 2 MW/m 2 over areas of approx. 1600 cm 2 could be produced at each end with 800 kW of ICRH power. These conditions would provide a unique capability for examining issues such as erosion/redeposition behavior, properties of redeposited materials, high recycling regimes, plasma edge operating limits for high-Z materials, and particle pumping efficiencies for limiter and divertor designs

  2. Characterization of edge turbulence in relation to edge magnetic field configuration in Ohmic L-mode plasmas in the Mega Amp Spherical Tokamak

    Science.gov (United States)

    Hnat, B.; Dudson, B. D.; Dendy, R. O.; Counsell, G. F.; Kirk, A.; MAST Team

    2008-08-01

    Ion saturation current (Isat) measurements of edge plasma turbulence are analysed for six MAST L-mode plasmas that differ primarily in their edge magnetic field configurations. The analysis techniques are designed to capture the strong nonlinearities of the datasets. First, absolute moments of the data are examined to obtain accurate values of scaling exponents. This confirms dual scaling behaviour in all samples, with the temporal scale τ ≈ 40-60 µs separating the two regimes. Strong universality is then identified in the functional form of the probability density function (PDF) for Isat fluctuations, which is well approximated by the Fréchet distribution on temporal scales τ 40 µs, the PDFs appear to converge to the Gumbel distribution, which has been previously identified as a universal feature of many other complex phenomena. The optimal fitting parameters k = 1.15 for Fréchet and a = 1.35 for Gumbel provide a simple quantitative characterization of the full spectrum of fluctuations. It is concluded that, to good approximation, the properties of the edge turbulence are independent of the edge magnetic field configuration.

  3. Impurity line emission due to thermal charge exchange in JET edge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Maggi, C F; Horton, L D; Koenig, R; Stamp, M [Commission of the European Communities, Abingdon (United Kingdom). JET Joint Undertaking; Summers, H P [Strathclyde Univ., Glasgow (United Kingdom)

    1994-07-01

    High n-shell emission from hydrogen-like carbon (C VI, n=8-7) has been routinely observed from the plasma edge of JET. By comparing the measured spectral line intensities with the signals predicted by advanced atomic physics modelling of carbon and hydrogen radiation, integrated with modelling of the divertor and edge plasma, it is concluded that charge transfer from excited state hydrogen donors into fully stripped carbon ions can account for the observed spectral emission, but that the hydrogen distribution and to a lesser extent the carbon distribution away from the strike zone predicted by the transport model are too low. Data presented are those of three upper X-point discharges, where the target material was carbon. 5 refs., 1 fig., 3 tabs.

  4. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  5. “Virtual IED sensor” at an rf-biased electrode in low-pressure plasma

    Energy Technology Data Exchange (ETDEWEB)

    Bogdanova, M. A.; Zyryanov, S. M. [Skobeltsyn Institute of Nuclear Physics, Moscow State University, SINP MSU, Moscow (Russian Federation); Faculty of Physics, Moscow State University, MSU, Moscow (Russian Federation); Lopaev, D. V.; Rakhimov, A. T. [Skobeltsyn Institute of Nuclear Physics, Moscow State University, SINP MSU, Moscow (Russian Federation)

    2016-07-15

    Energy distribution and the flux of the ions coming on a surface are considered as the key-parameters in anisotropic plasma etching. Since direct ion energy distribution (IED) measurements at the treated surface during plasma processing are often hardly possible, there is an opportunity for virtual ones. This work is devoted to the possibility of such indirect IED and ion flux measurements at an rf-biased electrode in low-pressure rf plasma by using a “virtual IED sensor” which represents “in-situ” IED calculations on the absolute scale in accordance with a plasma sheath model containing a set of measurable external parameters. The “virtual IED sensor” should also involve some external calibration procedure. Applicability and accuracy of the “virtual IED sensor” are validated for a dual-frequency reactive ion etching (RIE) inductively coupled plasma (ICP) reactor with a capacitively coupled rf-biased electrode. The validation is carried out for heavy (Ar) and light (H{sub 2}) gases under different discharge conditions (different ICP powers, rf-bias frequencies, and voltages). An EQP mass-spectrometer and an rf-compensated Langmuir probe (LP) are used to characterize plasma, while an rf-compensated retarded field energy analyzer (RFEA) is applied to measure IED and ion flux at the rf-biased electrode. Besides, the pulsed selfbias method is used as an external calibration procedure for ion flux estimating at the rf-biased electrode. It is shown that pulsed selfbias method allows calibrating the IED absolute scale quite accurately. It is also shown that the “virtual IED sensor” based on the simplest collisionless sheath model allows reproducing well enough the experimental IEDs at the pressures when the sheath thickness s is less than the ion mean free path λ{sub i} (s < λ{sub i}). At higher pressure (when s > λ{sub i}), the difference between calculated and experimental IEDs due to ion collisions in the sheath is observed in the low

  6. Fokker-Planck description of the scattering of radio frequency waves at the plasma edge

    International Nuclear Information System (INIS)

    Hizanidis, Kyriakos; Kominis, Yannis; Tsironis, Christos; Ram, Abhay K.

    2010-01-01

    In magnetic fusion devices, radio frequency (rf) waves in the electron cyclotron (EC) and lower hybrid (LH) range of frequencies are being commonly used to modify the plasma current profile. In ITER, EC waves are expected to stabilize the neoclassical tearing mode (NTM) by providing current in the island region [R. Aymar et al., Nucl. Fusion 41, 1301 (2001)]. The appearance of NTMs severely limits the plasma pressure and leads to the degradation of plasma confinement. LH waves could be used in ITER to modify the current profile closer to the edge of the plasma. These rf waves propagate from the excitation structures to the core of the plasma through an edge region, which is characterized by turbulence--in particular, density fluctuations. These fluctuations, in the form of blobs, can modify the propagation properties of the waves by refraction. In this paper, the effect on rf due to randomly distributed blobs in the edge region is studied. The waves are represented as geometric optics rays and the refractive scattering from a distribution of blobs is formulated as a Fokker-Planck equation. The scattering can have two diffusive effects--one in real space and the other in wave vector space. The scattering can modify the trajectory of rays into the plasma and it can affect the wave vector spectrum. The refraction of EC waves, for example, could make them miss the intended target region where the NTMs occur. The broadening of the wave vector spectrum could broaden the wave generated current profile. The Fokker-Planck formalism for diffusion in real space and wave vector space is used to study the effect of density blobs on EC and LH waves in an ITER type of plasma environment. For EC waves the refractive effects become important since the distance of propagation from the edge to the core in ITER is of the order of a meter. The diffusion in wave vector space is small. For LH waves the refractive effects are insignificant but the diffusion in wave vector space is

  7. Spatiotemporal response of plasma edge density and temperature to non-axisymmetric magnetic perturbations at ASDEX Upgrade

    International Nuclear Information System (INIS)

    Fischer, R; Fuchs, J C; McDermott, R; Rathgeber, S K; Suttrop, W; Wolfrum, E; Willensdorfer, M

    2012-01-01

    Non-axisymmetric magnetic perturbations (MPs) were successfully applied at ASDEX Upgrade to substantially reduce the plasma energy loss and peak divertor power load that occur concomitant with type-I edge localized modes (ELMs). The response of electron density edge profiles and temperature and pressure pedestal-top values to MPs are reported. ELM mitigation is observed above an edge density threshold and independent of the MPs being resonant or non-resonant with the edge safety factor. The edge electron collisionality appears not to be appropriate to separate mitigated from non-mitigated discharges for the present high-collisionality plasmas. No significant change in the position or gradient of the edge density profile could be observed for the transition into the ELM-mitigated phase, except from the effect of the three-dimensional MP field which leads to an apparent profile shift. An increase in the density and decrease in the temperature at the pedestal-top balance such that the pressure saturates at the value of the pre-mitigated phase. The plasma stored energy, the normalized plasma pressure, and the H-mode quality factor follow closely the evolution of the pedestal-top pressure and thus remain almost unaffected. The temporal evolution of the ion effective charge shows that the impurity content does not increase although flushing through type-I ELMs is missing. The type-I ELMs are replaced in the mitigated phase by small-scale and high-frequency edge perturbations. The effect of the small bursts on the density profile, which is correlated with a transient increase of the divertor thermoelectric current, is small compared with the effect of the type-I ELMs. The residual scatter of the profiles in the mitigated phase is small directly after the transition into the ELM-mitigated phase and increases again when the pressure saturates at the value of the pre-mitigated phase. (paper)

  8. Investigation of mechanisms for He-I emission radial profile broadening in a weakly ionized cylindrical helium plasma with recombining edge

    Energy Technology Data Exchange (ETDEWEB)

    Hollmann, E. M.; Brandt, C.; Hudson, B.; Nishijima, D.; Pigarov, A. Yu. [University of California–San Diego, 9500 Gilman Dr., La Jolla, California 92093-0417 (United States); Kumar, D. [Johns Hopkins University, 3400 N Charles St., Baltimore, Maryland 21218 (United States)

    2013-09-15

    Spatially resolved spectroscopic measurements of He-I line emission are used to study the causes of emission profile broadening radially across the cylinder of a weakly ionized helium plasma. The plasma consists of an ionizing core (r < 2 cm) surrounded by a recombining edge (r > 2 cm) plasma. The brightness profiles of low-n EUV He-I resonance lines are shown to be strongly radially broadened due to opacity. The brightness profiles of high-n visible lines are also found to be strongly radially broadened, but dominantly due to edge recombination. Visible low-n lines are less strongly radially broadened, apparently by a combination of both recombination and EUV opacity. The low-n visible He-I line ratio method with central opacity correction is found to calculate central electron density and temperature well, with poor agreement at the edge, as expected for recombining plasma. In the recombining edge, high-n Boltzmann analysis is found to accurately measure the cold (T{sub e} < 0.2 eV) edge temperature. Near the core, however, high-n Boltzmann analysis can be complicated by electron-impact excitation, giving incorrect (T{sub e}≈ 0.1 eV) apparent temperatures. Probe measurements were not able to capture the cold edge temperature accurately, probably due to large potential fluctuations, even when using fast triple probe measurements. Fast spectroscopic measurements show that this discrepancy is not explained by recombining plasma alternating with ionizing plasma in the edge region.

  9. Structure of density fluctuations in the edge plasma of ASDEX

    Energy Technology Data Exchange (ETDEWEB)

    Rudyj, A; Carlson, A; Endler, M; Giannone, L.; Niedermeyer, H; Theimer, G [Max-Planck-Institut fuer Plasmaphysik, Garching (Germany)

    1990-01-01

    It is now generally believed that the anomalous particle and energy transport in tokamaks is caused by turbulent fluctuations. The physical nature of these fluctuations (mode type, the driving mechanism) have still to be identified experimentally before a self consistent transport theory can be developed. In contrast to the confinement region the plasma edge can be well diagnosed. H{sub {alpha}}-light, which is emitted at the edge, reacts to density and to some extend to temperature fluctuations. It delivers information about radially integrated spectra and correlations. Langmuir probes measure density and potential fluctuations with good spatial resolution. The edge transport governs the physics in the scrape-off layer and in the divertor which is extremely important for a reactor and therefore deserves a major experimental effort. In this paper we report on an attempt to gain detailed information about the spatial and temporal structure of the edge turbulence hoping to reduce the degrees of freedom for theoretical models to a manageable number. (author) 3 refs., 3 figs.

  10. Visible-light imaging MHD studies of the edge plasma in the JIPP-T-IIU tokamak

    International Nuclear Information System (INIS)

    Yamazaki, K.; Haba, K.; Hirokura, S.

    1984-06-01

    MHD activity and turbulence near the plasma edge are studied on the JIPP-T-IIU tokamak using a new high-speed visible-light image-converter video-camera system. Different from conventional cinefilm and photo-diode array systems, this system is convenient for the instantaneous display of the high-speed optical plasma images after plasma discharges. The effectiveness of this instrument for the research of the plasma wall interaction is demonstrated in this experiment. The observed characteristics on the edge-plasma behavior are as follows: (1) The helical mode structure of the luminous plasma boundary suggesting plasma-surface interaction is identified in the case of OH or ICRF-heated discharge. (2) In the LH-current drive case, no clear large-scale coherent modes are identified, however, on the initial stage a medium-scale turbulence (lambda-- a few cm, f -- ten kHz) is found. (3) Before current disruptions, an m=2 or m=3 helical mode is found and up-down asymmetric light emissions are often observed during disruptions. (author)

  11. 8th international workshop on plasma edge theory in fusion devices. Abstracts of invited and contributed papers

    International Nuclear Information System (INIS)

    Sipilae, S.K.; Heikkinen, J.A.

    2001-01-01

    The 8th International Workshop on Plasma Edge Theory in Fusion Devices, held at Dipoli Congress Centre, Espoo, Finland, is organised on behalf of the International Scientific Committee by Helsinki University of Technology and VTT (Technical Research Centre of Finland). Similar to the seven preceding Workshops, it addresses the theory for the boundary layer of magnetically confined fusion plasmas. It reflects the present status of the theory for the edge region of fusion plasmas. Emphasis is placed on the development of theory and of appropriate numerical methods as well as on self-consistent modelling of experimental data (including also empirical elements). The following topics are covered: basic edge plasma theory, models of special phenomena and edge control, and integrated edge plasma modelling. The International Scientific Committee has selected the papers and compiled the scientific programme. All other arrangements have been made by the Local Organising Committee. The Workshop is supported by the European Commission, High-Level Scientific Conferences. This Book of Abstracts contains the scientific programme and the abstracts of the invited and contributed papers. The Workshop has seven invited lectures of 60 minutes duration (including 10 minutes for discussion). In addition, 10 contributed papers were selected for oral presentation of 30 minutes duration (including five minutes for discussion). All oral presentations are given in plenary sessions. The remaining 34 contributed papers are presented as posters in three sessions. The invited lectures and contributed oral papers are presented also as posters. All invited and contributed papers will be refereed and published also as a regular issue of the journal Contributions to Plasma Physics. (orig.)

  12. Experimental investigation of turbulent transport at the edge of a tokamak plasma

    International Nuclear Information System (INIS)

    Fedorczak, N.

    2010-01-01

    This manuscript is devoted to the experimental investigation of particle transport in the edge region of the tokamak Tore Supra. The first part introduces the motivations linked to energy production, the principle of a magnetic confinement and the elements of physics essential to describe the dynamic of the plasma at the edge region. From data collected by a set of Langmuir probes and a fast visible imaging camera, we demonstrate that the particle transport is dominated by the convection of plasma filaments, structures elongated along magnetic field lines. They present a finite wave number, responsible for the high enhancement of the particle flux at the low field side of the tokamak. This leads to the generation of strong parallel flows, and the strong constraint of filament geometry by the magnetic shear. (author)

  13. Deposition of lithium on a plasma edge probe in TFTR -- Behavior of lithium-painted walls interacting with edge plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Hirooka, Y. [Univ. of California, San Diego, La Jolla, CA (United States); Ashida, K. [Toyama Univ. (Japan); Kugel, H. [Princeton Univ., NJ (United States)] [and others

    1998-05-01

    Recent observations have indicated that lithium pellet injection wall conditioning plays an important role in achieving the enhanced supershot regime in TFTR. However, little is understood about the behavior of lithium-coated limiter walls, interacting with edge plasmas. In the final campaign of TFTR, a cylindrical carbon fiber composite probe was inserted into the boundary plasma region and exposed to ohmically-heated deuterium discharges with lithium pellet injection. The ion-drift side probe surface exhibits a sign of codeposition of lithium, carbon, oxygen, and deuterium, whereas the electron side essentially indicates high-temperature erosion. It is found that lithium is incorporated in these codeposits in the form of oxide at the concentration of a few percent. In the electron side, lithium has been found to penetrate deeply into the probe material, presumably via rapid diffusion through interplane spaces in the graphite crystalline. Though it is not conclusive, materials mixing in the carbon and lithium system appears to be a key process in successful lithium wall conditioning.

  14. A study on tokamak fusion reactor - Numerical analyses of MHD equilibrium= and edge plasma transport in tokamak fusion reactor with divertor configurations

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Sang Hee; Lim, Ki Hang; Kang, Kyung Doo; Ryu, Ji Myung; Kim, Duk Kyu [Seoul National University, Seoul (Korea, Republic of); Cho, Soo Won [Kyungki Unviersity, Suwon (Korea, Republic of)

    1995-08-01

    In the present project for developing the numerical codes of 2-DMHD equilibrium, edge plasma transport and neutral particle transport for the tokamak plasmas, we compute the plasma equilibrium of double null type and calculate the external coil currents and the plasma parameters used for operation and control data. Also the numerical algorithm is developed to analyse the behavior of edge plasmas in poloidal and radial directions and the programming and debugging of a 2-D transport code are completed. Furthermore, a neutral particle transport code for the edge region is developed and then used for the analysis of the neutral transport phenomena giving the sources in the fluid equations, and expected to supply the input parameters for the edge plasma transport code. 34 refs., 5 tabs., 28 figs. (author)

  15. Relationship between the DC Bias and Debye Length in a Complex Plasma

    OpenAIRE

    Kong, Jie; Reyes, Jorge C.; Creel, James; Hyde, Truell

    2007-01-01

    The levitation height of a dust particle layer within a RF discharge plasma sheath is known to be related to the DC bias, the background pressure, and the Debye length. In this paper, a new experimental technique for measurement of the Debye length is introduced. This technique is based on the relationship between an externally applied DC bias and the particle levitation height and shows that under appropriate conditions, the addition of an externally applied DC bias provides a mechanism for ...

  16. Role of the pump limiter throat-ergodic divertor effect on edge plasma

    International Nuclear Information System (INIS)

    Grosman, A.; Samain, A.; Ghendrih, P.; Capes, H.; Morera, J.P.

    1988-01-01

    A large part of the Tore Supra programme is devoted to plasma edge studies. Two types of such density control apparatus have been implemented, a set of pumps limiters and the ergodic divertor. The goal of the present paper is to investigate the effect of the pump limiter throat on pumping efficiency. We present also the possibilities of the ergodic divertor device to facilitate plasma pumping and power exhaust

  17. Edge plasma physics modifications due to magnetic ripple in RFX-mod

    International Nuclear Information System (INIS)

    Scarin, P.; Agostini, M.; Carraro, L.; Cavazzana, R.; Ciaccio, G.; De Masi, G.; Spizzo, G.; Spolaore, M.; Vianello, N.

    2015-01-01

    The edge of the RFX-mod (R = 2 m, a = 0.46 m) Reversed Field Pinch is characterized by weak magnetic chaos affecting ion and electron diffusion. Edge particle transport is strongly influenced by a toroidal asymmetry caused by magnetic islands. An ambipolar radial electric field ensures local neutrality and possesses the same symmetry as the parent magnetic ripple: the result is the modulation of the perpendicular flow, with a slowing-down at the island X-point. In this paper we present a complete statistical analysis, over a large database of RFX-mod discharges, of the edge properties as they are modified by the magnetic topology: the plasma wall footprint follows the helical shape of the dominant central mode (m/n = 1/7), with an increase of H α emission and electron density corresponding to the O-point of the inner magnetic island. Edge turbulence is modified by the magnetic topology, being generated in the O-point region and damped near the X-point

  18. The stabilizing effect of core pressure on the edge pedestal in MAST plasmas

    International Nuclear Information System (INIS)

    Chapman, I.T.; Simpson, J.; Saarelma, S.; Kirk, A.; O'Gorman, T.; Scannell, R.

    2015-01-01

    The pedestal pressure measured in Mega Ampere Spherical Tokamak plasmas has been shown to increase as the global plasma pressure increases. By deliberately suppressing the transition into the high-confinement regime, the core plasma pressure was systematically altered at the time of the first edge localized mode. Stability analysis shows that the enhanced Shafranov shift at higher core pressure stabilizes the ballooning modes driven by the pedestal pressure gradient, consequently allowing the pedestal to reach higher pressures. (paper)

  19. Initial Studies of Core and Edge Transport of NSTX Plasmas

    International Nuclear Information System (INIS)

    Synakowski, E.J.; Bell, M.G.; Bell, R.E.; Bush, C.E.; Bourdelle, C.; Darrow, D.; Dorland, W.; Ejiri, A.; Fredrickson, E.D.; Gates, D.A.; Kaye, S.M.; Kubota, S.; Kugel, H.W.; LeBlanc, B.P.; Maingi, R.; Maqueda, R.J.; Menard, J.E.; Mueller, D.; Rosenberg, A.; Sabbagh, S.A.; Stutman, D.; Taylor, G.; Johnson, D.W.; Kaita, R.; Ono, M.; Paoletti, F.; Peebles, W.; Peng, Y-K.M.; Roquemore, A.L.; Skinner, C.H.; Soukhanovskii, V.A.

    2001-01-01

    Rapidly developing diagnostic, operational, and analysis capability is enabling the first detailed local physics studies to begin in high-beta plasmas of the National Spherical Torus Experiment (NSTX). These studies are motivated in part by energy confinement times in neutral-beam-heated discharges that are favorable with respect to predictions from the ITER-89P scaling expression. Analysis of heat fluxes based on profile measurements with neutral-beam injection (NBI) suggest that the ion thermal transport may be exceptionally low, and that electron thermal transport is the dominant loss channel. This analysis motivates studies of possible sources of ion heating not presently accounted for by classical collisional processes. Gyrokinetic microstability studies indicate that long wavelength turbulence with k(subscript ''theta'') rho(subscript ''i'') ∼ 0.1-1 may be suppressed in these plasmas, while modes with k(subscript ''theta'') rho(subscript ''i'') ∼ 50 may be robust. High-harmonic fast-wave (HHFW) heating efficiently heats electrons on NSTX, and studies have begun using it to assess transport in the electron channel. Regarding edge transport, H-mode [high-confinement mode] transitions occur with either NBI or HHFW heating. The power required for low-confinement mode (L-mode) to H-mode transitions far exceeds that expected from empirical edge-localized-mode-free H-mode scaling laws derived from moderate aspect ratio devices. Finally, initial fluctuation measurements made with two techniques are permitting the first characterizations of edge turbulence

  20. Effect of duct bias on transport of vacuum arc plasmas through curved magnetic filters

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.

    1994-01-01

    The plasma output of a 90 degree magnetic macroparticle filter of vacuum arc plasma was monitored by a Langmuir probe as a function of bias of the duct wall and guiding magnetic field. Maximum plasma transport through the filter was found at a positive bias of about 20 V. A relatively small magnetic field of 10--30 mT is sufficient for effective guiding of the plasma, and further increase of the guiding field improves the filter efficiency only gradually. The potential of a floating duct changes from negative to positive when the guiding field is increased. This can be explained by the balance of electron and ion flux transverse to the magnetic field. Saturation in the plasma output at high guiding field (>120 mT) is observed for carbon but not for heavy elements. The transport of plasma through bent ducts is made possible by the magnetic pressure of the guiding field, and by sheath and space-charge electric fields

  1. Effect of alpha drift and instabilities on tokamak plasma edge conditions

    International Nuclear Information System (INIS)

    Miley, G.H.; Choi, C.K.

    1983-01-01

    As suprathermal fusion products slow down in a Tokamak, their average drift is inward. The effect of this drift on the alpha heating and thermalization profiles is examined. In smaller TFTR-type devices, heating in the outer region can be cut in half. Also, the fusion-product energy-distribution near the plasma edge has a positive slope with increasing energy, representing a possible driving mechanism for micro-instabilities. Another instability that can seriously affect outer plasma conditions and shear Alfven transport of alphas is also considered

  2. A study on the fusion reactor - Numerical analyses of MHD equilibrium and= edge plasma transport in tokamak fusion reactor with divertor configurations

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Sang Hee; Kang, Kyung Doo; Ryu, Ji Myung; Kim, Deok Kyu; Chung, TaeKyun; Chung, Mo Se [Seoul National University, Seoul (Korea, Republic of); Cho, Su Won [Kyungki University, Suwon (Korea, Republic of)

    1995-08-01

    In the present project for developing the numerical codes of 2-D MHD equilibrium, edge plasma transport and neutral particle transport for the tokamak plasmas, we computed the MHD equilibria of single and double null configurations and determined the external coil currents and the plasma parameters used for operation and control data. Also we numerically acquired the distributions of edge plasma parameters in poloidal and radial directions= and the design-related values according to the various operating conditions using the developed plasma transport code. Furthermore, a neutral particle transport code for the edge region is developed and them used for the analysis of the neutral particle behavior yielding the source terms in the fluid transport equations, and expected to supply the input parameters for the edge plasma transport code. 53 refs., 12 tabs., 44 figs. (author)

  3. Viscosity in the edge of tokamak plasmas

    International Nuclear Information System (INIS)

    Stacey, W.M.

    1993-05-01

    A fluid representation of viscosity has been incorporated into a set of fluid equations that are maximally ordered in the ''short-radial-gradient-scale-length'' (srgsl) ordering that is appropriate for the edge of tokamak plasmas. The srgsl ordering raises viscous drifts and other viscous terms to leading order and fundamentally alters the character of the fluid equations. A leasing order viscous drift is identified. Viscous-driven radial particle and energy fluxes in the scrape-off layer and divertor channel are estimated to have an order unity effect in reducing radial peaking of energy fluxes transported along the field lines to divertor collector plates

  4. Duoplasmatron with a nozzle type plasma expension cup

    International Nuclear Information System (INIS)

    Kobayashi, M.; Nishikawa, T.; Takagi, A.

    1974-01-01

    Various tests are described which were carried out in order to clarify the cause of the aberration existing in the beams extracted from a nozzle type plasma expansion cup. The tests involve the extraction electrodes having different edge shapes, gridded extraction electrodes, high-voltage facing electrodes at the cup exit making different angles with the axis, plasma cups having different contours at the exit, plasma cups gridded at the exit, biasing the cup exit with respect to anode, plasma cups having different ratios of the exit area to axial length, etc. The results show that the inward meniscus type distortion of the plasma boundary near the rim of plasma cup will be a dominant source for the aberration. Both proper shaping of the contour of the cup exit and biasing the cup exit reduced the aberration

  5. Validation of neoclassical bootstrap current models in the edge of an H-mode plasma.

    Science.gov (United States)

    Wade, M R; Murakami, M; Politzer, P A

    2004-06-11

    Analysis of the parallel electric field E(parallel) evolution following an L-H transition in the DIII-D tokamak indicates the generation of a large negative pulse near the edge which propagates inward, indicative of the generation of a noninductive edge current. Modeling indicates that the observed E(parallel) evolution is consistent with a narrow current density peak generated in the plasma edge. Very good quantitative agreement is found between the measured E(parallel) evolution and that expected from neoclassical theory predictions of the bootstrap current.

  6. Characterization of plasma jet ejected from a parallel-plate rail gun for simulating edge localized mode

    International Nuclear Information System (INIS)

    Chung, K.S.; Chung, Kyoung-Jae; Jung, B.K.; Hwang, Y.S.

    2013-01-01

    Highlights: • A small plasma gun is constructed to study edge localized mode. • A plasma jet ejected from the gun is characterized with a quadruple Langmuir probe. • The device and diagnostics are suitable for research about the control of plasma jet. -- Abstract: A small plasma gun with parallel-plate configuration is fabricated to generate a bunch of plasma which is similar to ELM (edge localized mode) plasma, by taking advantages of its simplicity and cost-effectiveness. Prior to explore how to control the ELM-like plasma so as to relieve heat load on the divertor target, characteristics of a plasma jet ejected from the plasma gun are investigated using a quadruple Langmuir probe which is appropriate for measuring rapidly varying plasma parameters such as electron density, temperature, and ion velocity at the same time. The plasma density and ion velocity measured at 112 mm away from the exit are 3 × 10 19 m −3 and 11 km/s, respectively, which seem to be suitable for investigating next step research on the control of ELM-like plasma using various methods such as electromagnetic waves and high-voltage pulses. Also, the quadruple Langmuir probe is proven to be adequate for use in such experiments

  7. Turbulent transport modeling in the edge plasma of tokamaks: verification, validation, simulation and synthetic diagnostics

    International Nuclear Information System (INIS)

    Colin-Bellot, Clothilde

    2015-01-01

    The possibility to produce power by using magnetically confined fusion is a scientific and technological challenge. The perspective of ITER conveys strong signals to intensify modeling effort on magnetized fusion plasmas. The success of the fusion operation is conditioned by the quality of plasma confinement in the core of the reactor and by the control of plasma exhaust on the wall. Both phenomena are related to turbulent cross-field transport that is at the heart of the notion of magnetic confinement studies, particle and heat losses. The study of edge phenomena is therefore complicated by a particularly complex magnetic geometry.This calls for an improvement of our capacity to develop numerical tools able to reproduce turbulent transport properties reliable to predict particle and energy fluxes on the plasma facing components. This thesis introduces the TOKAM3X fluid model to simulate edge plasma turbulence. A special focus is made on the code Verification and the Validation. It is a necessary step before using a code as a predictive tool. Then new insights on physical properties of the edge plasma turbulence are explored. In particular, the poloidal asymmetries induced by turbulence and observed experimentally in the Low-Field-Side of the devices are investigated in details. Great care is dedicated to the reproduction of the MISTRAL base case which consists in changing the magnetic configuration and observing the impact on parallel flows in the poloidal plane. The simulations recover experimental measurements and provide new insights on the effect of the plasma-wall contact position location on the turbulent features, which were not accessible in experiments. (author) [fr

  8. Investigation of non thermal effects from the Dα line wings in edge plasmas

    International Nuclear Information System (INIS)

    Marandet, Y.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Capes, H.; Guirlet, R.

    2002-01-01

    The far wings of intense Dα lines measured at the edge of the Tore Supra Tokamak are found to exhibit a power-law behavior. The characteristic exponent is not far from two. Since the low density rules out thermal Stark broadening, we discuss non thermal effects which may arise from the edge plasma drift-wave turbulence. We suggest that both the Stark and the Doppler profile could be affected by the turbulence

  9. Revisited neoclassical transport theory for steep, collisional plasma edge profiles

    International Nuclear Information System (INIS)

    Rogister, A.L.

    1994-01-01

    Published neoclassical results are misleading as concerns the plasma edge for they do not adequately take the peculiar local conditions into account, in particular the fact that the density and temperature variation length-scales are quite small. Coupled novel neoclassical equations obtain, not only for the evolution of the density and temperatures, but also for the radial electric field and the evolution of the parallel ion momentum: gyro-stresses and inertia indeed upset the otherwise de facto ambipolarity of particle transport and a radial electric field necessarily builds up. The increased nonlinear character of these revisited neoclassical equations widens the realm of possible plasma behaviors. (author)

  10. Suppression of large edge localized modes in high confinement DIII-D plasmas with a stochastic magnetic boundary

    Energy Technology Data Exchange (ETDEWEB)

    Evans, T.E. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States)]. E-mail: evans@fusion.gat.com; Moyer, R.A. [University of California at San Diego, La Jolla, CA 92093-0417 (United States); Watkins, J.G. [Sandia National Laboratories, Albuquerque, NM 87185-1129 (United States); Thomas, P.R. [Association Euratom-CEA, CEA Cadarache, F-13108, St. Paul-lez-Durance (France); Osborne, T.H. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Boedo, J.A. [University of California at San Diego, La Jolla, CA 92093-0417 (United States); Fenstermacher, M.E. [Lawrence Livermore National Laborabory, Livermore, CA 94550 (United States); Finken, K.H. [Forschungszentrum Juelich, Institute for Plasma Physics, D52425 Juelich (Germany); Groebner, R.J. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Groth, M. [Lawrence Livermore National Laborabory, Livermore, CA 94550 (United States); Harris, J. [Australian National University, Canberra (Australia); Jackson, G.L. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Haye, R.J. La [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Lasnier, C.J. [Lawrence Livermore National Laborabory, Livermore, CA 94550 (United States); Schaffer, M.J. [General Atomics, P.O. Box 85608, San Diego, CA 92186-5608 (United States); Wang, G. [University of California, Los Angeles, California (United States); Zeng, L. [University of California, Los Angeles, California (United States)

    2005-03-01

    Large 70 Hz Type-I edge localized modes (ELMs) are converted into small 130 Hz oscillations using edge resonant magnetic perturbations (RMPs) from a coil with currents 0.4% I {sub p} in double null DIII-D plasmas. When the RMP is properly phased with respect to the background field errors, all but a few isolated ELM-like events are suppressed. The impulsive pedestal energy loss {delta}E {sub ELM}/{delta}t {sup 1/2} to the scrape-of layer is reduced a factor of 20 relative to the Type-I ELMs and the core confinement is unaffected by the perturbation field. Significant changes in the properties of the ELMs are also observed when edge RMPs are applied to lower single null plasmas but the nature of these changes are much more complex. Both lower single null and double null plasmas are being studied to determine how ELM control techniques based on the application of edge RMPs can be expected to scale to future devices such as ITER.

  11. Effect of Advanced Plasma Source bias voltage on properties of HfO2 films prepared by plasma ion assisted electron evaporation from metal hafnium

    International Nuclear Information System (INIS)

    Zhu, Meiping; Yi, Kui; Arhilger, Detlef; Qi, Hongji; Shao, Jianda

    2013-01-01

    HfO 2 films, using metal hafnium as starting material, are deposited by plasma-ion assisted electron evaporation with different Advanced Plasma Source (APS) bias voltages. The refractive index and extinction coefficient are calculated, the chemical state and composition, as well as the stress and aging behavior is investigated. Laser induced damage threshold (LIDT) and damage mechanism are also evaluated and discussed. Optical, structural, mechanical and laser induced damage properties of HfO 2 films are found to be sensitive to APS bias voltage. The film stress can be tuned by varying the APS bias voltage. Damage morphologies indicate the LIDT of the HfO 2 films at 1064 nm and 532 nm are dominated by the nodular-defect density in coatings, while the 355 nm LIDT is dominated by the film absorption. HfO 2 films with higher 1064 nm LIDT than samples evaporated from hafnia are achieved with bias voltage of 100 V. - Highlights: • HfO 2 films are evaporated with different Advanced Plasma Source (APS) bias voltages. • Properties of HfO 2 films are sensitive to APS bias voltage. • With a bias voltage of 100 V, HfO 2 coatings without any stress can be achieved. • Higher 1064 nm laser induced damage threshold is achieved at a bias voltage of 100 V

  12. Study on edge plasma physics and particle control in the Heliotron-E device

    Energy Technology Data Exchange (ETDEWEB)

    Mizuuchi, T; Obiki, T; Noda, N; Matsuura, H; Kondo, K; Akaishi, K; Motojima, O; Kaneko, H; Zushi, H; Takeiri, Y

    1989-04-01

    The edge plasma physics and the particle control under the intrinsic magnetic limiter configuration of a helical system have been studied with the Heliotron-E device, where currentless plasmas of T/sub e//le/1-2 keV, T/sub i//le/1 keV and anti n/sub e//le/2x10/sup 20//m/sup 3/ are produced by a combination of ECRH, NBI and/or ICRH. It is indicated that the separatrix region of the heliotron device is able to act as a divertor magnetic field. According to calculations of the magnetic field line in the edge region, the separatrix region has some different characteristics from the scrape-off layer in tokamak devices; the existence of a fine structure in the separatrix region and asymmetry of the region in toroidal and poloidal directions are observed. A localized pattern of the heat load on the first wall is experimentally observed. This agrees with the heat-load profile expected from the magnetic configuration and the distribution of the plasma in the edge region. A carbonization of the first wall is successfully applied to the Heliotron E device for reduction of metallic impurity contents. The heat load at the divertor trace decreased and that on the other part of the first wall increased in the high recycling conditions after the carbonization. (orig.).

  13. Study of the plasma edge turbulence in tokamaks

    International Nuclear Information System (INIS)

    Garbet, X.; Laurent, L.; Mourgues, F.; Roubin, J.P.; Samain, A.

    1990-01-01

    The plasma edge in tokamaks is known to be very turbulent. We investigate here the non linear stability of a test mode in presence of an helical potential perturbation, i.e. a pump mode, which simulates the plasma turbulence. The particle trajectories in this perturbed equilibrium are derived using an hamiltonian formalism. The electrons appear to have trapped trajectories in the potential well of the pump mode, while the ions experience a large convective motion. These two effects have a large influence on the test mode stability. First, non linearly trapped electrons supply an energy source for the test mode. Second, the ion convective motion introduces a radial scale of the test mode larger than the ion Larmor radius, in agreement with experimental data. These two phenomena allow a bifurcation in the turbulence level and provide therefore an explanation for the L-H transition

  14. External kink mode stability of tokamaks with finite edge current density in plasma outside separatrix

    International Nuclear Information System (INIS)

    Degtyarev, L.; Martynov, A.; Medvedev, S.; Troyon, F.; Villard, L.

    1996-01-01

    Large pressure gradients and current density at the plasma edge and accompanying edge-localized MHD instabilities are typical for H-mode discharges. Low-n external kink modes are a possible cause of the instabilities. The paper mostly deals with external kink modes driven by a finite current density at the plasma boundary (so called peeling modes). It was shown earlier that for a single axis plasma embedded into vacuum the peeling modes are stabilized when separatrix is approaching the plasma boundary. For doublet configurations a finite current density at the internal separatrix does not necessarily lead to external kink instability when the current density vanishes at the boundary. However, a finite current density at the plasma boundary outside the separatrix can drive outer peeling modes. The stability properties and structure of these modes depend on the plasma equilibrium outside the separatrix. The influence of plasma shear and pressure gradient at the boundary on the stability of the outer peeling modes in doublets is studied. The stability of kink modes in divertor configurations with plasma outside the separatrix is very sensitive to the boundary conditions set at open field lines. The choice of the boundary conditions and kink mode stability calculations for the divertor configurations are discussed. (author) 4 figs., 5 refs

  15. Experimental investigation of edge sheared flow development and configuration effects in the TJ-II stellarator

    International Nuclear Information System (INIS)

    Pedrosa, M.A.; Hidalgo, C.; Alonso, A.; Calderon, E.; Orozco, O.; Pablos, J.L. de

    2005-01-01

    Experimental results have shown that the generation of spontaneous perpendicular sheared flow (i.e. the naturally occurring shear layer) requires a minimum plasma density or gradient in the TJ-II stellarator. This finding has been observed by means of multiple plasma diagnostics, including probes, fast cameras, reflectometry and HIBP. The obtained shearing rate of the naturally occurring shear layer results in general comparable to the one observed during biasing-improved confinement regimes. It has been found that there is a coupling between the onset of sheared flow development and an increase in the level of plasma edge fluctuations pointing to turbulence as the main ingredient of the radial electric field drive; once the shear flow develops the level of turbulence tends to decrease. The link between the development of sheared flows and plasma density in TJ-II has been observed in different magnetic configurations and plasma regimes. Preliminary results show that the threshold density value depends on the iota value and on the magnetic ripple (plasma volume). Recent experiments carried out in the LHD stellarator have shown that edge sheared flows are also affected by the magnitude of edge magnetic ripple: the threshold density to trigger edge sheared flows increases with magnetic ripple . Those results have been interpreted as an evidence of the importance of neoclassical effect in the physics of ExB sheared flows. For some TJ-II magnetic configurations with higher edge iota (ι/2π≥ 1.8) there is a sharp increase in the edge density gradient simultaneous to a strong reduction of fluctuations and transport and a slight increase of the shearing rate and perpendicular rotation (≥2 km/s) as density increases above the threshold. The role of the edge ripple, the presence of edge rational surfaces and properties of turbulent transport are considered as possible ingredients to explain the spontaneous development of edge sheared flows in TJ-II. (author)

  16. What's happening at the edge of tokamaks

    International Nuclear Information System (INIS)

    Crandall, D.H.

    1987-01-01

    Handling the power deposition at the walls of a plasma fusion device and controlling the particle fueling of the plasma originated the interest in the edge of the plasma by magnetic fusion scientists. Recently this interest has intensified because of clear evidence that the quality of the central plasma confinement depends in unexpected ways on details of how the edge plasma is managed. Significant efforts are being pursued to understand and exploit the improved plasma confinement observed in the 'H-mode' obtained with divertors and in the 'super-shots' obtained with low neutral particle flux from the edge of TFTR limiter plasmas. The controls, that determine whether or not these well-confined plasmas are obtained, are applied in the edge plasma where a wealth of atomic and molecular processes occur. A qualitative overview of current research related to plasma edge and desirable features is presented to guide thoughts about atomic processes to be included in modeling and interpreting the plasma edge of tokamaks. (orig.)

  17. Processes and properties of edge-localised instabilities in 2T 2MA plasmas in the Joint European Torus

    International Nuclear Information System (INIS)

    Webster, A. J.; Webster, S. J.

    2014-01-01

    During July 2012, 150 almost identical H-mode plasmas were consecutively created in the Joint European Torus, providing a combined total of approximately 8 minutes of steady-state plasma with 15 000 Edge Localised Modes (ELMs). In principle, each of those 15 000 ELMs are statistically equivalent. Here, the changes in edge density and plasma energy associated with those ELMs are explored, using the spikes in Beryllium II (527 nm) radiation as an indicator for the onset of an ELM. Clearly different timescales are observed during the ELM process. Edge temperature falls over a 2 ms timescale, edge density and pressure fall over a 5 ms timescale, and there is an additional 10 ms timescale that is consistent with a resistive relaxation of the plasma's edge. The statistical properties of the energy and density losses due to the ELMs are explored. For these plasmas the ELM energy (δE) is found to be approximately independent of the time between ELMs, despite the average ELM energy (〈δE〉) and average ELM frequency (f) being consistent with the scaling of 〈δE〉∝1/f. Instead, beyond the first 0.02 s of waiting time between ELMs, the energy losses due to individual ELMs are found to be statistically the same. Surprisingly no correlation is found between the energies of consecutive ELMs either. A weak link is found between the density drop and the ELM waiting time. Consequences of these results for ELM control and modelling are discussed

  18. Study of edge turbulence in tokamak plasmas

    International Nuclear Information System (INIS)

    Sarazin, Y.

    1997-01-01

    The aim of this work is to propose a new frame to study turbulent transport in plasmas. In order to avoid the restraint of scale separability the forcing by flux is used. A critical one-dimension self-organized cellular model is developed. In keeping with experience the average transport can be described by means of diffusion and convection terms whereas the local transport could not. The instability due to interchanging process is thoroughly studied and some simplified equations are derived. The proposed model agrees with the following experimental results: the relative fluctuations of density are maximized on the edge, the profile shows an exponential behaviour and the amplitude of density fluctuations depends on ionization source strongly. (A.C.)

  19. Tools for spectral data analysis of arbitrary emitters in edge plasma

    International Nuclear Information System (INIS)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Felts, B.; Capes, H.; Guirlet, R.; Lotte, P.; Lowry, C.

    2003-01-01

    A line shape code including Stark, Zeeman and Doppler effects has been upgraded to include atomic fine structure effects and the motional Stark effect (MST). Genetic algorithms provide an efficient and robust tool for automated analysis of edge plasma line shapes. Such an algorithm has been used to fit Doppler-broadened Zeeman D α /H α spectra observed in Tore-Supra. Spectra were analyzed from 2 different machine configurations, corresponding to: 1) recycling from the ergodic divertor (ED), with lines of sight tangential to the magnetic field; 2) recycling at the toroidal pump limiter (TPL) with vertical lines of sight perpendicular to the magnetic field. Preliminary results indicate that the plasma above the TPL contains a larger fraction of warm particles than the ED plasma. (A.C.)

  20. Interferometric density measurements in the divertor and edge plasma regions for the additionally heated JT-60 plasmas

    International Nuclear Information System (INIS)

    Fukuda, T.; Yoshida, H.; Nagashima, A.; Ishida, S.; Kikuchi, M.; Yokomizo, H.

    1989-01-01

    The first divertor plasma density measurement and the interferometric edge plasma density measurement with boundary condition preserving millimeter waveguides were demonstrated to elucidate the mutual correlation among the divertor plasma, scrape-off layer plasma and the bulk plasma properties in the additionally heated JT-60 plasmas. The electron density in the divertor region exhibited a nonlinear dependence on the bulk plasma density for the joule-heated plasmas. When neutral beam heating is applied on the plasmas with the electron density above 2x10 19 /m 3 , however, the bulk plasma density is scraped off from the outer region to lead to density clamping, and the electron density in the divertor region rapidly increases over 1x10 20 /m 3 , from which we can deduce that the particle flow along the magnetic field is dominant, resulting in the apparent degradation of the particle confinement time. As for the case when neutral beam injection is applied to low-density plasmas, the bulk plasma electron density profile becomes flattened to yield a smaller density increase in the divertor region and no density clamping of the bulk plasma was observed. Simulation analysis which correlates the transport of the divertor plasma and the scrape-off layer plasma was also carried out to find the consistency with the experimental results. (orig.)

  1. Three-dimensional plasma transport in open chaotic magnetic fields. A computational assessment for tokamak edge layers

    International Nuclear Information System (INIS)

    Frerichs, Heinke Gerd

    2010-04-01

    The development of nuclear fusion as an alternative energy source requires the research on magnetically confined, high temperature plasmas. In particular, the quantification of plasma flows in the domain near exposed material surfaces of the plasma container by computer simulations is of key importance, both for guiding interpretation of present fusion experiments and for aiding the ongoing design activities for large future devices such as ITER, W7-X or the DEMO reactor. There is a large number of computational issues related to the physics of hot, fully ionized and magnetized plasmas near surfaces of the vacuum chamber. This thesis is dedicated to one particular such challenge, namely the numerical quantification of self-consistent kinetic neutral gas and plasma fluid flows in very complex 3D (partially chaotic) magnetic fields, in the absence of any common symmetries for plasma and neutral gas dynamics. Such magnetic field configurations are e.g. generated by externally applied magnetic perturbations at the plasma edge, and are of great interest for the control of particle and energy exhausts. In the present thesis the 3D edge plasma and neutral particle transport code EMC3-EIRENE is applied to two distinct configurations of open chaotic magnetic system: at the TEXTOR and DIII-D tokamaks. Improvements of the edge transport model and extensions of the transport code are presented, which have allowed such simulations for the first time for 3D scenarios at DIII-D with ITER similar plasmas. A strong 3D effect of the chaotic magnetic field on the DIII-D edge plasma is found and analyzed in detail. It is found that a pronounced striation pattern of target particle and heat fluxes at DIII-D can only be obtained up to a certain upper limiting level of anomalous cross-field transport. Hence, in comparison to experimental data, these findings allow to narrow down the range of this model parameter. One particular interest at TEXTOR is the achievement of a regime with

  2. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  3. Filamentary Alfvénic structures excited at the edges of equatorial plasma bubbles

    Directory of Open Access Journals (Sweden)

    R. Pottelette

    2007-11-01

    Full Text Available Recent observations performed by the French DEMETER satellite at altitudes of about 710 km suggest that the generation of equatorial plasma bubbles correlates with the presence of filamentary structures of field aligned currents carried by Alfvén waves. These localized structures are located at the bubble edges. We study the dynamics of the equatorial plasma bubbles, taking into account that their motion is dictated by gravity driven and displacement currents. Ion-polarization currents appear to be crucial for the accurate description of the evolution of plasma bubbles in the high altitude ionosphere. During their eastward/westward motion the bubbles intersect gravity driven currents flowing transversely with respect to the background magnetic field. The circulation of these currents is prohibited by large density depressions located at the bubble edges acting as perfect insulators. As a result, in these localized regions the transverse currents have to be locally closed by field aligned currents. Such a physical process generates kinetic Alfvén waves which appear to be stationary in the plasma bubble reference frame. Using a two-dimensional model and "in situ" wave measurements on board the DEMETER spacecraft, we give estimates for the magnitude of the field aligned currents and the associated Alfvén fields.

  4. The reduction of leading- and trailing-edge of high-voltage steep pulse in plasma immersion ion implantation

    International Nuclear Information System (INIS)

    Zhu Zongtao; Gui Gang; Wang Zhijian; Gong Chunzhi; Yang Shiqin; Tian Xiubo

    2010-01-01

    During plasma immersion ion implantation (PIII) processes, due to the capacitance effect of the coaxial cable and plasma load, the output voltage pulse of high-voltage modulator possesses a longer leading- and trailing-edge time. The leading- and trailing-edge of the high voltage (HV) pulse have a critical effect on the ion-energy uniformity, depth and dose distribution during PIII processes. In this work, a tetrode was used as a hard tube to switch the DC high voltage, and a HV pulse modulator with a maximum pulse voltage of 40 kV was built successfully. The effect of the trailing-edge time on the implantation uniformity was simulated by one-dimension PIC method. The potential on the control grids of the tetrode was optimized to obtain a HV pulse with a short rise time. In our system, 200 V potential on grid one is utilized and the leading-edge time of pulse can be as small as 1 μs. The IGBTs in series was utilized to release the remnant charges reserved in the equivalent capacitance of the plasma load and coaxial cable. Thus the trailing-edge time of the HV pulse could be reduced. The effect of the driver signals with different delay time and the absorption parameters of each IGBTs were simulated by PSPICE software to optimize the design the electric circuit. (authors)

  5. Modeling of ITER edge plasma in the presence of resonant magnetic perturbations

    Energy Technology Data Exchange (ETDEWEB)

    Rozhansky, V.; Kaveeva, E.; Veselova, I.; Voskoboynikov, S. [Peter the Great St. Petersburg Polytechnic University, St. Petersburg (Russian Federation); Coster, D. [Max-Planck Institut fur Plasmaphysik, EURATOM Association, Garching (Germany)

    2016-08-15

    The modeling of the ITER edge is performed with the use of the code B2SOLPS5.2 in the presence of the electron conductivity caused by RMPs as well as for the reference case with the same input parameters but without RMPs. The radial electric field close to the neoclassical one is obtained without RMPs. Even the modest level of RMPs changes the direction of the electric field and causes the toroidal spin-up of the edge plasma. At the same time the pump-out effect is small. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Excitation of an instability by neutral particle ionization induced fluxes in the tokamak edge plasma

    International Nuclear Information System (INIS)

    Bachmann, P.; Sunder, D.

    1991-01-01

    Strong density and potential fluctuations in the edge plasma of toroidal nuclear fusion devices can lead to anomalously fast particle and energy transport. There are some reasons to assume the level of these fluctuations to be connected with neutral particles which enter the plasma by gas puffing or recycling processes. The influence of neutral particles on the behaviour of electrostatic drift modes was investigated. Using the ballooning transformation the excitation of dissipative drift waves in tokamak was studied taking ionization and charge exchange into consideration. Ionization driven drift wave turbulence was analyzed. The higher the neutral particle density is the more important the plasma-wall interaction and the less important the action of the limiter becomes. Instabilities localized in the edge plasma and far from the limiter can be one of the reasons of such a phenomenon. In the present paper we show that such an instability may exist. Usually the neutral particle density is large in the vicinity of the limiter and decreases rapidly with the distance from it. Plasma particles generated by ionization of these neutrals outside the limiter shadow, move along the magnetic field lines into a region without neutrals and diffuse slowly across the magnetic field. We solve the stability problem for modes with a perpendicular wave length that is much larger than the ion Larmor radius with electron temperature, and much smaller than the minor plasma radius. The excitation of such modes localized far from the limiter is investigated. A one-dimensional differential equation is derived in the cold ion approximation without taking shear and toroidal effects into consideration. In the case of low flow velocities a nearly aperiodic instability is found analytically. Its growth rate is proportional to the equilibrium plasma velocity at the boundary of the neutral particle's free region and to the inverse of the extension of this zone. This mode is localized in the edge

  7. Heavy Neutral Beam Probe for Edge Plasma Analysis in Tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Castracane, J.

    2001-01-04

    The Heavy Neutral Beam Probe (HNBP) developed initially with DOE funding under the Small Business Innovation Research (SBIR) program was installed on the Tokamak de Varennes (TdeV) at the CCFM. This diagnostic was designed to perform fundamental measurements of edge plasma properties. The hardware was capable of measuring electron density and potential profiles with high spatial and temporal resolution. Fluctuation spectra for these parameters were obtained with HNBP for transport studies.

  8. Heavy Neutral Beam Probe for Edge Plasma Analysis in Tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    2001-01-01

    The Heavy Neutral Beam Probe (HNBP) developed initially with DOE funding under the Small Business Innovation Research (SBIR) program was installed on the Tokamak de Varennes (TdeV) at the CCFM. This diagnostic was designed to perform fundamental measurements of edge plasma properties. The hardware was capable of measuring electron density and potential profiles with high spatial and temporal resolution. Fluctuation spectra for these parameters were obtained with HNBP for transport studies

  9. The influence of collisional and anomalous radial diffusion on parallel ion transport in edge plasmas

    International Nuclear Information System (INIS)

    Helander, P.; Hazeltine, R.D.; Catto, P.J.

    1996-01-01

    The orderings in the kinetic equations commonly used to study the plasma core of a tokamak do not allow a balance between parallel ion streaming and radial diffusion, and are, therefore, inappropriate in the plasma edge. Different orderings are required in the edge region where radial transport across the steep gradients associated with the scrape-off layer is large enough to balance the rapid parallel flow caused by conditions close to collecting surfaces (such as the Bohm sheath condition). In the present work, we derive and solve novel kinetic equations, allowing for such a balance, and construct distinctive transport laws for impure, collisional, edge plasmas in which the perpendicular transport is (i) due to Coulomb collisions of ions with heavy impurities, or (ii) governed by anomalous diffusion driven by electrostatic turbulence. In both the collisional and anomalous radial transport cases, we find that one single diffusion coefficient determines the radial transport of particles, momentum and heat. The parallel transport laws and parallel thermal force in the scrape-off layer assume an unconventional form, in which the relative ion-impurity flow is driven by a combination of the conventional parallel gradients, and new (i) collisional or (ii) anomalous terms involving products of radial derivatives of the temperature and density with the radial shear of the parallel velocity. Thus, in the presence of anomalous radial diffusion, the parallel ion transport cannot be entirely classical, as usually assumed in numerical edge computations. The underlying physical reason is the appearance of a novel type of parallel thermal force resulting from the combined action of anomalous diffusion and radial temperature and velocity gradients. In highly sheared flows the new terms can modify impurity penetration into the core plasma

  10. Investigation of radial propagation of electrostatic fluctuations in the IR-T1 tokamak plasma edge

    Energy Technology Data Exchange (ETDEWEB)

    Shariatzadeh, R; Ghoranneviss, M; Salem, M K [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University (IAU), PO Box 14665-678, Tehran (Iran, Islamic Republic of); Emami, M, E-mail: rezashariatzadeh@gmail.com [Laser and Optics Research School, NSTRI, AEOI, PO Box 14155-1339, Tehran (Iran, Islamic Republic of)

    2011-01-15

    The radial propagation of electrostatic fluctuation is considered extremely important for understanding cross-field anomalous transport. In this paper, two arrays of Langmuir probes are used to analyze electrostatic fluctuations in the edge of IR-T1 tokamak plasma in both the radial and the poloidal directions. The propagation characteristics of the floating potential fluctuations are analyzed by the two-point correlation technique. The wavenumber spectrum shows that there is a net radially outward propagation of turbulent fluctuations in the edge and scrape-off layer (SOL) regions. Hence, edge turbulence presumably originates from core fluctuations.

  11. Investigation of radial propagation of electrostatic fluctuations in the IR-T1 tokamak plasma edge

    International Nuclear Information System (INIS)

    Shariatzadeh, R; Ghoranneviss, M; Salem, M K; Emami, M

    2011-01-01

    The radial propagation of electrostatic fluctuation is considered extremely important for understanding cross-field anomalous transport. In this paper, two arrays of Langmuir probes are used to analyze electrostatic fluctuations in the edge of IR-T1 tokamak plasma in both the radial and the poloidal directions. The propagation characteristics of the floating potential fluctuations are analyzed by the two-point correlation technique. The wavenumber spectrum shows that there is a net radially outward propagation of turbulent fluctuations in the edge and scrape-off layer (SOL) regions. Hence, edge turbulence presumably originates from core fluctuations.

  12. Spectroscopic measurements of the density and electronic temperature at the plasma edge in Tore Supra

    International Nuclear Information System (INIS)

    Lediankine, A.

    1996-01-01

    The profiles of temperature and electronic density at the plasma edge are important to study the wall-plasma interaction and the radiative layers in the Tokamak plasmas. The laser ablation technique of the lithium allows to measure the profile of electronic density. To measure the profile of temperature, it has been used for the first time, the injection of a fluorine neutral atoms beam. The experiments, the results are described in this work. (N.C.)

  13. Cross-calibrating Spatial Positions of Light-viewing Diagnostics using Plasma Edge Sweeps in DIII-D

    International Nuclear Information System (INIS)

    Solomon, W.M.; Burrell, K.H.; Gohil, P.; Groebner, R.; Kaplan, D.

    2003-01-01

    An experimental technique is presented that permits diagnostics viewing light from the plasma edge to be spatially calibrated relative to one another. By sweeping the plasma edge, each chord of each diagnostic sweeps out a portion of the light emission profile. A nonlinear least-squares fit to such data provides superior cross-calibration of diagnostics located at different toroidal locations compared with simple surveying. Another advantage of the technique is that it can be used to monitor the position of viewing chords during an experimental campaign to ensure that alignment does not change over time. Moreover, should such a change occur, the data can still be cross-calibrated and its usefulness retained

  14. Active probing of plasma edge turbulence and feedback studies on the Texas Experimental Tokamak (TEXT)

    International Nuclear Information System (INIS)

    Uckan, T.; Richards, B.; Bengtson, R.D.

    1993-08-01

    A novel experiment is under way on the Texas Experimental Tokamak (TEXT) to actively modify the turbulence at the plasma edge by launching waves using electrostatic probes in the shadow of the limiter. The experiments are carried out with a wave launching system consisting of two Langmuir probes, which are about 1.8 cm apart in the poloidal direction, with respect to the magnetic field. These probes are operated in the electron side of the (I,V) characteristic. The probe tips are fed separately by independent ac power supplies. Measurements indicate that the wave, launched with a typical frequency image of 15--50 kHz from the edge of the machine top, is received by sensing probes located halfway around the torus. The detected signal strength depends on the frequency of the wave, the plasma current, and the phasing of the applied ac signal between the launching probes. Modifications to the spectra of the density and potential fluctuations are observed. These experiments have been extended to control of the edge plasma fluctuation level using feedback to explore its effects on confinement. When the launcher is driven by the floating potential of the fluctuating plasma at the location of the launching probes, then the fluctuations are suppressed or excited, depending on the phasing between the probe tips, both locally and at the downstream sensing probes. The fluctuation-induced particle flux also varies with the feedback phasing

  15. Concepual design of Langmuir probes for the diagnosis of plasma edge of Aditya-U

    International Nuclear Information System (INIS)

    Lachhvani, Lavkesh T.; Pandya, Shwetang N.; Iyer, Ramakrishnan B.; Barot, Akash; Patel, Kaushal M.; Jadeja, Kumarpalsinh; Gautam, Pramila; Joshi, Nishita H.; Ghosh, Joydeep; Raj, Harshita

    2017-01-01

    The role of the Tokamak edge plasma in influencing the fusion energy yield of Tokamaks is now widely recognized and is reflected in the increasing efforts devoted to the experimental and theoretical study of scrape-off layer (SOL) physics. Of particular concern are aspects of the plasma-surface interaction leading to impurity production and the subsequent impurity transport and contamination of the core plasma. The impurity transport depends strongly on the background properties of the SOL plasma, such as the plasma density, potential, electron and ion temperature, ion flows, flow velocity and their fluctuations and transport coefficients. The poster discusses the design considerations and technical details for variety of probes installed on Aditya-U

  16. Strategies in edge plasma simulation using adaptive dynamic nodalization techniques

    International Nuclear Information System (INIS)

    Kainz, A.; Weimann, G.; Kamelander, G.

    2003-01-01

    A wide span of steady-state and transient edge plasma processes simulation problems require accurate discretization techniques and can then be treated with Finite Element (FE) and Finite Volume (FV) methods. The software used here to meet these meshing requirements is a 2D finite element grid generator. It allows to produce adaptive unstructured grids taking into consideration the flux surface characteristics. To comply with the common mesh handling features of FE/FV packages, some options have been added to the basic generation tool. These enhancements include quadrilateral meshes without non-regular transition elements obtained by substituting them by transition constructions consisting of regular quadrilateral elements. Furthermore triangular grids can be created with one edge parallel to the magnetic field and modified by the basic adaptation/realignment techniques. Enhanced code operation properties and processing capabilities are expected. (author)

  17. Theoretical investigation of phase-controlled bias effect in capacitively coupled plasma discharges

    International Nuclear Information System (INIS)

    Kwon, Deuk-Chul; Yoon, Jung-Sik

    2011-01-01

    We theoretically investigated the effect of phase difference between powered electrodes in capacitively coupled plasma (CCP) discharges. Previous experimental result has shown that the plasma potential could be controlled by using a phase-shift controller in CCP discharges. In this work, based on the previously developed radio frequency sheath models, we developed a circuit model to self-consistently determine the bias voltage from the plasma parameters. Results show that the present theoretical model explains the experimental results quite well and there is an optimum value of the phase difference for which the V dc /V pp ratio becomes a minimum.

  18. Suppression of large edge localized modes with edge resonant magnetic fields in high confinement DIII-D plasmas

    International Nuclear Information System (INIS)

    Thomas, P.R.; Becoulet, M.; Evans, T.E.; Osborne, T.H.; Groebner, R.J.; Jackson, G.L.; Haye, R.J. La; Schaffer, M.J.; West, W.P.; Moyer, R.A.; Rhodes, T.L.; Rudakov, D.L.; Watkins, J.G.; Boedo, J.A.; Doyle, E.J.; Wang, G.; Zeng, L.; Fenstermacher, M.E.; Groth, M.; Lasnier, C.J.; Finken, K.H.; Harris, J.H.; Pretty, D.G.; Masuzaki, S.; Ohyabu, N.; Reimerdes, H.; Wade, M.R.

    2005-01-01

    Large divertor heat pulses due to Type-I edge localized modes (ELMs) have been eliminated reproducibly in DIII-D with small dc currents driven in a simple magnetic perturbation coil. The current required to eliminate all but a few isolated Type-I ELMs, during a coil pulse, is less than 0.4% of plasma current. Modelling shows that the perturbation fields resonate with plasma flux surfaces across most of the pedestal region (0.9 ≤ N ≤ 1.0), when q95 = 3.7±0.2 creating small remnant magnetic islands surrounded by weakly stochastic field lines. The stored energy, N , H-mode quality factor and global energy confinement time are unaltered by the magnetic perturbation. At high collisionality (ν* ∼0.5-1), there is no obvious effect of the perturbation on the edge profiles and yet ELMs are suppressed, nearly completely, for up to 9τ E . At low collisionality (ν* <0.1), there is a density pump-out and complete ELM suppression, reminiscent of the DIIID QH- mode. Other differences, specifically in the resonance condition and the magnetic fluctuations, suggest that different mechanisms are at play in the different collisionality regimes. In addition to a description and interpretation of the DIIID data, the application of this method to ELM control on other machines, such as JET and ITER will be discussed. (author)

  19. Edge turbulence effect on ultra-fast swept reflectometry core measurements in tokamak plasmas

    Science.gov (United States)

    Zadvitskiy, G. V.; Heuraux, S.; Lechte, C.; Hacquin, S.; Sabot, R.

    2018-02-01

    Ultra-fast frequency-swept reflectometry (UFSR) enables one to provide information about the turbulence radial wave-number spectrum and perturbation amplitude with good spatial and temporal resolutions. However, a data interpretation of USFR is quiet tricky. An iterative algorithm to solve this inverse problem was used in past works, Gerbaud (2006 Rev. Sci. Instrum. 77 10E928). For a direct solution, a fast 1D Helmholtz solver was used. Two-dimensional effects are strong and should be taken into account during data interpretation. As 2D full-wave codes are still too time consuming for systematic application, fast 2D approaches based on the Born approximation are of prime interest. Such methods gives good results in the case of small turbulence levels. However in tokamak plasmas, edge turbulence is usually very strong and can distort and broaden the probing beam Sysoeva et al (2015 Nucl. Fusion 55 033016). It was shown that this can change reflectometer phase response from the plasma core. Comparison between 2D full wave computation and the simplified Born approximation was done. The approximated method can provide a right spectral shape, but it is unable to describe a change of the spectral amplitude with an edge turbulence level. Computation for the O-mode wave with the linear density profile in the slab geometry and for realistic Tore-Supra density profile, based on the experimental data turbulence amplitude and spectrum, were performed to investigate the role of strong edge turbulence. It is shown that the spectral peak in the signal amplitude variation spectrum which rises with edge turbulence can be a signature of strong edge turbulence. Moreover, computations for misaligned receiving and emitting antennas were performed. It was found that the signal amplitude variation peak changes its position with a receiving antenna poloidal displacement.

  20. Experimental investigation of edge sheared flow development and configuration effects in the TJ-II stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Pedrosa, M. A.; Hidalgo, C.; Alonso, A.; Calderon, E.; Orozco, R. O.; Pablos, J. L. de

    2005-07-01

    devices points to the possible role as a universal ingredient to explain the driving mechanisms for sheared flow in the plasma boundary region [8]. These findings open a unique possibility to characterize the dynamics of sheared flow development in fusion plasmas, taking into account that, with the present state of the art in plasma diagnostics, a full characterization of turbulence (in terms of variation in density and electric fields) is mostly limited to the plasma edge where many plasma diagnostics with time and spatial resolution are available. In particular, experiments in TJ-II include results from probes, fast cameras, reflectometry and HIBP [6]. The present work is focused on the Langmuir probes measurements results that are compared to the fast camera results. The characterization and properties of the edge Ex B sheared flow in TJ-II for different plasma magnetic configurations and regimes is presented. The characteristics of the sheared flow-induced improved confinement regime, are compared to the biasing-induced one. (Author)

  1. Edge Plasma Simulations in NSTX and CTF: Synergy of Lithium Coating, Non-Diffusive Anomalous Transport and Drifts. Final Technical Report

    International Nuclear Information System (INIS)

    Pigarov, Alexander

    2012-01-01

    This is the final report for the Research Grant DE-FG02-08ER54989 'Edge Plasma Simulations in NSTX and CTF: Synergy of Lithium Coating, Non-Diffusive Anomalous Transport and Drifts'. The UCSD group including: A.Yu. Pigarov (PI), S.I. Krasheninnikov and R.D. Smirnov, was working on modeling of the impact of lithium coatings on edge plasma parameters in NSTX with the multi-species multi-fluid code UEDGE. The work was conducted in the following main areas: (i) improvements of UEDGE model for plasma-lithium interactions, (ii) understanding the physics of low-recycling divertor regime in NSTX caused by lithium pumping, (iii) study of synergistic effects with lithium coatings and non-diffusive ballooning-like cross-field transport, (iv) simulation of experimental multi-diagnostic data on edge plasma with lithium pumping in NSTX via self-consistent modeling of D-Li-C plasma with UEDGE, and (v) working-gas balance analysis. The accomplishments in these areas are given in the corresponding subsections in Section 2. Publications and presentations made under the Grant are listed in Section 3.

  2. Three-dimensional modeling of a negative ion source with a magnetic filter: impact of biasing the plasma electrode on the plasma asymmetry

    Science.gov (United States)

    Fubiani, G.; Boeuf, J. P.

    2015-10-01

    The effect on the plasma characteristics of biasing positively the plasma electrode (PE) in negative ion sources with a magnetic filter is analysed using a 3D particle-in-cell model with Monte-Carlo collisions (PIC-MCC). We specialize to the one driver (i.e. one inductively coupled radio-frequency discharge) BATMAN negative ion source and the 4-drivers (large volume) ELISE device. Both are ITER prototype high power tandem-type negative ion sources developed for the neutral beam injector (NBI) system. The plasma is generated in the driver and diffuses inside the second chamber which is magnetized. Asymmetric plasma profiles originate from the formation of an electric field transverse to the electron current flowing through the magnetic filter (Hall effect). The model shows that the importance of the asymmetry increases with the PE bias potential, i.e. with the electron flow from the driver to the extraction region and depends on the shape of the magnetic filter field. We find that although the plasma density and potential profiles may be more or less asymmetric depending on the filter field configuration, the electron current to the plasma grid is always strongly asymmetric.

  3. Three-dimensional modeling of a negative ion source with a magnetic filter: impact of biasing the plasma electrode on the plasma asymmetry

    International Nuclear Information System (INIS)

    Fubiani, G; Boeuf, J P

    2015-01-01

    The effect on the plasma characteristics of biasing positively the plasma electrode (PE) in negative ion sources with a magnetic filter is analysed using a 3D particle-in-cell model with Monte-Carlo collisions (PIC-MCC). We specialize to the one driver (i.e. one inductively coupled radio-frequency discharge) BATMAN negative ion source and the 4-drivers (large volume) ELISE device. Both are ITER prototype high power tandem-type negative ion sources developed for the neutral beam injector (NBI) system. The plasma is generated in the driver and diffuses inside the second chamber which is magnetized. Asymmetric plasma profiles originate from the formation of an electric field transverse to the electron current flowing through the magnetic filter (Hall effect). The model shows that the importance of the asymmetry increases with the PE bias potential, i.e. with the electron flow from the driver to the extraction region and depends on the shape of the magnetic filter field. We find that although the plasma density and potential profiles may be more or less asymmetric depending on the filter field configuration, the electron current to the plasma grid is always strongly asymmetric. (paper)

  4. Predictive modelling of edge transport phenomena in ELMy H-mode tokamak fusion plasmas

    International Nuclear Information System (INIS)

    Loennroth, J.-S.

    2009-01-01

    This thesis discusses a range of work dealing with edge plasma transport in magnetically confined fusion plasmas by means of predictive transport modelling, a technique in which qualitative predictions and explanations are sought by running transport codes equipped with models for plasma transport and other relevant phenomena. The focus is on high confinement mode (H-mode) tokamak plasmas, which feature improved performance thanks to the formation of an edge transport barrier. H-mode plasmas are generally characterized by the occurrence of edge localized modes (ELMs), periodic eruptions of particles and energy, which limit confinement and may turn out to be seriously damaging in future tokamaks. The thesis introduces schemes and models for qualitative study of the ELM phenomenon in predictive transport modelling. It aims to shed new light on the dynamics of ELMs using these models. It tries to explain various experimental observations related to the performance and ELM-behaviour of H-mode plasmas. Finally, it also tries to establish more generally the potential effects of ripple-induced thermal ion losses on H-mode plasma performance and ELMs. It is demonstrated that the proposed ELM modelling schemes can qualitatively reproduce the experimental dynamics of a number of ELM regimes. Using a theory-motivated ELM model based on a linear instability model, the dynamics of combined ballooning-peeling mode ELMs is studied. It is shown that the ELMs are most often triggered by a ballooning mode instability, which renders the plasma peeling mode unstable, causing the ELM to continue in a peeling mode phase. Understanding the dynamics of ELMs will be a key issue when it comes to controlling and mitigating the ELMs in future large tokamaks. By means of integrated modelling, it is shown that an experimentally observed increase in the ELM frequency and deterioration of plasma confinement triggered by external neutral gas puffing might be due to a transition from the second to

  5. Study of edge plasma properties comparing operation in hydrogen and helium in RFX

    International Nuclear Information System (INIS)

    Spolaore, M.; Antoni, V.; Bagatin, M.; Desideri, D.; Fattorini, L.; Martines, E.; Serianni, G.; Tramontin, L.; Vianello, N.

    2001-01-01

    The properties of the edge plasma in the reversed field pinch RFX have been investigated by comparing the operation in helium with those normally performed in hydrogen. It has been found that a spontaneous velocity shear layer takes place in the edge region also in helium discharges. The edge structure of hydrogen and helium discharges have been interpreted using a momentum balance equation, which takes into account anomalous viscosity and friction with neutrals. The electrostatic turbulence properties are also compared: it is found that electrostatic turbulence drives most of the particle losses and a small fraction of the energy losses also for the He discharges. The modifications of the mean profiles, including the ExB velocity, during PPCD are briefly discussed and compared with the results obtained in hydrogen

  6. Multi-energy soft-x-ray technique for impurity transport measurements in the fusion plasma edge

    International Nuclear Information System (INIS)

    Clayton, D J; Tritz, K; Stutman, D; Finkenthal, M; Kumar, D; Kaye, S M; LeBlanc, B P; Paul, S; Sabbagh, S A

    2012-01-01

    A new diagnostic technique was developed to produce high-resolution impurity transport measurements of the steep-gradient edge of fusion plasmas. Perturbative impurity transport measurements were performed for the first time in the NSTX plasma edge (r/a ∼ 0.6 to the SOL) with short neon gas puffs, and the resulting line and continuum emission was measured with the new edge multi-energy soft-x-ray (ME-SXR) diagnostic. Neon transport is modeled with the radial impurity transport code STRAHL and the resulting x-ray emission is computed using the ADAS atomic database. The radial transport coefficient profiles D(r) and v(r), and the particle flux from the gas puff Φ(t), are the free parameters in this model and are varied to find the best fit to experimental x-ray emissivity measurements, with bolometry used to constrain the impurity source. Initial experiments were successful and results were consistent with previous measurements of core impurity transport and neoclassical transport calculations. New diagnostic tools will be implemented on NSTX-U to further improve these transport measurements. (paper)

  7. Investigation of the hydrogen fluxes in the plasma edge of W7-AS during H-mode discharges

    International Nuclear Information System (INIS)

    Langer, U.; Taglauer, E.; Fischer, R.

    2001-01-01

    In the stellarator W7-AS the H-mode is characterized by an edge transport barrier which is localized within a few centimeters inside the separatrix. The corresponding L-H transition shows well-known features such as the steepening of the temperature and density profiles in the region of the separatrix. With a so-called sniffer probe the temporal development of the hydrogen and deuterium fluxes has been studied in the plasma edge during different H-mode discharges with deuterium gas puffing. Prior to the transition a significant reduction of the deuterium and also the hydrogen fluxes can be observed. This fact confirms the assumption that the steepening of the density profiles starts at the outermost edge of the plasma. Moreover, sniffer probe measurements in the plasma edge could therefore identify a precursor for the L-H transition. The analysis of the hydrogen neutral gases shows a distinct change of the hydrogen isotope ratio during the transition. This observation is in agreement with the change in the particle fluxes onto the targets and can also be seen in the reduced H α signals from the limiters. It is further demonstrated that significant improvement in the time resolution of the measured data can be obtained by deconvolution of the data with the apparatus function using Bayesian probability theory and the Maximum Entropy method with adaptive kernels

  8. Edge plasma diagnostics in the compact helical system (CHS) device using fast neutral lithium beam

    Energy Technology Data Exchange (ETDEWEB)

    Ueda, Mario

    1992-05-01

    This paper reports the research activities of the author on using fast neutral lithium beam edge plasma diagnostic, at the Japanese National Institute for Fusion Science compact helical system (CHS). (author). 20 figs.

  9. Edge diagnostics for tandem mirror machines

    International Nuclear Information System (INIS)

    Allen, S.L.

    1984-01-01

    The edge plasma in a tandem mirror machine shields the plasma core from cold neutral gas and impurities. A variety of diagnostics are used to measure the fueling, shielding, and confinement of the edge plasma in both the end plug and central cell regions. Fast ion gauges and residual gas analyzers measure the gas pressure and composition outside of the plasma. An array of Langmuir probes is used to measure the electron density and temperature. Extreme ultraviolet (euv) and visible spectroscopy are used to measure both the impurity and deuterium densities and to estimate the shielding factor for the core plasma. The linear geometry of a tandem mirror also allows direct measurements of the edge plasma by sampling the ions and electrons lost but the ends of the machine. Representative data obtained by these diagnostics during operation of the Tandem Mirror Experiment (TMX) and Tandem Mirror Experiment-Upgrade (TMX-U) experiments are presented. Diagnostics that are currently being developed to diagnose the edge plasma are also discussed

  10. Curvature and temperature gradient driven instabilities in tokomak edge plasmas with SOL

    International Nuclear Information System (INIS)

    Novakovskii, S.V.; Guzdar, P.N.; Drake, J.F.; Liu, C.S.

    1996-01-01

    Curvature driven resistive ballooning modes (RBM) as well as the electron temperature gradient (ETG) modes have been investigated in the tokomak edge region and the SOL, with the help of the numerical code open-quotes 2D-BALLOONclose quotes. This is an initial value code, which determines the stability properties and estimates the quasi-linear transport for given density, temperature, the magnetic and electric field profiles, taking into account the SOL geometry as well as a closed flux region. The results related to the following issues will be presented: (1) Comparative analysis of the ETG and the RBM instabilities in the SOL and their influence on the transport in the edge region (inside the Last Closed Magnetic Surface). (2) The influence of the effective Debye sheath current. (3) Different poloidal positions of the toroidal limiter and their effect on the instabilities. Other aspects of the edge plasma turbulence, such as finite β effects, flow-shear of the poloidal rotation etc. will also be discussed

  11. Current profile control and magnetohydrodynamic stability in Tore Supra discharges with edge-plasma control by the ergodic divertor

    International Nuclear Information System (INIS)

    Zabiego, M.; Friant, C.; Ghendrih, P.; Becoulet, M.; Bucalossi, J.; Saint-Laurent, F.

    1999-01-01

    Although ergodic divertors are primarily designed to control particle and heat fluxes at the plasma edge, they also happen to affect the MHD stability of tokamak discharges. On Tore Supra, the ergodic divertor has long been known to stabilize the m/n=2/1 tearing mode induced, for instance, by edge radiation and detachment processes, thus allowing safe high-current and high-density operations. More recently, though, in discharges where ergodic divertor operations were optimised relative to the control of the edge-plasma (i.e., with large divertor perturbation), a detrimental increase in the disruptiveness has been observed. The action that the ergodic divertor has on the MHD activity is interpreted in terms of a redistribution of the current profile. The latter results from a large increase in the edge resistivity, primarily induced by the degradation of the electron energy confinement in the ergodic layer. The possibility that a transport barrier develops in the vicinity of the separatrix strongly affects the considered modelling. (authors)

  12. Simulations of momentum transfer process between solar wind plasma and bias voltage tethers of electric sail thruster

    Science.gov (United States)

    Xia, Guangqing; Han, Yajie; Chen, Liuwei; Wei, Yanming; Yu, Yang; Chen, Maolin

    2018-06-01

    The interaction between the solar wind plasma and the bias voltage of long tethers is the basic mechanism of the electric sail thruster. The momentum transfer process between the solar wind plasma and electric tethers was investigated using a 2D full particle PIC method. The coupled electric field distribution and deflected ion trajectory under different bias voltages were compared, and the influence of bias voltage on momentum transfer process was analyzed. The results show that the high potential of the bias voltage of long tethers will slow down, stagnate, reflect and deflect a large number of ions, so that ion cavities are formed in the vicinity of the tether, and the ions will transmit the axial momentum to the sail tethers to produce the thrust. Compared to the singe tether, double tethers show a better thrust performance.

  13. A quiver kinetic formulation of radio frequency heating and confinement in collisional edge plasmas

    International Nuclear Information System (INIS)

    Catto, P.J.; Myra, J.R.

    1989-01-01

    The near fields in the collisional edge plasma of a radio frequency heated tokamak can cause one or more charged species to oscillate in the applied field with a quiver (or jitter) speed comparable to its thermal speed. By assuming the quiver motion dominates over drifts and gyromotion a completely new kinetic description of the flows in an edge plasma is formulated which retains Coulomb collisions and the relevant atomic processes. Moment equations are employed to obtain a description in which only a lowest order quiver kinetic equation need be solved to evaluate the slow time particle fluxes and current induced by the applied fields. The electron heating by collisional randomization of their quiver motion (inverse bremsstrahlung) is balanced by impact excitation losses since equilibration with the ions is too weak. A model plasma of electrons, neutrals, and a single cold ion species is considered to illustrate the utility of the quiver kinetic formulation. The model predicts local electrostatic potential changes and a local /rvec E//times//rvec B/ convective flux that is of the same magnitude and scaling as would be predicted by Bohm diffusion. 30 refs

  14. Anomalous diffusion, clustering, and pinch of impurities in plasma edge turbulence

    DEFF Research Database (Denmark)

    Priego, M.; Garcia, O.E.; Naulin, V.

    2005-01-01

    The turbulent transport of impurity particles in plasma edge turbulence is investigated. The impurities are modeled as a passive fluid advected by the electric and polarization drifts, while the ambient plasma turbulence is modeled using the two-dimensional Hasegawa-Wakatani paradigm for resistive...... drift-wave turbulence. The features of the turbulent transport of impurities are investigated by numerical simulations using a novel code that applies semi-Lagrangian pseudospectral schemes. The diffusive character of the turbulent transport of ideal impurities is demonstrated by relative...... orientation determined by the charge of the impurity particles. Second, a radial pinch scaling linearly with the mass-charge ratio of the impurities is discovered. Theoretical explanation for these observations is obtained by analysis of the model equations. (C) 2005 American Institute of Physics....

  15. Electron temperature and pressure at the edge of ASDEX Upgrade plasmas. Estimation via electron cyclotron radiation and investigations on the effect of magnetic perturbations

    International Nuclear Information System (INIS)

    Rathgeber, Sylvia K.

    2013-01-01

    Understanding and control of the plasma edge behaviour are essential for the success of ITER and future fusion plants. This requires the availability of suitable methods for assessing the edge parameters and reliable techniques to handle edge phenomena, e.g. to mitigate 'Edge Localized Modes' (ELMs) - a potentially harmful plasma edge instability. This thesis introduces a new method for the estimation of accurate edge electron temperature profiles by forward modelling of the electron cyclotron radiation transport and demonstrates its successful application to investigate the impact of Magnetic Perturbation (MP) fields used for ELM mitigation on the edge kinetic data. While for ASDEX Upgrade bulk plasmas, straightforward analysis of the measured electron cyclotron intensity spectrum based on the optically thick plasma approximation is usually justified, reasonable analysis of the steep and optically thin edge region relies on full treatment of the radiation transport considering broadened emission and absorption profiles. This is realized in the framework of integrated data analysis which applies Bayesian probability theory for joint analysis of the electron density and temperature with data of different independent and complementary diagnostics. The method reveals that in regimes with improved confinement ('High-confinement modes' (H-modes)) the edge gradient of the electron temperature can be several times higher than that of the radiation temperature. Furthermore, the model is able to reproduce the 'shine-through' peak - the observation of increased radiation temperatures at frequencies with cold resonance outside the confined plasma region. This phenomenon is caused by strongly down-shifted radiation of Maxwellian tail electrons located in the H-mode edge region and, therefore, contains valuable information about the electron temperature edge gradient. The accurate knowledge about the edge profiles and gradients of the electron temperature and - including the

  16. Measurements of the asymmetric dynamic sheath around a pulse biased sphere immersed in flowing metal plasma

    Science.gov (United States)

    Wu, Hongchen; Anders, André

    2008-08-01

    A long-probe technique was utilized to record the expansion and retreat of the dynamic sheath around a spherical substrate immersed in pulsed cathode arc metal plasma. Positively biased, long cylindrical probes were placed on the side and downstream of a negatively pulsed biased stainless steel sphere of 1 in. (25.4 mm) diameter. The amplitude and width of the negative high voltage pulses (HVPs) were 2 kV, 5 kV, 10 kV, and 2 µs, 4 µs, 10 µs, respectively. The variation of the probe (electron) current during the HVP is a direct measure for the sheath expansion and retreat. Maximum sheath sizes were determined for the different parameters of the HVP. The expected rarefaction zone behind the biased sphere (wake) due to the fast plasma flow was clearly established and quantified.

  17. SUPPESSION OF LARGE EDGE LOCALIZED MODES IN HIGH CONFINEMENT DIII-D PLASMAS WITH A STOCHASTIC MAGNETIC BOUNDARY

    International Nuclear Information System (INIS)

    EVANS, TE; MOYER, RA; THOMAS, PR; WATKINS, JG; OSBORNE, TH; BOEDO, JA; FENSTERMACHER, ME; FINKEN, KH; GROEBNER, RJ; GROTH, M; HARRIS, JH; LAHAYE, RJ; LASNIER, CJ; MASUZAKI, S; OHYABU, N; PRETTY, D; RHODES, TL; REIMERDES, H; RUDAKOV, DL; SCHAFFER, MJ; WANG, G; ZENG, L.

    2003-01-01

    OAK-B135 A stochastic magnetic boundary, produced by an externally applied edge resonant magnetic perturbation, is used to suppress large edge localized modes (ELMs) in high confinement (H-mode) plasmas. The resulting H-mode displays rapid, small oscillations with a bursty character modulated by a coherent 130 Hz envelope. The H-mode transport barrier is unaffected by the stochastic boundary. The core confinement of these discharges is unaffected, despite a three-fold drop in the toroidal rotation in the plasma core. These results demonstrate that stochastic boundaries are compatible with H-modes and may be attractive for ELM control in next-step burning fusion tokamaks

  18. Electronegative plasma diagnostic by laser photo-detachment combined with negatively biased Langmuir probe

    Science.gov (United States)

    Oudini, N.; Sirse, N.; Taccogna, F.; Ellingboe, A. R.; Bendib, A.

    2018-05-01

    We propose a new technique for diagnosing negative ion properties using Langmuir probe assisted pulsed laser photo-detachment. While the classical technique uses a laser pulse to convert negative ions into electron-atom pairs and a positively biased Langmuir probe tracking the change of electron saturation current, the proposed method uses a negatively biased Langmuir probe to track the temporal evolution of positive ion current. The negative bias aims to avoid the parasitic electron current inherent to probe tip surface ablation. In this work, we show through analytical and numerical approaches that, by knowing electron temperature and performing photo-detachment at two different laser wavelengths, it is possible to deduce plasma electronegativity (ratio of negative ion to electron densities) α, and anisothermicity (ratio of electron to negative ion temperatures) γ-. We present an analytical model that links the change in the collected positive ion current to plasma electronegativity and anisothermicity. Particle-In-Cell simulation is used as a numerical experiment covering a wide range of α and γ- to test the new analysis technique. The new technique is sensitive to α in the range 0.5 1.

  19. RF plasma-driven hydrogen permeation through a biased iron membrane

    International Nuclear Information System (INIS)

    Banno, T.; Waelbroeck, F.; Winter, J.

    1984-01-01

    The steady-state RF plasma-driven hydrogen permeation through an electrically biased iron membrane has been investigated as a function of the bias potential Vsub(M) for membrane temperatures in the range of 150-400 0 C. Vsub(M) has been gradually increased positively from the floating potential of the membrane. The permeation flux decreases when Vsub(M) increases at low voltages: positive hydrogen ions are repelled. The membrane temperature does not influence this effect measurably. The permeation flux starts to increase when Vsub(M) is raised higher, i.e. when energetic electrons strike the surface. This phenomenon shows a pronounced temperature dependence - the enhancement is largest for the lowest temperatures. The effect is interpreted in terms of an electron-induced dissociation of hydrogen molecules on the membrane surface. (orig.)

  20. RF generator interlock by plasma grid bias current - An alternate to Hα interlock

    Science.gov (United States)

    Bandyopadhyay, M.; Gahlaut, A.; Yadav, R. K.; Pandya, K.; Tyagi, H.; Vupugalla, M.; Bhuyan, M.; Bhagora, J.; Chakraborty, A.

    2017-08-01

    ROBIN is inductively coupled plasma (ICP) based negative hydrogen ion source, operated with a 100kW, 1MHz Tetrode based RF generator (RFG). Inductive plasma ignition by the RFG in ROBIN is associated with electron seeding by a hot filament and a gas puff. RFG is triggered by the control system to deliver power just at the peak pressure of the gas puff. Once plasma is ignited due to proper impedance matching, a bright light, dominated by Hα (˜656nm wavelength) radiation is available inside RF driver which is used as a feedback signal to the RFG to continue its operation. If impedance matching is not correct, plasma is not produced due to lack of power coupling and bright light is not available. During such condition, reflected RF power may damage the RFG. Therefore, to protect the RFG, it needs to be switched off automatically within 200ms by the control system in such cases. This plasma light based RFG interlock is adopted from BATMAN ion source. However, in case of vacuum immersed RF ion source in reactor grade NBI system, such plasma light based interlock may not be feasible due to lack of adequate optical fiber interfaces. In reactor grade NBI system, neutron and gamma radiations have impact on materials which may lead to frequent maintenance and machine down time. The present demonstration of RFG interlock by Bias Current (BC) in ROBIN testbed gives an alternate option in this regard. In ROBIN, a bias plate (BP) is placed in the plasma chamber near the plasma grid (PG). BP is electrically connected to the plasma chamber wall of the ion source and PG is isolated from the wall. A high current ˜85 A direct current (DC) power supply of voltage in the range of 0 - 33V is connected between the PG and the BP in such a way that PG can be biased positively with respect to the BP or plasma chamber. This arrangement is actually made to absorb electrons and correspondingly reduce co-extracted electron current during beam extraction. However, in case of normal plasma

  1. Determination of edge plasma parameters by a genetic algorithm analysis of spectral line shapes

    Energy Technology Data Exchange (ETDEWEB)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R. [Universite de Provence (PIIM), Centre de Saint-Jerome, 13 - Marseille (France); Capes, H.; Guirlet, R. [Association Euratom-CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee

    2003-07-01

    Comparing an experimental and a theoretical line shape can be achieved by a genetic algorithm (GA) based on an analogy to the mechanisms of natural selection. Such an algorithm is able to deal with complex non-linear models, and can avoid local minima. We have used this optimization tool in the context of edge plasma spectroscopy, for a determination of the temperatures and fractions of the various populations of neutral deuterium emitting the D{sub {alpha}} line in 2 configurations of Tore-Supra: ergodic divertor and toroidal pumped limiter. Using the GA fit, the neutral emitters are separated into up to 4 populations which can be identified as resulting from molecular dissociation reactions, charge exchange, or reflection. In all the edge plasmas studied, a significant fraction of neutrals emit in the line wings, leading to neutrals with a temperature up to a few hundreds eV if a Gaussian line shape is assumed. This conclusion could be modified if the line wing exhibits a non Gaussian behavior.

  2. Determination of edge plasma parameters by a genetic algorithm analysis of spectral line shapes

    International Nuclear Information System (INIS)

    Marandet, Y.; Genesio, P.; Godbert-Mouret, L.; Koubiti, M.; Stamm, R.; Capes, H.; Guirlet, R.

    2003-01-01

    Comparing an experimental and a theoretical line shape can be achieved by a genetic algorithm (GA) based on an analogy to the mechanisms of natural selection. Such an algorithm is able to deal with complex non-linear models, and can avoid local minima. We have used this optimization tool in the context of edge plasma spectroscopy, for a determination of the temperatures and fractions of the various populations of neutral deuterium emitting the D α line in 2 configurations of Tore-Supra: ergodic divertor and toroidal pumped limiter. Using the GA fit, the neutral emitters are separated into up to 4 populations which can be identified as resulting from molecular dissociation reactions, charge exchange, or reflection. In all the edge plasmas studied, a significant fraction of neutrals emit in the line wings, leading to neutrals with a temperature up to a few hundreds eV if a Gaussian line shape is assumed. This conclusion could be modified if the line wing exhibits a non Gaussian behavior

  3. Dynamic sheath studies in plasma source ion implantation

    International Nuclear Information System (INIS)

    Schever, J.T.; Shamim, M.; Conrad, J.R.

    1990-01-01

    Plasma Source Ion Implantation (PSII) is a non-line-of-sight method for materials processing in which a target is immersed in a plasma and pulse biased to a high negative voltage (∼ 50 kV). A model of the dynamic sheath which forms under these conditions has been developed and applied to planar, cylindrical and spherical geometries. This model assumes that the transient sheath obeys the Child-Langmuir law for space charge limited emission at each instant during the propagation. Ions uncovered by the propagating sheath edge supply the space charge limited current. This yields an equation relating sheath edge velocity to position, which can be integrated to obtain the sheath edge position as a function of time. The same procedure used in cylindrical and spherical geometry results in a similar equation which must be integrated numerically. Comparison of results of experimental measurements, our model and simulation will be presented for the dynamic sheath edge position and target current waveform. Measurements of implanted dose uniformity of wedge shaped targets are also presented

  4. Reduction of determinate errors in mass bias-corrected isotope ratios measured using a multi-collector plasma mass spectrometer

    International Nuclear Information System (INIS)

    Doherty, W.

    2015-01-01

    A nebulizer-centric instrument response function model of the plasma mass spectrometer was combined with a signal drift model, and the result was used to identify the causes of the non-spectroscopic determinate errors remaining in mass bias-corrected Pb isotope ratios (Tl as internal standard) measured using a multi-collector plasma mass spectrometer. Model calculations, confirmed by measurement, show that the detectable time-dependent errors are a result of the combined effect of signal drift and differences in the coordinates of the Pb and Tl response function maxima (horizontal offset effect). If there are no horizontal offsets, then the mass bias-corrected isotope ratios are approximately constant in time. In the absence of signal drift, the response surface curvature and horizontal offset effects are responsible for proportional errors in the mass bias-corrected isotope ratios. The proportional errors will be different for different analyte isotope ratios and different at every instrument operating point. Consequently, mass bias coefficients calculated using different isotope ratios are not necessarily equal. The error analysis based on the combined model provides strong justification for recommending a three step correction procedure (mass bias correction, drift correction and a proportional error correction, in that order) for isotope ratio measurements using a multi-collector plasma mass spectrometer

  5. Overview of progress in European medium sized tokamaks towards an integrated plasma-edge/wall solution.

    Czech Academy of Sciences Publication Activity Database

    Meyer, H.; Adámek, Jiří; Bílková, Petra; Bogár, Ondrej; Böhm, Petr; Cahyna, Pavel; Dimitrova, Miglena; Ficker, Ondřej; Háček, Pavel; Horáček, Jan; Imríšek, Martin; Komm, Michael; Kovařík, Karel; Krbec, Jaroslav; Markovič, Tomáš; Mitošinková, Klára; Mlynář, Jan; Papřok, Richard; Peterka, Matěj; Petržílka, Václav; Seidl, Jakub; Urban, Jakub; Vondráček, Petr; Weinzettl, Vladimír

    2017-01-01

    Roč. 57, č. 10 (2017), č. článku 102014. ISSN 0029-5515 EU Projects: European Commission(XE) 633053 - EUROfusion Institutional support: RVO:61389021 Keywords : edge localised modes * divertor * heat loads * ASDEX upgrade * MAST * TCV * alternative divertor concepts Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 3.307, year: 2016 http://iopscience.iop.org/article/10.1088/1741-4326/aa6084

  6. RF wave simulation for cold edge plasmas using the MFEM library

    Science.gov (United States)

    Shiraiwa, S.; Wright, J. C.; Bonoli, P. T.; Kolev, T.; Stowell, M.

    2017-10-01

    A newly developed generic electro-magnetic (EM) simulation tool for modeling RF wave propagation in SOL plasmas is presented. The primary motivation of this development is to extend the domain partitioning approach for incorporating arbitrarily shaped SOL plasmas and antenna to the TORIC core ICRF solver, which was previously demonstrated in the 2D geometry [S. Shiraiwa, et. al., "HISTORIC: extending core ICRF wave simulation to include realistic SOL plasmas", Nucl. Fusion in press], to larger and more complicated simulations by including a 3D realistic antenna and integrating RF rectified sheath potential model. Such an extension requires a scalable high fidelity 3D edge plasma wave simulation. We used the MFEM [http://mfem.org], open source scalable C++ finite element method library, and developed a Python wrapper for MFEM (PyMFEM), and then a radio frequency (RF) wave physics module in Python. This approach allows for building a physics layer rapidly, while separating the physics implementation being apart from the numerical FEM implementation. An interactive modeling interface was built on pScope [S Shiraiwa, et. al. Fusion Eng. Des. 112, 835] to work with an RF simulation model in a complicated geometry.

  7. A simple highly accurate field-line mapping technique for three-dimensional Monte Carlo modeling of plasma edge transport

    International Nuclear Information System (INIS)

    Feng, Y.; Sardei, F.; Kisslinger, J.

    2005-01-01

    The paper presents a new simple and accurate numerical field-line mapping technique providing a high-quality representation of field lines as required by a Monte Carlo modeling of plasma edge transport in the complex magnetic boundaries of three-dimensional (3D) toroidal fusion devices. Using a toroidal sequence of precomputed 3D finite flux-tube meshes, the method advances field lines through a simple bilinear, forward/backward symmetric interpolation at the interfaces between two adjacent flux tubes. It is a reversible field-line mapping (RFLM) algorithm ensuring a continuous and unique reconstruction of field lines at any point of the 3D boundary. The reversibility property has a strong impact on the efficiency of modeling the highly anisotropic plasma edge transport in general closed or open configurations of arbitrary ergodicity as it avoids artificial cross-field diffusion of the fast parallel transport. For stellarator-symmetric magnetic configurations, which are the standard case for stellarators, the reversibility additionally provides an average cancellation of the radial interpolation errors of field lines circulating around closed magnetic flux surfaces. The RFLM technique has been implemented in the 3D edge transport code EMC3-EIRENE and is used routinely for plasma transport modeling in the boundaries of several low-shear and high-shear stellarators as well as in the boundary of a tokamak with 3D magnetic edge perturbations

  8. Measurements of the asymmetric dynamic sheath around a pulse biased sphere immersed in flowing metal plasma

    International Nuclear Information System (INIS)

    Wu Hongchen; Anders, Andre

    2008-01-01

    A long-probe technique was utilized to record the expansion and retreat of the dynamic sheath around a spherical substrate immersed in pulsed cathode arc metal plasma. Positively biased, long cylindrical probes were placed on the side and downstream of a negatively pulsed biased stainless steel sphere of 1 in. (25.4 mm) diameter. The amplitude and width of the negative high voltage pulses (HVPs) were 2 kV, 5 kV, 10 kV, and 2 μs, 4 μs, 10 μs, respectively. The variation of the probe (electron) current during the HVP is a direct measure for the sheath expansion and retreat. Maximum sheath sizes were determined for the different parameters of the HVP. The expected rarefaction zone behind the biased sphere (wake) due to the fast plasma flow was clearly established and quantified.

  9. The quiescent H-mode regime for high performance edge localized mode-stable operation in future burning plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Garofalo, A. M., E-mail: garofalo@fusion.gat.com; Burrell, K. H.; Meneghini, O.; Osborne, T. H.; Paz-Soldan, C.; Smith, S. P.; Snyder, P. B.; Turnbull, A. D. [General Atomics, P.O. Box 85608, San Diego, California 92186-5608 (United States); Eldon, D.; Grierson, B. A.; Solomon, W. M. [Princeton Plasma Physics Laboratory, P.O. Box 451, Princeton, New Jersey 08543-0451 (United States); Hanson, J. M. [Columbia University, 2960 Broadway, New York, New York 10027-6900 (United States); Holland, C. [University of California San Diego, 9500 Gilman Dr., La Jolla, California 92093-0417 (United States); Huijsmans, G. T. A.; Liu, F.; Loarte, A. [ITER Organization, Route de Vinon sur Verdon, 13067 St Paul Lez Durance (France); Zeng, L. [University of California Los Angeles, P.O. Box 957099, Los Angeles, California 90095-7099 (United States)

    2015-05-15

    For the first time, DIII-D experiments have achieved stationary quiescent H-mode (QH-mode) operation for many energy confinement times at simultaneous ITER-relevant values of beta, confinement, and safety factor, in an ITER-like shape. QH-mode provides excellent energy confinement, even at very low plasma rotation, while operating without edge localized modes (ELMs) and with strong impurity transport via the benign edge harmonic oscillation (EHO). By tailoring the plasma shape to improve the edge stability, the QH-mode operating space has also been extended to densities exceeding 80% of the Greenwald limit, overcoming the long-standing low-density limit of QH-mode operation. In the theory, the density range over which the plasma encounters the kink-peeling boundary widens as the plasma cross-section shaping is increased, thus increasing the QH-mode density threshold. The DIII-D results are in excellent agreement with these predictions, and nonlinear magnetohydrodynamic analysis of reconstructed QH-mode equilibria shows unstable low n kink-peeling modes growing to a saturated level, consistent with the theoretical picture of the EHO. Furthermore, high density operation in the QH-mode regime has opened a path to a new, previously predicted region of parameter space, named “Super H-mode” because it is characterized by very high pedestals that can be more than a factor of two above the peeling-ballooning stability limit for similar ELMing H-mode discharges at the same density.

  10. Modification of Edge Plasma Turbulence by External Magnetic Pertubations

    International Nuclear Information System (INIS)

    Boedo, J.; McKee, G.; Rudakov, D.; Reiser, D.; Evans, T.; Moyer, R.; Schaffer, M.; Watkins, J.; Allen, S.; Fenstermacher, M.; Groth, M.; Holland, C.; Hollmann, E.; Lasnier, C.; Leonard, A.; Mahdavi, M.; McLean, A.; Tynan, G.; Wang, G.; West, W.; Zeng, L.

    2006-01-01

    Magnetostatic perturbations applied to the DIII-D plasma using a n=3 coil set have significant impact on the plasma edge, such as edge localized mode (ELM) suppression [1], but also affect the background turbulence levels. Discharges with parameters R=1.75 m, a=0.56 m, B T ∼ 1.6 T, I p ∼ 1 MA and n e ∼ 3 x 10 13 cm -3 -n e ∼ 7 x 10 13 cm -3 (low, v* e ∼ 0.1 and moderate, v* e ∼ 1 electron pedestal collisionality) were used as a target for the perturbation, [applied at 3 s Fig. 1(a) and 2 s Fig. 1(b)]. The global density and energy content, among many other parameters, are unaffected, raising the issue of what mechanism replaces the particle and heat exhaust otherwise mediated by ELMs. Mixed ELMs (high frequency, low amplitude Type II ELMs interspersed with Type I) in the moderate collisionality regime and Type I ELMs in the low collisionality regime, are replaced by intermittency and broadband turbulence or semiperiodic events. It is important to notice that the coils can be energized in high poloidal mode spectra (upper and lower coils produce fields in the same direction) or odd configuration (upper and lower coils produce fields in the opposite direction) and also rotated 60 deg toroidally. Although we will focus on scanning probe [2] data obtained in the scrape-off layer (SOL), other diagnostics, beam emission spectroscopy (BES), reflectometry [3], were used to study the changes in the plasma turbulence when the ELMs are suppressed and the underlying turbulence and transport change. Thomson scattering n e and T e profiles (Fig. 2) accumulated over 200 ms before (red) and during (blue) I-coil perturbation are fitted with y = a + b* tanh[(r-c)/d] resulting in a,b staying constant while d varies from -0.009 to -0.011 and c from -0.013 to -0.009, i.e. the profiles mostly broaden and shift outward, changes which may be connected to an increase in radial turbulent transport assuming no deformation of the separatrix. This broadening is seen in both low and

  11. Low edge safety factor operation and passive disruption avoidance in current carrying plasmas by the addition of stellarator rotational transform

    Science.gov (United States)

    Pandya, M. D.; ArchMiller, M. C.; Cianciosa, M. R.; Ennis, D. A.; Hanson, J. D.; Hartwell, G. J.; Hebert, J. D.; Herfindal, J. L.; Knowlton, S. F.; Ma, X.; Massidda, S.; Maurer, D. A.; Roberds, N. A.; Traverso, P. J.

    2015-11-01

    Low edge safety factor operation at a value less than two ( q (a )=1 /ι̷tot(a )routine on the Compact Toroidal Hybrid device with the addition of sufficient external rotational transform. Presently, the operational space of this current carrying stellarator extends down to q (a )=1.2 without significant n = 1 kink mode activity after the initial plasma current rise phase of the discharge. The disruption dynamics of these low edge safety factor plasmas depend upon the fraction of helical field rotational transform from external stellarator coils to that generated by the plasma current. We observe that with approximately 10% of the total rotational transform supplied by the stellarator coils, low edge q disruptions are passively suppressed and avoided even though q(a) disrupt, the instability precursors measured and implicated as the cause are internal tearing modes with poloidal, m, and toroidal, n, helical mode numbers of m /n =3 /2 and 4/3 observed on external magnetic sensors and m /n =1 /1 activity observed on core soft x-ray emissivity measurements. Even though the edge safety factor passes through and becomes much less than q(a) disruption phenomenology observed.

  12. Comparison of L- and H-mode plasma edge fluctuations in MAST

    International Nuclear Information System (INIS)

    Dudson, B D; Dendy, R O; Kirk, A; Meyer, H; Counsell, G F

    2005-01-01

    Edge turbulence measurements from a reciprocating Langmuir probe in MAST are presented. A comparison of the range/standard deviation (R/S), growth of range, first moment and differencing and rescaling methods for calculating the Hurst exponent is made. The differencing and rescaling method is found to be the most useful for identifying scaling over long time-periods. A comparison is made between L-mode, dithering H-mode and H-mode plasma edge turbulence and evidence for self-similarity is found. Tests are performed and it is demonstrated that the results are due to properties of the data, and are not artefacts of the methods. A comparison of Hurst exponent methods with the autocorrelation function and power spectrum is used to demonstrate the presence of long-time correlation in L-mode data, and the absence of long-time correlation in the case of dithering H-mode

  13. Transport phenomena in the edge of Alcator C-Mod plasmas

    International Nuclear Information System (INIS)

    Terry, J.L.; Basse, N.P.; Cziegler, I.; Greenwald, M.; LaBombard, B.; Edlund, E.M.; Hughes, J.W.; Lin, L.; Lin, Y.; Porkolab, M.; Veto, B.; Wukitch, S.J.; Grulke, O.; Zweben, S.J.; Sampsell, M.

    2005-01-01

    Two aspects of edge turbulence and transport in Alcator C-Mod are explored. The quasi-coherent mode, an edge fluctuation present in Enhanced Da H-mode plasmas, is examined with regard to its role in the enhanced particle transport found in these plasmas, its in/out asymmetry, its poloidal wave number, and its radial width and location. It is shown to play a dominant role in the perpendicular particle transport. The QCM is not observed at the inboard midplane, indicating that its amplitude there is significantly smaller than on the outboard side. The peak amplitude of the QCM is found just inside the separatrix, with a radial width ≥5 mm, leading to a non-zero amplitude outside the separatrix and qualitatively consistent with its transport enhancement. Also examined are the characteristics of the intermittent convective transport, associated with 'blobs' and typically occurring in the scrape-off-layer. The blobs are qualitatively similar in L- and H-mode. When their sizes, occurrence frequencies, and magnitudes are compared, it is found that the blob size may be somewhat smaller in ELMfree H-Mode, and blob frequency is similar. A clear difference is seen in the blob magnitude in the far SOL, with ELMfree H-mode showing a smaller perturbation there than L-mode. As the Greenwald density limit is approached (n/n GW ≥0.7), blobs are seen inside the separatrix, consistent with the observation that the high cross-field transport region, normally found in the far scrape-off, penetrates the closed flux surfaces at high n/n GW . (author)

  14. Plasma cleaning of ITER edge Thomson scattering mock-up mirror in the EAST tokamak

    Science.gov (United States)

    Yan, Rong; Moser, Lucas; Wang, Baoguo; Peng, Jiao; Vorpahl, Christian; Leipold, Frank; Reichle, Roger; Ding, Rui; Chen, Junling; Mu, Lei; Steiner, Roland; Meyer, Ernst; Zhao, Mingzhong; Wu, Jinhua; Marot, Laurent

    2018-02-01

    First mirrors are the key element of all optical and laser diagnostics in ITER. Facing the plasma directly, the surface of the first mirrors could be sputtered by energetic particles or deposited with contaminants eroded from the first wall (tungsten and beryllium), which would result in the degradation of the reflectivity. The impurity deposits emphasize the necessity of the first mirror in situ cleaning for ITER. The mock-up first mirror system for ITER edge Thomson scattering diagnostics has been cleaned in EAST for the first time in a tokamak using radio frequency capacitively coupled plasma. The cleaning properties, namely the removal of contaminants and homogeneity of cleaning were investigated with molybdenum mirror insets (25 mm diameter) located at five positions over the mock-up plate (center to edge) on which 10 nm of aluminum oxide, used as beryllium proxy, were deposited. The cleaning efficiency was evaluated using energy dispersive x-ray spectroscopy, reflectivity measurements and x-ray photoelectron spectroscopy. Using argon or neon plasma without magnetic field in the laboratory and with a 1.7 T magnetic field in the EAST tokamak, the aluminum oxide films were homogeneously removed. The full recovery of the mirrors’ reflectivity was attained after cleaning in EAST with the magnetic field, and the cleaning efficiency was about 40 times higher than that without the magnetic field. All these results are promising for the plasma cleaning baseline scenario of ITER.

  15. Magnetic properties of Co-N films deposited by ECR nitrogen/argon plasma with DC negative-biased Co target

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.; Zhang, Y.C.; Yang, K.; Liu, H.X.; Zhu, X.D., E-mail: xdzhu@ustc.edu.cn; Zhou, H.Y.

    2017-06-01

    Highlights: • A new method of synthesizing Co-N films containing Co{sub 4}N phase. • Tunable magnetic properties achieved in ECR plasma CVD. • The change of magnetic properties is related to atoms mobility on substrate and the concentration of active species in plasma vapor. - Abstract: By introducing DC negative-biased Co target in the Electron Cyclotron Resonance (ECR) nitrogen/argon plasma, the Co-N films containing Co{sub 4}N phase were synthesized on Si(100) substrate. Effects of processing parameters on magnetic properties of the films are investigated. It is found that magnetic properties of Co-N films vary with N{sub 2}/Ar flow ratio, substrate temperature, and target biasing voltage. The saturation magnetization M{sub s} decreased by increasing the N{sub 2}/Ar gas flow ratio or decreasing target biasing voltage, while the coercive field H{sub c} increased, which is ascribed to the variation of relative concentration for N or Co active species in plasma vapor. The magnetic properties present complex dependency with growth temperature, which is related to the atom mobility on the substrate affected by the growth temperature. This study exhibits a potential of ECR plasma chemical vapor deposition to synthesize the interstitial compounds and tune magnetic properties of films.

  16. Simulations of edge and scrape off layer turbulence in mega ampere spherical tokamak plasmas

    DEFF Research Database (Denmark)

    Militello, F; Fundamenski, W; Naulin, Volker

    2012-01-01

    The L-mode interchange turbulence in the edge and scrape-off-layer (SOL) of the tight aspect ratio tokamak MAST is investigated numerically. The dynamics of the boundary plasma are studied using the 2D drift-fluid code ESEL, which has previously shown good agreement with large aspect ratio machin...

  17. US SciDAC Program on Integrated Simulation of Edge Transport in Fusion Plasmas, and its Progress

    International Nuclear Information System (INIS)

    Chang, C.S.

    2007-01-01

    The multi-institutional collaborative center for plasma edge simulation (CPES) has been launched in the USA under the SciDAC (Scientific Discovery through Advanced Computing) Fusion Simulation Program. This is a multi-disciplinary effort among physicists, applied mathematicians, and computer scientists from 15 national laboratories and universities. Its goal is to perform first principles simulations on plasma transport in the edge region from the top of the pedestal to the scrape off/divertor regions bounded by a material wall, and to predict L-H transition, pedestal buildup, ELM crashes, scrape-off transport and divertor heat load. As a major part of the effort, a PIC gyrokinetic edge code XGC is constructed. The gyrokinetic edge code XGC is coupled to a nonlinear edge MHD/2fluid code (M3D and NIMROD) to predict the cycle of pedestal buildup and ELM crash. The magnetic geometry includes the realistic separatrix, X-point, open field lines and material wall. In the first phase of this effort, the electrostatic version of the PIC gyrokinetic code XGC-1 has been built, to be extended into an electromagnetic version soon in the next phase. XGC-1 includes the gyrokinetic ions, electrons, and Monte Carlo neutrals with wall recycling. Since the ions have non-Maxwellian distribution function in the edge, as demonstrated in XGC, a full-f ion technique is used. Electrons are, though, handled with a mixed-f technique: the full-f technique for neoclassical and adiabatic or delta-f split-weight techniques for turbulence physics. The mixed-f electron approach used in XGC is new, successfully integrating the neoclassical and turbulence physics. Recent progress and results on neoclassical and electrostatic turbulence transports will be reported, which includes the pedestal buildup by neutral ionization, density pedestal width scaling, electrostatic potential and plasma flow distributions in the pedestal and scrape-off, and other important physical effects in the pedestal

  18. Numerical modelling of pump limiter biasing on TEXTOR-94 and Tore Supra

    International Nuclear Information System (INIS)

    Gerhauser, H.; Claassen, H.A.; Mank, G.; Zagorski, R.; Loarer, T.; Gunn, J.; Boucher, C.

    2002-01-01

    The two-dimensional multifluid code TECXY has been used to model the biasing (with respect to the first wall) of the toroidal belt limiter ALT-II on the tokamak TEXTOR-94 and of the new toroidal pump limiter being installed on Tore Supra tokamak in the framework of the CIEL project. It is well known that the edge flow pattern can be influenced by the poloidal electric drifts from imposing radial electric fields. The modelling with TECXY introduces imprinted bias currents in the scrape-off layer (SOL) for the case of negative (limiter) biasing, and imprinted bias potentials for the case of positive biasing. This allowed us to simulate sufficiently well the experimental I-V characteristics for either biasing of ALT-II and also reproduced the essential features and trends of the observed plasma profiles in the SOL of TEXTOR-94. For negative biasing a moderate improvement of the pumping exhaust efficiency can be achieved in the case of TEXTOR. For Tore Supra, however, only a negligible improvement of the limiter performance with biasing can be predicted, which is explained by the relatively weak drift flows in Tore Supra. (author)

  19. An axially averaged-radial transport model of tokamak edge plasmas

    International Nuclear Information System (INIS)

    Prinja, A.K.; Conn, R.W.

    1984-01-01

    A two-zone axially averaged-radial transport model for edge plasmas is described that incorporates parallel electron and ion conduction, localized recycling, parallel electron pressure gradient effects and sheath losses. Results for high recycling show that the radial electron temperature profile is determined by parallel electron conduction over short radial distances (proportional 3 cm). At larger radius where Tsub(e) has fallen appreciably, convective transport becomes equally important. The downstream density and ion temperature profiles are very flat over the region where electron conduction dominates. This is seen to result from a sharply decaying velocity profile that follows the radial electron temperature. A one-dimensional analytical recycling model shows that at high neutral pumping rates, the plasma density at the plate, nsub(ia), scales linearly with the unperturbed background density, nsub(io). When ionization dominates nsub(ia)/nsub(io) proportional exp(nsub(io)) while in the intermediate regime nsub(ia)/nsub(io) proportional exp(proportional nsub(io)). Such behavior is qualitatively in accord with experimental observations. (orig.)

  20. Electrical properties of fluorine-doped ZnO nanowires formed by biased plasma treatment

    Science.gov (United States)

    Wang, Ying; Chen, Yicong; Song, Xiaomeng; Zhang, Zhipeng; She, Juncong; Deng, Shaozhi; Xu, Ningsheng; Chen, Jun

    2018-05-01

    Doping is an effective method for tuning electrical properties of zinc oxide nanowires, which are used in nanoelectronic devices. Here, ZnO nanowires were prepared by a thermal oxidation method. Fluorine doping was achieved by a biased plasma treatment, with bias voltages of 100, 200, and 300 V. Transmission electron microscopy indicated that the nanowires treated at bias voltages of 100 and 200 V featured low crystallinity. When the bias voltage was 300 V, the nanowires showed single crystalline structures. Photoluminescence measurements revealed that concentrations of oxygen and surface defects decreased at high bias voltage. X-ray photoelectron spectroscopy suggested that the F content increased as the bias voltage was increased. The conductivity of the as-grown nanowires was less than 103 S/m; the conductivity of the treated nanowires ranged from 1 × 104-5 × 104, 1 × 104-1 × 105, and 1 × 103-2 × 104 S/m for bias voltage treatments at 100, 200, and 300 V, respectively. The conductivity improvements of nanowires formed at bias voltages of 100 and 200 V, were attributed to F-doping, defects and surface states. The conductivity of nanowires treated at 300 V was attributed to the presence of F ions. Thus, we provide a method of improving electrical properties of ZnO nanowires without altering their crystal structure.

  1. Blob/hole formation and zonal-flow generation in the edge plasma of the JET tokamak

    DEFF Research Database (Denmark)

    Xu, G.S.; Naulin, Volker; Fundamenski, W.

    2009-01-01

    The first experimental evidence showing the connection between blob/hole formation and zonal-flow generation was obtained in the edge plasma of the JET tokamak. Holes as well as blobs are observed to be born in the edge shear layer, where zonal-flows shear off meso-scale coherent structures......, leading to disconnection of positive and negative pressure perturbations. The newly formed blobs transport azimuthal momentum up the gradient of the azimuthal flow and drive the zonal-flow shear while moving outwards. During this process energy is transferred from the meso-scale coherent structures...

  2. Development of plasma diagnostics technologies - Measurement of transport= parameters in tokamak edge plasma by using electric transport probes

    Energy Technology Data Exchange (ETDEWEB)

    Chung, Kyu Sun; Chang, Do Hee; Sim, Yeon Gun; Kim, Jin Hee [Hanyang University, Seoul (Korea, Republic of)

    1995-08-01

    Electric transport probe system is developed for the measurement of electron temperature, floating potential, plasma density and flow velocity of= edge plasmas in the KT-2 medium size tokamak. Experiments have been performed in KT-1 small size tokamak. Electric transport probe is composed of a single probe(SP) and a Mach probe (MP). SP is used for the measurements of electron density, floating potential, and plasma density and measured values are {approx} 3*10{sup 11}/cm{sup -3}, -20 volts, 15 {approx} 25 eV. For the most discharges, respectively. MP is for the measurements of toroidal(M{sub T}) and poloidal(M{sub P}) flow velocities, and density, which are M{sub T} {approx_equal} .0.85, M{sub P} {approx_equal}. 0.17, n. {approx_equal} 2.1*10{sup 11} cm{sup -3}, respectively. A triple probe is also developed for the direct reading of T{sub e} and n{sub e}, and is used for DC, RF, and RF+DC plasma in APL of Hanyang university. 38 refs., 36 figs. (author)

  3. Characterizing electrostatic turbulence in tokamak plasmas with high MHD activity

    Energy Technology Data Exchange (ETDEWEB)

    Guimaraes-Filho, Z O; Santos Lima, G Z dos; Caldas, I L; Nascimento, I C; Kuznetsov, Yu K [Instituto de Fisica, Universidade de Sao Paulo, Caixa Postal 66316, 05315-970, Sao Paulo, SP (Brazil); Viana, R L, E-mail: viana@fisica.ufpr.b [Departamento de Fisica, Universidade Federal do Parana, Caixa Postal 19044, 81531-990, Curitiba, PR (Brazil)

    2010-09-01

    One of the challenges in obtaining long lasting magnetic confinement of fusion plasmas in tokamaks is to control electrostatic turbulence near the vessel wall. A necessary step towards achieving this goal is to characterize the turbulence level and so as to quantify its effect on the transport of energy and particles of the plasma. In this paper we present experimental results on the characterization of electrostatic turbulence in Tokamak Chauffage Alfven Bresilien (TCABR), operating in the Institute of Physics of University of Sao Paulo, Brazil. In particular, we investigate the effect of certain magnetic field fluctuations, due to magnetohydrodynamical (MHD) instabilities activity, on the spectral properties of electrostatic turbulence at plasma edge. In some TCABR discharges we observe that this MHD activity may increase spontaneously, following changes in the edge safety factor, or after changes in the radial electric field achieved by electrode biasing. During the high MHD activity, the magnetic oscillations and the plasma edge electrostatic turbulence present several common linear spectral features with a noticeable dominant peak in the same frequency. In this article, dynamical analyses were applied to find other alterations on turbulence characteristics due to the MHD activity and turbulence enhancement. A recurrence quantification analysis shows that the turbulence determinism radial profile is substantially changed, becoming more radially uniform, during the high MHD activity. Moreover, the bicoherence spectra of these two kinds of fluctuations are similar and present high bicoherence levels associated with the MHD frequency. In contrast with the bicoherence spectral changes, that are radially localized at the plasma edge, the turbulence recurrence is broadly altered at the plasma edge and the scrape-off layer.

  4. Integrated core-edge-divertor modeling studies

    International Nuclear Information System (INIS)

    Stacey, W.M.

    2001-01-01

    An integrated calculation model for simulating the interaction of physics phenomena taking place in the plasma core, in the plasma edge and in the SOL and divertor of tokamaks has been developed and applied to study such interactions. The model synthesises a combination of numerical calculations (1) the power and particle balances for the core plasma, using empirical confinement scaling laws and taking into account radiation losses (2), the particle, momentum and power balances in the SOL and divertor, taking into account the effects of radiation and recycling neutrals, (3) the transport of feeling and recycling neutrals, explicitly representing divertor and pumping geometry, and (4) edge pedestal gradient scale lengths and widths, evaluation of theoretical predictions (5) confinement degradation due to thermal instabilities in the edge pedestals, (6) detachment and divertor MARFE onset, (7) core MARFE onsets leading to a H-L transition, and (8) radiative collapse leading to a disruption and evaluation of empirical fits (9) power thresholds for the L-H and H-L transitions and (10) the width of the edge pedestals. The various components of the calculation model are coupled and must be iterated to a self-consistent convergence. The model was developed over several years for the purpose of interpreting various edge phenomena observed in DIII-D experiments and thereby, to some extent, has been benchmarked against experiment. Because the model treats the interactions of various phenomena in the core, edge and divertor, yet is computationally efficient, it lends itself to the investigation of the effects of different choices of various edge plasma operating conditions on overall divertor and core plasma performance. Studies of the effect of feeling location and rate, divertor geometry, plasma shape, pumping and over 'edge parameters' on core plasma properties (line average density, confinement, density limit, etc.) have been performed for DIII-D model problems. A

  5. Heavy impurity collection at the plasma edge of the stellarator W VII A

    International Nuclear Information System (INIS)

    Schou, J.

    1981-12-01

    The presence of impurities at the plasma edge of the Wendelstein VII-A stellarator was studied by means of carbon probes that were exposed to up to 200 plasma discharges in helium. The probes were subsequently analysed with 1 MeV 4 He + Rutherford Backscattering. The average impurity deposition for Ti, Mo and wall components (Fe, Cr, Ni) was 2-4 x 10 12 atoms/cm 2 , 6 x 10 10 atoms/cm 2 and 1 x 10 11 atoms/cm 2 per discharge, respectively. With the exception of Ti this impurity deposition is more than one order of magnitude smaller than the corresponding results from comparable tokamaks. (orig.)

  6. Instabilities observed at the bubble edge of a laser produced plasma during its expansion in an ambient tenuous plasma

    Science.gov (United States)

    Lee, Bo Ram; Clark, S. E.; Hoffmann, D. H. H.; Niemann, C.

    2014-10-01

    The Raptor kJ class 1053 nm Nd:Glass laser in the Phoenix laser laboratory at University of California, Los Angeles, is used to ablate a dense debris plasma from a graphite or plastic target embedded in a tenuous, uniform, and quiescent ambient magnetized plasma in the Large Plasma Device (LAPD) which provides a peak plasma density of ni ~ 1013 cm-3. Its background magnetic field can vary between 200 and 1200 G. Debris ions from laser produced plasma expand out conically with super-Alfvénic speed (MA ~ 2) and expel the background magnetic field and ambient ions to form a diamagnetic bubble. The debris plasma interacts with the ambient plasma and the magnetic field and acts as a piston which can create collisionless shocks. Flute-type instabilities, which are probably large Larmor radius Rayleigh Taylor instabilities or lower hybrid drift instabilities, are developed at the bubble edge and also observed in the experiment. The amplitude and wavelength dependence of the instabilities, which might be a strong function of debris to ambient mass to charge ratio, is studied and the experimental results are compared to the two dimensional hybrid simulations. the Deutsche Forschungsgemeinschaft in the framework of the Excellence Initiative Darmstadt Graduate School of Energy Science and Engineering (GSC1070).

  7. Improvement of stability of sinusoidally driven atmospheric pressure plasma jet using auxiliary bias voltage

    Directory of Open Access Journals (Sweden)

    Hyun-Jin Kim

    2015-12-01

    Full Text Available In this study, we have proposed the auxiliary bias pulse scheme to improve the stability of atmospheric pressure plasma jets driven by an AC sinusoidal waveform excitation source. The stability of discharges can be significantly improved by the compensation of irregular variation in memory voltage due to the effect of auxiliary bias pulse. From the parametric study, such as the width, voltage, and onset time of auxiliary bias pulse, it has been demonstrated that the auxiliary bias pulse plays a significant role in suppressing the irregular discharges caused by the irregular variation in memory voltage and stable discharge can be initiated with the termination of the auxiliary bias pulse. As a result of further investigating the effects of the auxiliary pulse scheme on the jet stability under various process conditions such as the distance between the jet head and the counter electrode, and carrier gas flow, the jet stability can be improved by adjusting the amplitude and number of the bias pulse depending on the variations in the process conditions.

  8. Self-limitation of impurity production by radiation cooling at the edge of a fusion plasma

    International Nuclear Information System (INIS)

    Neuhauser, J.; Lackner, K.; Wunderlich, R.

    1982-04-01

    The influence of radiation cooling at the edge of a fusion plasma on the plasma-wall interaction is numerically studied for parameters typical of the ZEPHYR ignition experiment. Various transport and impurity influx models and different external heating methods are studied using the 1D tokamak transport code BALDUR developed at Princeton. The results demonstrate the self-consistent formation of a radiating boundary layer (photosphere) for a wide range of parameters, limiting the impurity concentration in the plasma to a tolerable value. While the plasma behaviour is rather insensitive to model assumptions, the sputtering rate and the corresponding wall erosion depend on various parameters. Methods for external control of the photosphere and - more important - of the wall erosion are also discussed. (orig.)

  9. Filament structures at the plasma edge on MAST

    International Nuclear Information System (INIS)

    Kirk, A; Ayed, N Ben; Counsell, G; Dudson, B; Eich, T; Herrmann, A; Koch, B; Martin, R; Meakins, A; Saarelma, S; Scannell, R; Tallents, S; Walsh, M; Wilson, H R

    2006-01-01

    The boundary of the tokamak core plasma, or scrape-off layer, is normally characterized in terms of average parameters such as density, temperature and e-folding lengths suggesting diffusive losses. However, as is shown in this paper, localized filamentary structures play an important role in determining the radial efflux in both L mode and during edge localized modes (ELMs) on MAST. Understanding the size, poloidal and toroidal localization and the outward radial extent of these filaments is crucial in order to calculate their effect on power loading both on the first wall and the divertor target plates in future devices. The spatial and temporal evolution of filaments observed on MAST in L-mode and ELMs have been compared and contrasted in order to confront the predictions of various models that have been proposed to predict filament propagation and in particular ELM energy losses

  10. An edge pedestal model

    International Nuclear Information System (INIS)

    Stacev, W.M.

    2001-01-01

    A new model for calculation of the gradient scale lengths in the edge pedestal region and of the edge transport barrier width in H-mode tokamak plasmas will be described. Model problem calculations which demonstrate the promise of this model for predicting experimental pedestal properties will be discussed. The density and Prague gradient scale lengths (L) in the edge are calculated from the particle and ion and electron energy radial transport equations, making use of (presumed) known particle and energy fluxes flowing across the edge transport barrier from the core into the SOL and of edge transport coefficients. The average values of the particle and heat fluxes in the edge transport barrier are calculated in terms of the fluxes crossing into the SOL and the atomic physics reaction rates (ionisation, charge-exchange, elastic scattering, impurity radiation) in the edge by integrating the respective transport equations from the pedestal to the separatrix. An important implication of this model is that the pedestal gradient scale lengths depend not just on local pedestal platers properties but also on particle and energy fluxes from the core plasma and on recycling neutral fluxes that penetrate into the plasma edge, both of which in turn depend on the pedestal properties. The MHD edge pressure gradient constraint α≤ α C is used to determine the pressure width of the edge transport barrier, Δ TB = Δ TB (α c ). Three different models for the MHD edge pressure gradient constraint have been investigated: (1) nominal ideal ballooning mode theory, (2) ballooning mode theory taking into account the edge geometry and shear to access He second stability region; and pedestal β-limit theory when the ballooning modes are stabilised by diamagnetic effects. A series of calculations have been made for a DIII-D model problem. The calculated gradient scale lengths and edge transport barrier widths are of the magnitude of values observed experimentally, and certain trends

  11. Decay of enhanced density and damping of plasma flows after the electrode biasing terminaton on the CASTOR tokamak

    Czech Academy of Sciences Publication Activity Database

    Hron, Martin; Ďuran, Ivan; Stöckel, Jan; Hidalgo, C.

    2004-01-01

    Roč. 54, suppl. C (2004), C22-C27 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21st/. Praha, 14.06.2004-17.06.2004] R&D Projects: GA ČR GA202/03/0786 Institutional research plan: CEZ:AV0Z2043910 Keywords : tokamak, edge plasma, polarization Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  12. Particle and parallel momentum balance equations with inclusion of drifts, for modelling strong- to weakly-collisional edge plasmas

    International Nuclear Information System (INIS)

    Chankin, A. V.; Stangeby, P. C.

    2006-01-01

    A system of plasma particle and parallel momentum balance equations is derived appropriate for understanding the role of drifts in the edge and for edge modelling, particularly in the scrape-off layer (SOL) of tokamaks, stellarators and other magnetic confinement devices. The formulation allows for strong collisionality-but also covers the case of weak collisionality and strong drifts, a combination often encountered in the SOL. The most important terms are identified by assessing the magnitude of characteristic velocities and fluxes for the plasma edge region. Explanations of the physical nature of each term are provided. A number of terms that are sometimes not included in edge modelling has been included in the parallel momentum balance equation after detailed analysis of the parallel component of the gradient of the total pressure-stress tensor. This includes terms related to curvature and divergence of the field lines, as well as further contributions coming from viscous forces related mainly to the ion centrifugal drift. All these terms are shown to be roughly of the same order of magnitude as convective momentum fluxes related to drifts and therefore should be included in the momentum balance equation

  13. Effect of magnetic boundary on edge plasma profiles studied using probe measurements in EXTRAP T2R

    OpenAIRE

    Moustaphawi, Hawra

    2012-01-01

    In this Master’s thesis project, several experiments are conducted under three different conditions in order to study their effect on the edge plasma profiles. In the first case, the standard case, there is no external interference and the plasma is studied under normal lab environments. In the second case, the plasma position inside the EXTRAP T2R device is changed by a few millimeters and in the third case a magnetic boundary is inserted into the experiment. For each set of the experiment, ...

  14. Influence of Substrate Biasing on (Ba,Sr)TiO3 Films Prepared by Electron Cyclotron Resonance Plasma Sputtering

    Science.gov (United States)

    Matsumoto, Takeshi; Niino, Atsushi; Ohtsu, Yasunori; Misawa, Tatsuya; Yonesu, Akira; Fujita, Hiroharu; Miyake, Shoji

    2004-03-01

    (Ba,Sr)TiO3 (BST) films were deposited by electron cyclotron resonance (ECR) plasma sputtering with mirror confinement. DC bias voltage was applied to Pt/Ti/SiO2/Si substrates during deposition to vary the intensity of bombardment of energetic ions and to modify film properties. BST films deposited on the substrates at floating potential (approximately +20 V) were found to be amorphous, while films deposited on +40 V-biased substrates were crystalline in spite of a low substrate temperature below 648 K. In addition, atomic diffusion, which causes deterioration in the electrical properties of the films, was hardly observed in the crystallized films deposited with +40 V bias perhaps due to the low substrate temperature. Plasma diagnoses revealed that application of a positive bias to the substrate reduced the energy of ion bombardment and increased the density of excited neutral particles, which was assumed to result in the promotion of chemical reactions during deposition and the crystallization of BST films at a low temperature.

  15. Edge Minority Heating Experiment in Alcator C-Mod

    International Nuclear Information System (INIS)

    Zweben, S.J.; Terry, J.L.; Bonoli, P.; Budny, R.; Chang, C.S.; Fiore, C.; Schilling, G.; Wukitch, S.; Hughes, J.; Lin, Y.; Perkins, R.; Porkolab, M.; Alcator C-Mod Team

    2005-01-01

    An attempt was made to control global plasma confinement in the Alcator C-Mod tokamak by applying ion cyclotron resonance heating (ICRH) power to the plasma edge in order to deliberately create a minority ion tail loss. In theory, an edge fast ion loss could modify the edge electric field and so stabilize the edge turbulence, which might then reduce the H-mode power threshold or improve the H-mode barrier. However, the experimental result was that edge minority heating resulted in no improvement in the edge plasma parameters or global stored energy, at least at power levels of P RF (le) 5.5 MW. A preliminary analysis of these results is presented and some ideas for improvement are discussed

  16. ATF edge plasma turbulence studies using a fast reciprocating Langmuir probe

    International Nuclear Information System (INIS)

    Uckan, T.; Hidalgo, C.; Bell, J.D.; Harris, J.H.; Dunlap, J.L.; Dyer, G.R.; Mioduszewski, P.K.; Wilgen, J.B.; Ritz, C.P.; Wootton, A.J.; Rhodes, T.L.; Carter, K.

    1991-01-01

    Electrostatic turbulence on the edge of the Advanced Toroidal Facility (ATF) torsatron is investigated experimentally with a fast reciprocating Langmuir probe (FRLP) array. Initial measurements of plasma electron density n e and temperature T e and fluctuations in density (n e ) and plasma floating potential (φ f ) are made in electron cyclotron heated plasmas at 1 T. At the last closed flux surface (LCFS, r√a ∼ 1), T e ∼ 20--40 eV and n e ∼ 10 12 cm -3 for a line-averaged electron density bar n e = (3--6) x 10 12 cm -3 . Relative fluctuation levels, as the FRLP is moved into core plasma where T e > 20 eV, are n e /n e ∼ 5% and eφ f /T e ∼ 2n e /n e about 2 cm inside the LCFS. The observed fluctuation spectra are broadband (40--300 kHz) with bar kρ s ≤ 0.1, where bar k is the wavenumber of the fluctuations and ρ s is the ion Larmor radius at the sound speed. The propagation direction of the fluctuations reverses to the electron diamagnetic direction around r√a ph ∼ v de ). The fluctuation-induced particle flux is comparable to fluxes estimated from the particle balance using the H α spectroscopic measurements. Many of the features seen in these experiments resemble the features of ohmically heated plasmas in the Texas Experimental Tokamak (TEXT). 18 refs., 10 figs

  17. The effect of radio-frequency self bias on ion acceleration in expanding argon plasmas in helicon sources

    Science.gov (United States)

    Wiebold, Matthew D.

    Time-averaged plasma potential differences up to ˜ 165 V over several hundred Debye lengths are observed in low pressure (pn floating potential for argon (Vp ≈ 5kTe/e). In the capacitive mode, the ion acceleration is not well described by an ambipolar relation. The accelerated population decay is consistent with that predicted by charge-exchange collisions. Grounding the upstream endplate increases the self-bias voltage compared to a floating endplate. In the inductive and helicon modes, the ion acceleration more closely follows an ambipolar relation, a result of decreased capacitive coupling due to the decreased RF skin depth. The scaling of the potential gradient with the argon flow rate, magnetic field and RF power are investigated, with the highest potential gradients observed for the lowest flow rates in the capacitive mode. The magnitude of the self-bias voltage agrees well with that predicted for RF sheaths. Use of the self-bias effect in a plasma thruster is explored, possibly for a low thrust, high specific impulse mode in a multi-mode helicon thruster. This work could also explain similar potential gradients in expanding helicon plasmas that are ascribed to double layer formation in the literature.

  18. Edge of polar cap patches

    Science.gov (United States)

    Hosokawa, K.; Taguchi, S.; Ogawa, Y.

    2016-04-01

    On the night of 4 December 2013, a sequence of polar cap patches was captured by an all-sky airglow imager (ASI) in Longyearbyen, Norway (78.1°N, 15.5°E). The 630.0 nm airglow images from the ASI of 4 second exposure time, oversampled the emission of natural lifetime (with quenching) of at least ˜30 sec, introduce no observational blurring effects. By using such high-quality ASI images, we succeeded in visualizing an asymmetry in the gradients between the leading/trailing edges of the patches in a 2-D fashion. The gradient in the leading edge was found to be 2-3 times steeper than that in the trailing edge. We also identified fingerlike structures, appearing only along the trailing edge of the patches, whose horizontal scale size ranged from 55 to 210 km. These fingers are considered to be manifestations of plasma structuring through the gradient-drift instability (GDI), which is known to occur only along the trailing edge of patches. That is, the current 2-D observations visualized, for the first time, how GDI stirs the patch plasma and such a mixing process makes the trailing edge more gradual. This result strongly implies a close connection between the GDI-driven plasma stirring and the asymmetry in the large-scale shape of patches and then suggests that the fingerlike structures can be used as markers to estimate the fine-scale structure in the plasma flow within patches.

  19. Characterization of intermittency of impurity turbulent transport in tokamak edge plasmas

    International Nuclear Information System (INIS)

    Futatani, S.; Benkadda, S.; Nakamura, Y.; Kondo, K.

    2008-01-01

    The statistical properties of impurity transport of a tokamak edge plasma embedded in a dissipative drift-wave turbulence are investigated using structure function analysis. The impurities are considered as a passive scalar advected by the plasma flow. Two cases of impurity advection are studied and compared: A decaying impurities case (given by a diffusion-advection equation) and a driven case (forced by a mean scalar gradient). The use of extended self-similarity enables us to show that the relative scaling exponent of structure functions of impurity density and vorticity exhibit similar multifractal scaling in the decaying case and follows the She-Leveque model. However, this property is invalidated for the impurity driven advection case. For both cases, potential fluctuations are self-similar and exhibit a monofractal scaling in agreement with Kolmogorov-Kraichnan theory for two-dimensional turbulence. These results obtained with a passive scalar model agree also with test-particle simulations.

  20. Magnetic X-points, edge localized modes, and stochasticity

    International Nuclear Information System (INIS)

    Sugiyama, L. E.; Strauss, H. R.

    2010-01-01

    Edge localized modes (ELMs) near the boundary of a high temperature, magnetically confined toroidal plasma represent a new type of nonlinear magnetohydrodynamic (MHD) plasma instability that grows through a coherent plasma interaction with part of a chaotic magnetic field. Under perturbation, the freely moving magnetic boundary surface with an X-point splits into two different limiting asymptotic surfaces (manifolds), similar to the behavior of a hyperbolic saddle point in Hamiltonian dynamics. Numerical simulation using the extended MHD code M3D shows that field-aligned plasma instabilities, such as ballooning modes, can couple to the ''unstable'' manifold that forms helical, field-following lobes around the original surface. Large type I ELMs proceed in stages. Initially, a rapidly growing ballooning outburst involves the entire outboard side. Large plasma fingers grow well off the midplane, while low density regions penetrate deeply into the plasma. The magnetic field becomes superficially stochastic. A secondary inboard edge instability causes inboard plasma loss. The plasma gradually relaxes back toward axisymmetry, with diminishing cycles of edge instability. Poloidal rotation of the interior and edge plasma may be driven. The magnetic tangle constrains the early nonlinear ballooning, but may encourage the later inward penetration. Equilibrium toroidal rotation and two-fluid diamagnetic drifts have relatively small effects on a strong MHD instability. Intrinsic magnetic stochasticity may help explain the wide range of experimentally observed ELMs and ELM-free behavior in fusion plasmas, as well as properties of the H-mode and plasma edge.

  1. Three-dimensional modeling of plasma edge transport and divertor fluxes during application of resonant magnetic perturbations on ITER

    Czech Academy of Sciences Publication Activity Database

    Schmitz, O.; Becoulet, M.; Cahyna, Pavel; Evans, T.E.; Feng, Y.; Frerichs, H.; Loarte, A.; Pitts, R.A.; Reiser, D.; Fenstermacher, M.E.; Harting, D.; Kirschner, A.; Kukushkin, A.; Lunt, T.; Saibene, G.; Reiter, D.; Samm, U.; Wiesen, S.

    2016-01-01

    Roč. 56, č. 6 (2016), č. článku 066008. ISSN 0029-5515 Institutional support: RVO:61389021 Keywords : resonant magnetic perturbations * plasma edge physics * 3D modeling * neutral particle physics * ITER * divertor heat and particle loads * ELM control Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 3.307, year: 2016 http://iopscience.iop.org/article/10.1088/0029-5515/56/6/066008/meta

  2. Overview of progress in European medium sized tokamaks towards an integrated plasma-edge/wall solution

    NARCIS (Netherlands)

    Meyer, H.; Eich, T.; Beurskens, M.N.A.; Coda, S.; Hakola, A.; Martin, P.; Adamek, J.; Agostini, M.; Aguiam, D.; Ahn, J.; Aho-Mantila, L.; Akers, R.; Albanese, R.; Aledda, R.; Alessi, E.; Allan, S.; Alves, D.; Ambrosino, R.; Amicucci, L.; Anand, H.; Anastassiou, G.; Andrèbe, Y.; Angioni, C.; Apruzzese, G.; Ariola, M.; Arnichand, H.; Arter, W.; Baciero, A.; Barnes, M.; Barrera, L.; Behn, R.; Bencze, A.; Bernardo, J.; Bernert, M.; Bettini, P.; Bilková, P.; Bin, W.; Birkenmeier, G.; Bizarro, J. P.S.; Blanchard, P.; Blanken, T.; Bluteau, M.; Bobkov, V.; Bogar, O.; Böhm, P.; Bolzonella, T.; Boncagni, L.; Botrugno, A.; Bottereau, C.; Bouquey, F.; Bourdelle, C.; Brémond, S.; Brezinsek, S.; Brida, D.; Brochard, F.; Buchanan, J.; Bufferand, H.; Buratti, P.; Cahyna, P.; Calabrò, G.; Camenen, Y.; Caniello, R.; Cannas, B.; Canton, A.; Cardinali, A.; Carnevale, D.; Carr, M.; Carralero, D.; Carvalho, P.; Casali, L.; Castaldo, C.; Castejón, F.; Castro, R.; Causa, F.; Cavazzana, R.; Cavedon, M.; Cecconello, M.; Ceccuzzi, S.; Cesario, R.; Challis, C.D.; Chapman, I.T.; Chapman, S.; Chernyshova, M.; Choi, D.; Cianfarani, C.; Ciraolo, G.; Citrin, J.; Clairet, F.; Classen, I.; Coelho, R.; Coenen, J. W.; Colas, L.; Conway, G.; Corre, Y.; Costea, S.; Crisanti, F.; Cruz, N.; Cseh, G.; Czarnecka, A.; D'Arcangelo, O.; De Angeli, M.; De Masi, G.; De Temmerman, G.; De Tommasi, G.; Decker, J.; Delogu, R. S.; Dendy, R.; Denner, P.; Di Troia, C.; Dimitrova, M.; D'Inca, R.; Dorić, V.; Douai, D.; Drenik, A.; Dudson, B.; Dunai, D.; Dunne, M.; Duval, B. P.; Easy, L.; Elmore, S.; Erdös, B.; Esposito, B.; Fable, E.; Faitsch, M.; Fanni, A.; Fedorczak, N.; Felici, F.; Ferreira, J.; Février, O.; Ficker, O.; Fietz, S.; Figini, L.; Figueiredo, A.; Fil, A.; Fishpool, G.; Fitzgerald, M.; Fontana, M.; Ford, O.; Frassinetti, L.; Fridström, R.; Frigione, D.; Fuchert, G.; Fuchs, C.; Furno Palumbo, M.; Futatani, S.; Gabellieri, L.; Gałazka, K.; Galdon-Quiroga, J.; Galeani, S.; Gallart, D.; Gallo, A.; Galperti, C.; Gao, Y.; Garavaglia, S.; Garcia, J.; Garcia-Carrasco, A.; Garcia-Lopez, J.; Garcia-Munoz, M.; Gardarein, J. L.; Garzotti, L.; Gaspar, J.; Gauthier, E.; Geelen, P.; Geiger, B.; Ghendrih, P.; Ghezzi, F.; Giacomelli, L.; Giannone, L.; Giovannozzi, E.; Giroud, C.; Gleason González, C.; Gobbin, M.; Goodman, T. P.; Gorini, G.; Gospodarczyk, M.; Granucci, G.; Gruber, M.; Gude, A.; Guimarais, L.; Guirlet, R.; Gunn, J.; Hacek, P.; Hacquin, S.; Hall, S.; Ham, C.; Happel, T.; Harrison, J.; Harting, D.; Hauer, V.; Havlickova, E.; Hellsten, T.; Helou, W.; Henderson, S.; Hennequin, P.; Heyn, M.; Hnat, B.; Hölzl, M.; Hogeweij, D.; Honoré, C.; Hopf, C.; Horáček, J.; Hornung, G.; Horváth, L.; Huang, Z.; Huber, A.; Igitkhanov, J.; Igochine, V.; Imrisek, M.; Innocente, P.; Ionita-Schrittwieser, C.; Isliker, H.; Ivanova-Stanik, I.; Jacobsen, A. S.; Jacquet, P.; Jakubowski, M.; Jardin, A.; Jaulmes, F.; Jenko, F.; Jensen, T.; Jeppe Miki Busk, O.; Jessen, M.; Joffrin, E.; Jones, O.; Jonsson, T.; Kallenbach, A.; Kallinikos, N.; Kálvin, S.; Kappatou, A.; Karhunen, J.; Karpushov, A.; Kasilov, S.; Kasprowicz, G.; Kendl, A.; Kernbichler, W.; Kim, D.; Kirk, A.; Kjer, S.; Klimek, I.; Kocsis, G.; Kogut, D.; Komm, M.; Korsholm, S. B.; Koslowski, H. R.; Koubiti, M.; Kovacic, J.; Kovarik, K.; Krawczyk, N.; Krbec, J.; Krieger, K.; Krivska, A.; Kube, R.; Kudlacek, O.; Kurki-Suonio, T.; Labit, B.; Laggner, F. M.; Laguardia, L.; Lahtinen, A.; Lalousis, P.; Lang, P.; Lauber, P.; Lazányi, N.; Lazaros, A.; Le, H.B.; Lebschy, A.; Leddy, J.; Lefévre, L.; Lehnen, M.; Leipold, F.; Lessig, A.; Leyland, M.; Li, L.; Liang, Y.; Lipschultz, B.; Liu, Y.Q.; Loarer, T.; Loarte, A.; Loewenhoff, T.; Lomanowski, B.; Loschiavo, V. P.; Lunt, T.; Lupelli, I.; Lux, H.; Lyssoivan, A.; Madsen, J.; Maget, P.; Maggi, C.; Maggiora, R.; Magnussen, M. L.; Mailloux, J.; Maljaars, B.; Malygin, A.; Mantica, P.; Mantsinen, M.; Maraschek, M.; Marchand, B.; Marconato, N.; Marini, C.; Marinucci, M.; Markovic, T.; Marocco, D.; Marrelli, L.; Martin, Y.; Martin Solis, J. R.; Martitsch, A.; Mastrostefano, S.; Mattei, M.; Matthews, G.; Mavridis, M.; Mayoral, M. L.; Mazon, D.; McCarthy, P.; McAdams, R.; McArdle, G.; McCarthy, P.; McClements, K.; McDermott, R.; McMillan, B.; Meisl, G.; Merle, A.; Meyer, O.; Milanesio, D.; Militello, F.; Miron, I. G.; Mitosinkova, K.; Mlynar, J.; Mlynek, A.; Molina, D.; Molina, P.; Monakhov, I.; Morales, J.; Moreau, D.; Morel, P.; Moret, J. M.; Moro, A.; Moulton, D.; Müller, H. W.; Nabais, F.; Nardon, E.; Naulin, V.; Nemes-Czopf, A.; Nespoli, F.; Neu, R.; Nielsen, A. H.; Nielsen, S. K.; Nikolaeva, V.; Nimb, S.; Nocente, M.; Nouailletas, R.; Nowak, S.; Oberkofler, M.; Oberparleiter, M.; Ochoukov, R.; Odstrčil, T.; Olsen, J.; Omotani, J.; O'Mullane, M. G.; Orain, F.; Osterman, N.; Paccagnella, R.; Pamela, S.; Pangione, L.; Panjan, M.; Papp, G.; Papřok, R.; Parail, V.; Parra, F. I.; Pau, A.; Pautasso, G.; Pehkonen, S. P.; Pereira, A.; Perelli Cippo, E.; Pericoli Ridolfini, V.; Peterka, M.; Petersson, P.; Petrzilka, V.; Piovesan, P.; Piron, C.; Pironti, A.; Pisano, F.; Pisokas, T.; Pitts, R.; Ploumistakis, I.; Plyusnin, V.; Pokol, G.; Poljak, D.; Pölöskei, P.; Popovic, Z.; Pór, G.; Porte, L.; Potzel, S.; Predebon, I.; Preynas, M.; Primc, G.; Pucella, G.; Puiatti, M. E.; Pütterich, T.; Rack, M.; Ramogida, G.; Rapson, C.; Rasmussen, J. Juul; Rasmussen, J.; Rattá, G. A.; Ratynskaia, S.; Ravera, G.; Réfy, D.; Reich, M.; Reimerdes, H.; Reimold, F.; Reinke, M.; Reiser, D.; Resnik, M.; Reux, C.; Ripamonti, D.; Rittich, D.; Riva, G.; Rodriguez-Ramos, M.; Rohde, V.; Rosato, J.; Ryter, F.; Saarelma, S.; Sabot, R.; Saint-Laurent, F.; Salewski, M.; Salmi, A.; Samaddar, D.; Sanchis-Sanchez, L.; Santos, J.; Sauter, O.; Scannell, R.; Scheffer, M.; Schneider, M.; Schneider, B.; Schneider, P.; Schneller, M.; Schrittwieser, R.; Schubert, M.; Schweinzer, J.; Seidl, J.; Sertoli, M.; Šesnić, S.; Shabbir, A.; Shalpegin, A.; Shanahan, B.; Sharapov, S.; Sheikh, U.; Sias, G.; Sieglin, B.; Silva, C.; Silva, A.; Silva Fuglister, M.; Simpson, J.; Snicker, A.; Sommariva, C.; Sozzi, C.; Spagnolo, S.; Spizzo, G.; Spolaore, M.; Stange, T.; Stejner Pedersen, M.; Stepanov, I.; Stober, J.; Strand, P.; Šušnjara, A.; Suttrop, W.; Szepesi, T.; Tál, B.; Tala, T.; Tamain, P.; Tardini, G.; Tardocchi, M.; Teplukhina, A.; Terranova, D.; Testa, D.; Theiler, C.; Thornton, A.; Tolias, P.; Tophj, L.; Treutterer, W.; Trevisan, G. L.; Tripsky, M.; Tsironis, C.; Tsui, C.; Tudisco, O.; Uccello, A.; Urban, J.; Valisa, M.; Vallejos, P.; Valovic, M.; Van Den Brand, H.; Vanovac, B.; Varoutis, S.; Vartanian, S.; Vega, J.; Verdoolaege, G.; Verhaegh, K.; Vermare, L.; Vianello, N.; Vicente, J.; Viezzer, E.; Vignitchouk, L.; Vijvers, W.A.J.; Villone, F.; Viola, B.; Vlahos, L.; Voitsekhovitch, I.; Vondráček, P.; Vu, N. M.T.; Wagner, D.; Walkden, N.; Wang, N.; Wauters, T.; Weiland, M.; Weinzettl, V.; Westerhof, E.; Wiesenberger, M.; Willensdorfer, M.; Wischmeier, M.; Wodniak, I.; Wolfrum, E.; Yadykin, D.; Zagórski, R.; Zammuto, I.; Zanca, P.; Zaplotnik, R.; Zestanakis, P.; Zhang, W.; Zoletnik, S.; Zuin, M.

    2017-01-01

    Integrating the plasma core performance with an edge and scrape-off layer (SOL) that leads to tolerable heat and particle loads on the wall is a major challenge. The new European medium size tokamak task force (EU-MST) coordinates research on ASDEX Upgrade (AUG), MAST and TCV. This multi-machine

  3. Overview of progress in European medium sized tokamaks towards an integrated plasma-edge/wall solution

    DEFF Research Database (Denmark)

    Meyer, H.; Eich, T.; Beurskens, M.

    2017-01-01

    Integrating the plasma core performance with an edge and scrape-off layer (SOL) that leads to tolerable heat and particle loads on the wall is a major challenge. The new European medium size tokamak task force (EU-MST) coordinates research on ASDEX Upgrade (AUG), MAST and TCV. This multi-machine ...

  4. Edge plasma density reconstruction for fast monoenergetic lithium beam probing

    International Nuclear Information System (INIS)

    Sasaki, S.; Takamura, S.; Ueda, M.; Iguchi, H.; Fujita, J.; Kadota, K.

    1993-01-01

    Two different electron density reconstruction methods for 8-keV neutral lithium beam probing have been developed for the Compact Helical System (CHS). Density dependences on emission and ionization processes are included by using effective rate coefficients obtained from the collisional radiative model. Since the two methods differ in the way the local beam density in the plasma is determined, the methods have different applicable electron densities. The beam attenuation is calculated by iteration from the electron density profile in method I. In method II, the beam remainder at the observation point z is determined by integrating the Li I emission intensity from z toward the position of emission tail-off. At the emission tail-off, the fast lithium beam is completely attenuated. Selecting an appropriate method enables us to obtain edge electron density profile well inside the last closed flux surface for various ranges of plasma densities (10 12 --5x10 13 cm -3 ). The electron density profiles reconstructed by these two different methods are in good agreement with each other and are consistent with results from ruby laser Thomson scattering

  5. Performance improvement of magnetized coaxial plasma gun by magnetic circuit on a bias coil

    Science.gov (United States)

    Edo, Takahiro; Matsumoto, Tadafumi; Asai, Tomohiko; Kamino, Yasuhiro; Inomoto, Michiaki; Gota, Hiroshi

    2016-10-01

    A magnetized coaxial plasmoid accelerator has been utilized for compact torus (CT) injection to refuel into fusion reactor core plasma. Recently, CT injection experiments have been conducted on the C-2/C-2U facility at Tri Alpha Energy. In the series of experiments successful refueling, i.e. increased particle inventory of field-reversed configuration (FRC) plasma, has been observed. In order to improve the performance of CT injector and to refuel in the upgraded FRC device, called C-2W, with higher confinement magnetic field, magnetic circuit consisting of magnetic material onto a bias magnetic coil is currently being tested at Nihon University. Numerical work suggests that the optimized bias magnetic field distribution realizes the increased injection velocity because of higher conversion efficiency of Lorenz self force to kinetic energy. Details of the magnetic circuit design as well as results of the test experiment and field calculations will be presented and discussed.

  6. Edge and coupled core/edge transport modelling in tokamaks

    International Nuclear Information System (INIS)

    Lodestro, L.L.; Casper, T.A.; Cohen, R.H.

    1999-01-01

    Recent advances in the theory and modelling of tokamak edge, scrape-off-layer (SOL) and divertor plasmas are described. The effects of the poloidal E x B drift on inner/outer divertor-plate asymmetries within a 1D analysis are shown to be in good agreement with experimental trends; above a critical v ExB , the model predicts transitions to supersonic flow at the inboard midplane. 2D simulations show the importance of E x B flow in the private-flux region and of ∇ B-drifts. A theory of rough plasma-facing surfaces is given, predicting modifications to the SOL plasma. The parametric dependence of detached-plasma states in slab geometry has been explored; with sufficient pumping, the location of the ionization front can be controlled; otherwise only fronts near the plate or the X-point are stable. Studies with a more accurate Monte-Carlo neutrals model and a detailed non-LTE radiation-transport code indicate various effects are important for quantitative modelling. Detailed simulations of the DIII-D core and edge are presented; impurity and plasma flow are discussed and shown to be well modelled with UEDGE. (author)

  7. Edge and coupled core-edge transport modelling in tokamaks

    International Nuclear Information System (INIS)

    Lodestro, L.L.; Casper, T.A.; Cohen, R.H.

    2001-01-01

    Recent advances in the theory and modelling of tokamak edge, scrape-off-layer (SOL) and divertor plasmas are described. The effects of the poloidal ExB drift on inner/outer divertor-plate asymmetries within a 1D analysis are shown to be in good agreement with experimental trends; above a critical v ExB, the model predicts transitions to supersonic SOL flow at the inboard midplane. 2D simulations show the importance of ExB flow in the private-flux region and of ∇ B-drifts. A theory of rough plasma-facing surfaces is given, predicting modifications to the SOL plasma. The parametric dependence of detached-plasma states in slab geometry has been explored; with sufficient pumping, the location of the ionization front can be controlled; otherwise only fronts near the plate or the X-point are stable. Studies with a more accurate Monte-Carlo neutrals model and a detailed non-LTE radiation-transport code indicate various effects are important for quantitative modelling. Detailed simulations of the DIII-D core and edge are presented; impurity and plasma flow are discussed and shown to be well modelled with UEDGE. (author)

  8. Contamination effects on fixed-bias Langmuir probes

    Energy Technology Data Exchange (ETDEWEB)

    Steigies, C. T. [Institut fuer Experimentelle und Angewandte Physik, Christian-Albrechts-Universitaet zu Kiel, 24098 Kiel (Germany); Barjatya, A. [Department of Physical Sciences, Embry-Riddle Aeronautical University, Daytona Beach, Florida 32114 (United States)

    2012-11-15

    Langmuir probes are standard instruments for plasma density measurements on many sounding rockets. These probes can be operated in swept-bias as well as in fixed-bias modes. In swept-bias Langmuir probes, contamination effects are frequently visible as a hysteresis between consecutive up and down voltage ramps. This hysteresis, if not corrected, leads to poorly determined plasma densities and temperatures. With a properly chosen sweep function, the contamination parameters can be determined from the measurements and correct plasma parameters can then be determined. In this paper, we study the contamination effects on fixed-bias Langmuir probes, where no hysteresis type effect is seen in the data. Even though the contamination is not evident from the measurements, it does affect the plasma density fluctuation spectrum as measured by the fixed-bias Langmuir probe. We model the contamination as a simple resistor-capacitor circuit between the probe surface and the plasma. We find that measurements of small scale plasma fluctuations (meter to sub-meter scale) along a rocket trajectory are not affected, but the measured amplitude of large scale plasma density variation (tens of meters or larger) is attenuated. From the model calculations, we determine amplitude and cross-over frequency of the contamination effect on fixed-bias probes for different contamination parameters. The model results also show that a fixed bias probe operating in the ion-saturation region is affected less by contamination as compared to a fixed bias probe operating in the electron saturation region.

  9. Numerical investigation on lithium transport in the edge plasma of EAST real-time- Li-injection experiments in the frame of BOUT++

    Directory of Open Access Journals (Sweden)

    N.M. Li

    2017-08-01

    Full Text Available To study the transport of Li species in the plasma with real-time Li aerosol injection on EAST, a model has been developed by reducing Braginskii's equations, and implemented in the frame of BOUT++. The simulation results show that Li atoms propagate inwards since the Li injection, and their penetration depth depends on both the local plasma conditions along their path and initial injection velocity. It is also found that Li ions accumulate rapidly in the edge, and only a small fraction of Li species can transport cross the separatrix into the core. In the poloidal direction, Li ions drift swiftly downwards along the field lines, and transport much faster at the high field side than at the low field side. The interaction between background plasma and Li species plays a critical role in determining the edge plasma profile. It is found that real-time Li injection raises the plasma density in the pedestal region and reduces the plasma temperature, just as has been observed experimentally.

  10. Electrostatic Fluxes and Plasma Rotation in the Edge Region of EXTRAP-T2R

    Science.gov (United States)

    Serianni, G.; Antoni, V.; Bergsåker, H.; Brunsell, P.; Drake, J. R.; Spolaore, M.; Sätherblom, H. E.; Vianello, N.

    2001-10-01

    The EXTRAP-T2 reversed field pinch has undergone a significant reconstruction into the new T2R device. This paper reports the first measurements performed with Langmuir probes in the edge region of EXTRAP-T2R. The radial profiles of plasma parameters like electron density and temperature, plasma potential, electrical fields and electrostatic turbulence-driven particle flux are presented. These profiles are interpreted in a momentum balance model where finite Larmor radius losses occur over a distance of about two Larmor radii from the limiter position. The double shear layer of the E×B drift velocity is discussed in terms of the Biglari-Diamond-Terry theory of turbulence decorrelation.

  11. Influence of external resonant magnetic perturbation field on edge plasma of small tokamak HYBTOK-II

    Energy Technology Data Exchange (ETDEWEB)

    Hayashi, Y., E-mail: hayashi-yuki13@ees.nagoya-u.ac.jp [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Suzuki, Y.; Ohno, N. [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Okamoto, M. [Ishikawa National College of Technology, Kitachujo, Tsubata-cho, Kahoku-gun, Ishikawa 929-0392 (Japan); Kikuchi, Y. [University of Hyogo, 2167 Shosha, Himeji, Hyogo 671-2280 (Japan); Sakakibara, S.; Watanabe, K.; Takemura, Y. [National Institute for Fusion Science, 322-6 Oroshi-cho, Toki, Gifu 509-5292 (Japan)

    2015-08-15

    Radial profile of externally applied resonant magnetic perturbation (RMP) field with mode numbers of m = 6 and n = 2 in a small tokamak device HYBTOK-II have been investigated using a magnetic probe array, which is able to measure the radial profile of magnetic field perturbation induced by applying RMP. Results of RMP penetration into the plasma show that the RMP decreased toward the plasma center, while they were amplified around the resonant surface with a safety factor q = 3 due to the formation of magnetic islands. This suggests that RMP fields for controlling edge plasmas may trigger some kind of MHD instabilities. In addition, simulation results, based on a linearized four-field model, which agrees with the experimental ones, indicates that the penetration and amplification process of RMP strongly depend on a Doppler-shifted frequency between the RMP and plasma rotation.

  12. Transport in the plasma edge specific connection to the wall in the Tore Supra ergodic divertor experiments

    International Nuclear Information System (INIS)

    Grosman, A.; Ghendrih, P.; DeMichelis, C.; Monier-Garbet, P.; Vallet, J.C.; Capes, H.; Chatelier, M.; Geraud, A.; Goniche, M.; Grisolia, C.; Guilhem, D.; Harris, G.; Hess, W.; Nguyen, F.; Poutchy, L.; Samain, A.

    1992-01-01

    The ergodic divertor experiments in TORE SUPRA can be analysed along two main lines. The first one refers to the change of the heat and particle transport in the ergodized zone. This is especially true for the electron heat transport which is enhanced in the edge layer. But other distinctive features give evidence of the importance of the parallel connexion length between the plasma edge and the wall. The field lines, which are stochastic in the major part of the perturbed layer (10-15 cm) are such that, in the outermost layer (3 cm), the connexion topology is regular. This has obvious effects on the particle and power deposition, but also on the plasma parameters, and consequently influences the particle recycling and impurity shielding processes. The TORE SUPRA ergodic divertor experiments are reviewed in this framework

  13. DIII-D edge physics database

    International Nuclear Information System (INIS)

    Jong, R.A.; Porter, G.D.; Hill, D.N.; Buchenauer, D.A.; Bramson, G.

    1992-03-01

    We have developed an edge-physics database containing data for the plasma in the divertor region and the scrape-off layer (SOL) for the DIII-D tokamak. The database provides many of the parameters necessary to model the power flow to the divertor and other plasma processes in the plasma edge. It will also facilitate the analysis of DIII-D data for comparison with other divertor tokamaks. In addition to the core plasma parameters, edge-specific data are included in this database. Initial results using the database show good agreement between the pressure profiles measured by the Langmuir probes and those determined from the Thomson data for the inner strike point, but not for the outer strike point region. We also find that the ratio of separatrix density to average core density, as well as the in/out asymmetry in the SOL power at the divertor in DIII-D do not agree with values currently assumed in modeling the International Thermonuclear Experimental Reactor (ITER)

  14. Modelling of the edge of a fusion plasma towards ITER and experimental validation on JET

    International Nuclear Information System (INIS)

    Guillemaut, Christophe

    2013-01-01

    The conditions required for fusion can be obtained in tokamaks. In most of these machines, the plasma wall-interaction and the exhaust of heating power are handled in a cavity called divertor. However, the high heat flux involved and the limitations of the materials of the plasma facing components (PFC) are problematic. Many researches are done this field in the context of ITER which should demonstrate 500 MW of DT fusion power during ∼ 400 s. Such operations could bring the heat flux on the PFC too high to be handled. Its reduction to manageable levels relies on the divertor detachment involving the reduction of the particle and heat fluxes on the PFC. Unfortunately, this phenomenon is still difficult to model. The aim of this PhD is to use the modelling of JET experiments with EDGE2D-EIRENE to make some progress in the understanding of the detachment. The simulations reproduce the observed detachment in C and Be/W environments. The distribution of the radiation is well reproduced by the code for C but with some discrepancies in Be/W. The comparison between different sets of atomic physics processes shows that ion-molecule elastic collisions are responsible for the detachment seen in EDGE2D-EIRENE. This process provides good neutral confinement in the divertor and significant momentum losses at low temperature, when the plasma is recombining. Comparison between EDGE2D-EIRENE and SOLPS4.3 shows similar detachment trends but the importance of the ion-molecule elastic collisions is reduced in SOLPS4.3. Both codes suggest that any process capable of improving the neutral confinement in the divertor should help to improve the modelling of the detachment. (author) [fr

  15. Fast Low-to-High Confinement Mode Bifurcation Dynamics in a Tokamak Edge Plasma Gyrokinetic Simulation.

    Science.gov (United States)

    Chang, C S; Ku, S; Tynan, G R; Hager, R; Churchill, R M; Cziegler, I; Greenwald, M; Hubbard, A E; Hughes, J W

    2017-04-28

    Transport barrier formation and its relation to sheared flows in fluids and plasmas are of fundamental interest in various natural and laboratory observations and of critical importance in achieving an economical energy production in a magnetic fusion device. Here we report the first observation of an edge transport barrier formation event in an electrostatic gyrokinetic simulation carried out in a realistic diverted tokamak edge geometry under strong forcing by a high rate of heat deposition. The results show that turbulent Reynolds-stress-driven sheared E×B flows act in concert with neoclassical orbit loss to quench turbulent transport and form a transport barrier just inside the last closed magnetic flux surface.

  16. Effects of density gradients and fluctuations at the plasma edge on ECEI measurements at ASDEX Upgrade

    NARCIS (Netherlands)

    Vanovac, B.; Wolfrum, E.; Denk, S. S.; Mink, F.; Laggner, F. M.; Birkenmeier, G.; Willensdorfer, M.; Viezzer, E.; Hoelzl, M.; Freethy, S. J.; Dunne, M. G.; Lessig, A.; Luhmann, N. C.; ASDEX Upgrade team,; EUROfusion MST1 Team,

    2018-01-01

    Electron cyclotron emission imaging (ECEI) provides measurements of electron temperature (T-e) and its fluctuations (delta T-e). However, when measuring at the plasma edge, in the steep gradient region, radiation transport effects must be taken into account. It is shown that due to these effects,

  17. Effect of misaligned edges and magnetic field orientation on plasma deposition into gaps during ELMs on ITER

    Czech Academy of Sciences Publication Activity Database

    Dejarnac, Renaud; Komm, Michael; Gunn, J. P.; Pekarek, Z.

    2011-01-01

    Roč. 415, č. 1 (2011), S977-S980 ISSN 0022-3115. [International Conference on Plasma-Surface Interactions in Controlled Fusion (PSI19)/19th./. San Diego, 24.05.2010-28.05.2010] Institutional research plan: CEZ:AV0Z20430508 Keywords : Edge modeling * Plasma-wall interactions * ITER * Sheaths * ELMs Subject RIV: BG - Nuclear, Atomic and Molecular Physics, Colliders Impact factor: 2.052, year: 2011 http://www.sciencedirect.com/science/article/pii/S0022311510005520

  18. Grouping puts figure-ground assignment in context by constraining propagation of edge assignment.

    Science.gov (United States)

    Brooks, Joseph L; Brook, Joseph L; Driver, Jon

    2010-05-01

    Figure-ground organization involves the assignment of edges to a figural shape on one or the other side of each dividing edge. Established visual cues for edge assignment primarily concern relatively local rather than contextual factors. In the present article, we show that an assignment for a locally unbiased edge can be affected by an assignment of a remote contextual edge that has its own locally biased assignment. We find that such propagation of edge assignment from the biased remote context occurs only when the biased and unbiased edges are grouped. This new principle, whereby grouping constrains the propagation of figural edge assignment, emerges from both subjective reports and an objective short-term edge-matching task. It generalizes from moving displays involving grouping by common fate and collinearity, to static displays with grouping by similarity of edge-contrast polarity, or apparent occlusion. Our results identify a new contextual influence on edge assignment. They also identify a new mechanistic relation between grouping and figure-ground processes, whereby grouping between remote elements can constrain the propagation of edge assignment between those elements. Supplemental materials for this article may be downloaded from http://app.psychonomic-journals.org/content/supplemental.

  19. Heat loads on poloidal and toroidal edges of castellated plasma-facing components in COMPASS

    Science.gov (United States)

    Dejarnac, R.; Corre, Y.; Vondracek, P.; Gaspar, J.; Gauthier, E.; Gunn, J. P.; Komm, M.; Gardarein, J.-L.; Horacek, J.; Hron, M.; Matejicek, J.; Pitts, R. A.; Panek, R.

    2018-06-01

    Dedicated experiments have been performed in the COMPASS tokamak to thoroughly study the power deposition processes occurring on poloidal and toroidal edges of castellated plasma-facing components in tokamaks during steady-state L-mode conditions. Surface temperatures measured by a high resolution infra-red camera are compared with reconstructed synthetic data from a 2D thermal model using heat flux profiles derived from both the optical approximation and 2D particle-in-cell (PIC) simulations. In the case of poloidal leading edges, when the contribution from local radiation is taken into account, the parallel heat flux deduced from unperturbed, upstream measurements is fully consistent with the observed temperature increase at the leading edges of various heights, respecting power balance assuming simple projection of the parallel flux density. Smoothing of the heat flux deposition profile due to finite ion Larmor radius predicted by the PIC simulations is found to be weak and the power deposition on misaligned poloidal edges is better described by the optical approximation. This is consistent with an electron-dominated regime associated with a non-ambipolar parallel current flow. In the case of toroidal gap edges, the different contributions of the total incoming flux along the gap have been observed experimentally for the first time. They confirm the results of recent numerical studies performed for ITER showing that in specific cases the heat deposition does not necessarily follow the optical approximation. Indeed, ions can spiral onto the magnetically shadowed toroidal edge. Particle-in-cell simulations emphasize again the role played by local non-ambipolarity in the deposition pattern.

  20. EFFECT OF THE B DRIFT DIRECTION ON PLASMA EDGE PROPERTIES AND THEL-H TRANSITION ON DIII-D

    Energy Technology Data Exchange (ETDEWEB)

    T.N. CARLSTROM; R.J. GROEBNER; G.R. McKEE; R.A. MOYER; T.L. RHODES; J.C. ROST; G.D. PORTER X.Q. XU; W.M. NEVINS

    2002-07-01

    The power threshold for the L-H transition, P{sub TH}, is low when the ion {del}B drift is toward the X-point and increases significantly when it is away from the X-point. In order to study the cause of this effect, we have compared lower single-null (LSN) discharges with upper single-null (USN) discharges where the ion {del}B drift direction is down in both cases. Since many plasma parameters change with input power, we have made comparisons at the same power level (PTOT=2.3 MW). For these experiments, the LSN discharge is just below PTH (2.7 MW) and the USN discharge is far from P{sub TH} (6.8 MW). We have measured various properties of the edge plasma in an attempt to identify changes that may be responsible for the difference in P{sub TH} for the two cases. The equilibrium flux surfaces and diagnostic measurement locations are shown. The most pronounced difference is the reversal and the increased shear in the poloidal group velocity of the density fluctuations near the plasma edge [1]. These results complement a previous study where the plasma configuration was held fixed and the toroidal field was reversed [2]. In that study, differences in the divertor and X-point plasma were measured. In the present work, the X-point could not be located in the range of the divertor Thomson scattering diagnostic due to top/bottom symmetry issues, and those measurements are not available.

  1. Properties of the edge plasma in the rebuilt Extrap-T2R reversed field pinch experiment

    International Nuclear Information System (INIS)

    Vianello, N; Spolaore, M; Serianni, G; Bergsaker, H; Antoni, V; Drake, J R

    2002-01-01

    The edge region of the rebuilt Extrap-T2R reversed field pinch experiment has been investigated using Langmuir probes. Radial profiles of main plasma parameters are obtained and compared with those of the previous device Extrap-T2. The spontaneous setting up of a double shear layer of ExB toroidal velocity is confirmed. The particle flux induced by electrostatic fluctuations is calculated and the resulting effective diffusion coefficient is consistent with the Bohm estimate. A close relationship between electrostatic fluctuations at the edge and non-linear coupling of MHD modes in the core is found

  2. Properties of the edge plasma in the rebuilt Extrap-T2R reversed field pinch experiment

    Science.gov (United States)

    Vianello, N.; Spolaore, M.; Serianni, G.; Bergsåker, H.; Antoni, V.; Drake, J. R.

    2002-12-01

    The edge region of the rebuilt Extrap-T2R reversed field pinch experiment has been investigated using Langmuir probes. Radial profiles of main plasma parameters are obtained and compared with those of the previous device Extrap-T2. The spontaneous setting up of a double shear layer of E×B toroidal velocity is confirmed. The particle flux induced by electrostatic fluctuations is calculated and the resulting effective diffusion coefficient is consistent with the Bohm estimate. A close relationship between electrostatic fluctuations at the edge and non-linear coupling of MHD modes in the core is found.

  3. Direct measurement of the plasma potential in the edge of ASDEX Upgrade using a self emitting probe

    International Nuclear Information System (INIS)

    Rohde, V.; Laux, M.; Bachmann, P.; Herrmann, A.; Weinlich, M.

    1997-01-01

    In this paper we present first measurements of the plasma potential close to the separatrix in ASDEX Upgrade using a self emitting tip. The probe was inserted into the edge plasma of AUG using the midplane manipulator. Assuming Maxwellian plasmas, the observations agree with the predicted voltage drop in the plasma sheath, V pl ps -V fl =2.5T e , where V pl ps is the plasma potential at the presheath boundary and V fl the floating potential. Applying this technique a rapid change of the plasma potential was observed close to the separatrix during Ohmic discharges. From the gradient we derive a radial electric field E r of about -5 kV/m close to separatrix. Further out the field strength changes sign and we find up to +7 kV/m in the SOL. (orig.)

  4. -3000 V dc bias Ti oxidation by inductively coupled plasma

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; Lopez-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Pena-Eguiluz, R; Munoz-Castro, A E; De la Piedad-Beneitez, A; De la Rosa-Vazquez, J

    2008-01-01

    Broadening the outer oxidized layer of titanium by means of plasmas commands considerable interest in the biomedical research area due to its potential in human biocompatibility enhancement. Some early results of titanium substrate superficial oxidation experiments which have been conducted in a cylindrical vessel inductively coupled to a 13.56 MHz RF generator with a 500 W output are presented. The oxidation process was carried out in a 20 % oxygen and 80 % argon mixture at work pressures in the 5x10 -3 -1 mbar range, while the samples were dc biased down to -3000 V. The substrate temperature appears to be directly dependent on this voltage, reaching 685 deg. C at the maximum bias when a diffusive oxidation process gives rise to the TiO 2 and α-TiO rutile phases. These were characterized by means of x-ray diffraction and scanning electron microscopy revealing atomic percentage concentrations of oxygen, with respect to those of titanium, between 68 and 13 at.%. The optimum modified layer depth reached 5 μm at a 5x10 -2 mbar work pressure.

  5. Simulations of drift resistive ballooning L-mode turbulence in the edge plasma of the DIII-D tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, B. I.; Umansky, M. V.; Nevins, W. M.; Makowski, M. A. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Boedo, J. A.; Rudakov, D. L. [University of California, San Diego, San Diego, California 92093 (United States); McKee, G. R.; Yan, Z. [University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States); Groebner, R. J. [General Atomics, P.O. Box 85608, San Diego, California 92186 (United States)

    2013-05-15

    Results from simulations of electromagnetic drift-resistive ballooning turbulence for tokamak edge turbulence in realistic single-null geometry are reported. The calculations are undertaken with the BOUT three-dimensional fluid code that solves Braginskii-based fluid equations [X. Q. Xu and R. H. Cohen, Contrib. Plasma Phys. 36, 158 (1998)]. The simulation setup models L-mode edge plasma parameters in the actual magnetic geometry of the DIII-D tokamak [J. L. Luxon et al., Fusion Sci. Technol. 48, 807 (2002)]. The computations track the development of drift-resistive ballooning turbulence in the edge region to saturation. Fluctuation amplitudes, fluctuation spectra, and particle and thermal fluxes are compared to experimental data near the outer midplane from Langmuir probe and beam-emission-spectroscopy for a few well-characterized L-mode discharges in DIII-D. The simulations are comprised of a suite of runs in which the physics model is varied to include more fluid fields and physics terms. The simulations yield results for fluctuation amplitudes, correlation lengths, particle and energy fluxes, and diffusivities that agree with measurements within an order of magnitude and within factors of 2 or better for some of the data. The agreement of the simulations with the experimental measurements varies with respect to including more physics in the model equations within the suite of models investigated. The simulations show stabilizing effects of sheared E × B poloidal rotation (imposed zonal flow) and of lower edge electron temperature and density.

  6. Development of a power electrode for plasma biasing on RFX

    International Nuclear Information System (INIS)

    Desideri, D.; Lorenzi, A. de; Zaccaria, P.

    1999-01-01

    A movable power electrode has been developed on the RFX experiment to modify the radial electric field at the edge of the plasma configuration. The electrode insertion head is a mushroom shaped limiter made of a carbon-carbon composite, and boron nitride is used as insulating material to be exposed to the plasma. The power electrode is designed to carry a 10 kA impulsive current and is insulated for 10 kV DC. The current into the electrode is driven by a power supply based on capacitor banks, and protective actions to cope with fault conditions have been implemented. The design of the electrode supporting structure has been done by using 3D finite element analyses, performed to evaluate the dynamic response of the system subjected to impulsive electromagnetic loads. The system has been used on the RFX experiment, showing the expected capability and flexibility. The current and voltage electrode waveforms are reported and discussed as far as the experimental results are concerned. Displacements of the electrode stiffening structure under electromagnetic load have been measured and compared to the numerical results. (orig.)

  7. Recycling source terms for edge plasma fluid models and impact on convergence behaviour of the BRAAMS 'B2' code

    International Nuclear Information System (INIS)

    Maddison, G.P.; Reiter, D.

    1994-02-01

    Predictive simulations of tokamak edge plasmas require the most authentic description of neutral particle recycling sources, not merely the most expedient numerically. Employing a prototypical ITER divertor arrangement under conditions of high recycling, trial calculations with the 'B2' steady-state edge plasma transport code, plus varying approximations or recycling, reveal marked sensitivity of both results and its convergence behaviour to details of sources incorporated. Comprehensive EIRENE Monte Carlo resolution of recycling is implemented by full and so-called 'shot' intermediate cycles between the plasma fluid and statistical neutral particle models. As generally for coupled differencing and stochastic procedures, though, overall convergence properties become more difficult to assess. A pragmatic criterion for the 'B2'/EIRENE code system is proposed to determine its success, proceeding from a stricter condition previously identified for one particular analytic approximation of recycling in 'B2'. Certain procedures are also inferred potentially to improve their convergence further. (orig.)

  8. Electrostatic fluxes and plasma rotation in the edge region of EXTRAP-T2R

    International Nuclear Information System (INIS)

    Serianni, G.; Antoni, V.; Bergsaaker, H.; Brunsell, P.; Drake, J.R.; Spolaore, M.; Saetherblom, H.E.; Vianello, N.

    2001-01-01

    The EXTRAP-T2 reversed field pinch has undergone a significant reconstruction into the new T2R device. This paper reports the first measurements performed with Langmuir probes in the edge region of EXTRAP-T2R. The radial profiles of plasma parameters like electron density and temperature, plasma potential, electrical fields and electrostatic turbulence-driven particle flux are presented. These profiles are interpreted in a momentum balance model where finite Larmor radius losses occur over a distance of about two Larmor radii from the limiter position. The double shear layer of the ExB drift velocity is discussed in terms of the Biglari-Diamond-Terry theory of turbulence decorrelation. (author)

  9. Suppression of large edge-localized modes in high-confinement DIII-D plasmas with a stochastic magnetic boundary.

    Science.gov (United States)

    Evans, T E; Moyer, R A; Thomas, P R; Watkins, J G; Osborne, T H; Boedo, J A; Doyle, E J; Fenstermacher, M E; Finken, K H; Groebner, R J; Groth, M; Harris, J H; La Haye, R J; Lasnier, C J; Masuzaki, S; Ohyabu, N; Pretty, D G; Rhodes, T L; Reimerdes, H; Rudakov, D L; Schaffer, M J; Wang, G; Zeng, L

    2004-06-11

    A stochastic magnetic boundary, produced by an applied edge resonant magnetic perturbation, is used to suppress most large edge-localized modes (ELMs) in high confinement (H-mode) plasmas. The resulting H mode displays rapid, small oscillations with a bursty character modulated by a coherent 130 Hz envelope. The H mode transport barrier and core confinement are unaffected by the stochastic boundary, despite a threefold drop in the toroidal rotation. These results demonstrate that stochastic boundaries are compatible with H modes and may be attractive for ELM control in next-step fusion tokamaks.

  10. 2D Doppler backscattering using synthetic aperture microwave imaging of MAST edge plasmas

    Science.gov (United States)

    Thomas, D. A.; Brunner, K. J.; Freethy, S. J.; Huang, B. K.; Shevchenko, V. F.; Vann, R. G. L.

    2016-02-01

    Doppler backscattering (DBS) is already established as a powerful diagnostic; its extension to 2D enables imaging of turbulence characteristics from an extended region of the cut-off surface. The Synthetic Aperture Microwave Imaging (SAMI) diagnostic has conducted proof-of-principle 2D DBS experiments of MAST edge plasma. SAMI actively probes the plasma edge using a wide (±40° vertical and horizontal) and tuneable (10-34.5 GHz) beam. The Doppler backscattered signal is digitised in vector form using an array of eight Vivaldi PCB antennas. This allows the receiving array to be focused in any direction within the field of view simultaneously to an angular range of 6-24° FWHM at 10-34.5 GHz. This capability is unique to SAMI and is a novel way of conducting DBS experiments. In this paper the feasibility of conducting 2D DBS experiments is explored. Initial observations of phenomena previously measured by conventional DBS experiments are presented; such as momentum injection from neutral beams and an abrupt change in power and turbulence velocity coinciding with the onset of H-mode. In addition, being able to carry out 2D DBS imaging allows a measurement of magnetic pitch angle to be made; preliminary results are presented. Capabilities gained through steering a beam using a phased array and the limitations of this technique are discussed.

  11. Gradient cuts and extremal edges in relative depth and figure-ground perception.

    Science.gov (United States)

    Ghose, Tandra; Palmer, Stephen E

    2016-02-01

    Extremal edges (EEs) are borders consisting of luminance gradients along the projected edge of a partly self-occluding curved surface (e.g., a cylinder), with equiluminant contours (ELCs) that run approximately parallel to that edge. Gradient cuts (GCs) are similar luminance gradients with ELCs that intersect (are "cut" by) an edge that could be due to occlusion. EEs are strongly biased toward being seen as closer/figural surfaces (Palmer & Ghose, Psychological Science, 19(1), 77-83, 2008). Do GCs produce a complementary bias toward being seen as ground? Experiment 1 shows that, with EEs on the opposite side, GCs produce a ground bias that increases with increasing ELC angles between ELCs and the shared edge. Experiment 2 shows that, with flat surfaces on the opposite side, GCs do not produce a ground bias, suggesting that more than one factor may be operating. We suggest that two partially dissociable factors may operate for curved surfaces-ELC angle and 3-D surface convexity-that reinforce each other in the figural cues of EEs but compete with each other in GCs. Moreover, this figural bias is modulated by the presence of EEs and GCs, as specified by the ELC angle between ELCs and the shared contour.

  12. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  13. Effect of ion temperature gradient driven turbulence on the edge-core connection for transient edge temperature sink

    International Nuclear Information System (INIS)

    Miyato, Naoaki

    2014-01-01

    Ion temperature gradient (ITG) driven turbulence simulation for a transient edge temperature sink localized in the poloidal plane is performed using a global Landau-fluid code in the electrostatic limit. Pressure perturbations with (m, n) = (±1, 0) are induced by the edge sink, where m and n are poloidal and toroidal mode numbers, respectively. It was found in the previous simulation that the nonlinear dynamics of these perturbations are responsible for the nonlocal plasma response/transport connecting edge and core in a toroidal plasma. Present simulation shows, however, that the ITG turbulence in the core region dissipates the large-scale (m, n) = (±1, 0) perturbations and weakens the edge-core connection observed in the previous simulation. (author)

  14. Discharge optimization and the control of edge stability

    International Nuclear Information System (INIS)

    Nave, M.F.F.; Lomas, P.J.; Huysmans, G.T.A.

    1999-01-01

    Discharge optimization for improving MHD stability of both core and edge was essential for the achievement of record fusion power discharges, in the ELM-free hot ion H mode regime, in the recent JET DT operation. The techniques used to increase edge stability are described. In particular the successful technique of current rampdown used to suppress the outer mode is reported. The increased stability of the outer mode by decreasing the edge current density confirms its identification as an n = 1 external kink. Decreasing the plasma current, however, decreases the ELM-free period, which is consistent with stability calculations that show an earlier onset of the ballooning limit. In order to increase external kink stability without causing a deterioration in the ELM-free period, a compromise was achieved by using plasma current rampdown, while working at the highest plasma current values possible. Results from a plasma current scan show that at the time of occurrence of the first giant ELM, the plasma stored energy, as well as the pressure measured at the top of the edge pedestal increase linearly with plasma current, for a given plasma configuration and power. This is consistent with models of the edge transport barrier, where the transport barrier width is proportional to the ion (or fast ion) poloidal Larmor radius. The MHD observations in DT and deuterium only discharges were found to be similar. Thus the experience gained on the control of MHD modes in deuterium plasmas could be fully exploited in the DT campaign. (author)

  15. Investigation of E x B transport with a multi-electrode probe in the plasma boundary of TEXTOR

    International Nuclear Information System (INIS)

    Ivanov, R.S.; Moyer, R.A.; Nieuwenhove, R. van; Oost, G. van; Fuchs, G.; Hoethker, K.; Samm, U.

    1991-01-01

    A movable multi-element Langmuir probe was implemented in TEXTOR in order to study properties of the edge and scrape-off plasma. The probe has five graphite electrode pins allowing the simultaneous measurement of main parameters such as plasma densities, electron temperatures, floating potentials, poloidal and radial electric fields. Both time-averaged and fluctuating quantities have been considered in order to evaluate the DC and turbulence-driven cross-field particle fluxes. The spectral analysis of the fluctuating floating potentials at spatially separated probe pins allows to determine the velocity associated with the rotations of the boundary plasma. The investigations have been focused on the variations of plasma boundary properties in plasmas with pure ohmic heating as well as auxiliary heating (ICRH). Special attention has been paid to the change of transport properties with the transition to a detached plasma. In particular, a significant reduction of the poloidal phase velocity at the limited edge has been observed for detached plasmas. Preliminary data on physical effects near the plasma boundary, which occur when the toroidal belt limiter (ALT-II) is biased, are reported. (orig.)

  16. Edge safety factor at the onset of plasma disruption during VDEs in JT-60U

    International Nuclear Information System (INIS)

    Sugihara, Masayoshi; Lukash, Victor; Khayrutdinov, Rustam; Neyatani, Yuzuru

    2004-01-01

    Detailed examinations of the value of the edge safety factor (q a ) at the onset of thermal quench (TQ) during intentional vertical displacement event (VDE) experiments in JT-60U are carried out using two different reconstruction methods, FBI/FBEQU and DINA. The results from the two methods are very similar and show that the TQ occurs when the q a value is in the range between 1.5 and 2. This result suggests that the predictive simulations for VDEs should be performed within this range of q to examine the subsequent differences in the halo currents, plasma movement and other plasma behaviour during the current quench

  17. Simulations of phenomena related to edge transport in tokamak fusion plasmas

    International Nuclear Information System (INIS)

    Konzett, S.

    2011-01-01

    This thesis investigates turbulence in a tokamak fusion plasma using numerical simulations. The fluid turbulence code ATTEMPT, which computes the drift dynamics of ions and electrons in electromagnetic fields, is applied to investigate three physical effects which are motivated by recent experimental findings. The first part shows that the statistics of drift fluid turbulence are largely unaffected by the presence of rational magnetic surfaces for typical edge parameter regimes. The second part contains an analysis of the dependence of correlation lengths on various physical parameters. A systematic approach reveals the impact of plasma parameters - which change in the transition from L to H-mode - on parallel, radial and perpendicular correlation lengths. In the last part of the thesis a new flux surface geometry is implemented in the ATTEMPT code. The modified geometry models the onset of the change in magnetic topology near a magnetic X-point. Computations show that turbulent fluctuations are reduced in an X-point distorted flux surface geometry, and the spectral structure of turbulence is altered substantially. (author) [de

  18. Linking the plasma code EDGE2D to the neutral code NIMBUS for a self consistent transport model of the boundary

    International Nuclear Information System (INIS)

    De Matteis, A.

    1987-01-01

    This report describes the fully automatic linkage between the finite difference, two-dimensional code EDGE2D, based on the classical Braginskii partial differential equations of ion transport, and the Monte Carlo code NIMBUS, which solves the integral form of the stationary, linear Boltzmann equation for neutral transport in a plasma. The coupling has been performed for the real poloidal geometry of JET with two belt-limiters and real magnetic configurations with or without a single-null point. The new integrated system starts from the magnetic geometry computed by predictive or interpretative equilibrium codes and yields the plasma and neutrals characteristics in the edge

  19. Edge plasma density convection during ICRH on Tore Supra

    International Nuclear Information System (INIS)

    Becoulet, M.; Colas, L.; Gunn, J.; Ghendrih, Ph.; Becoulet, A.; Pecoul, S.; Heuraux, S.

    2001-11-01

    The 2D edge plasma density distribution around ion cyclotron resonance heating (ICRH) antennae is studied experimentally and numerically in the tokamak Tore Supra (TS). A local density decrease in front of the loaded ICRH antenna ('pump-out' effect) is demonstrated by Langmuir probe measurements in a low recycling regime. An up-down asymmetry in the heat-flux and in the antenna erosion is also observed, and is associated with poloidal variations of the local density. These density redistributions are ascribed to an ExB convection process linked with RF-sheaths. To assess this interpretation, the 2D transport code CELLS was developed for modeling the density distribution near an antenna. The code takes into account perpendicular diffusion, parallel transport and convection in RF-sheath-driven potentials given by the 3D-antenna code ICANT. The strong density differences obtained in simulations reproduce up-down asymmetries of the heat fluxes. (authors)

  20. Improved numerical grid generation techniques for the B2 edge plasma code

    International Nuclear Information System (INIS)

    Stotler, D.P.; Coster, D.P.

    1992-06-01

    Techniques used to generate grids for edge fluid codes such as B2 from numerically computed equilibria are discussed. Fully orthogonal, numerically derived grids closely resembling analytically prescribed meshes can be obtained. But, the details of the poloidal field can vary, yielding significantly different plasma parameters in the simulations. The magnitude of these differences is consistent with the predictions of an analytic model of the scrape-off layer. Both numerical and analytic grids are insensitive to changes in their defining parameters. Methods for implementing nonorthogonal boundaries in these meshes are also presented; they differ slightly from those required for fully orthogonal grids

  1. Fusion oriented plasma research in Bangladesh: theoretical study on low-frequency dust modes and edge plasma control experiment in tandem mirror

    International Nuclear Information System (INIS)

    Khairul Islam, Md.; Salimullah, Mohammed; Yatsu, Kiyoshi; Nakashima, Yousuke; Ishimoto, Yuki

    2003-01-01

    A collaboration with a Japanese institute in the field of plasma-wall interaction and dusty plasma has been formed in order to understand the physical properties of edge plasma. Results of the theoretical study on dusty plasma and the experimental study on GAMMA10 plasma are presented in this paper. Part A deals with the results obtained from the theoretical investigation of the properties and excitation of low-frequency electrostatic dust modes, e.g. the dust-acoustic (DA) and dust-lower-hybrid (DLH) waves, using the fluid models. In this study, dust grain charge is considered as a dynamic variable in streaming magnetized dusty plasmas with a background of neutral atoms. Dust charge fluctuation, collisional and streaming effects on DA and DLH modes are discussed. Part B deals with the results of the plasma control experiment in a non-axisymmetric magnetic field region of the anchor cell of GAMMA10. The observations, which indicate the comparatively low-temperature plasma formation in the anchor cell, are explained from the viewpoint of enhanced outgassing from the wall due to the interaction of the drifted-out ions. The drifting of ions is thought to be due to the effect of a local non-axisymmetric magnetic field. Experimental results on the control of the wall-plasma interaction by covering the flux tube of a non-axisymmetric magnetic field region by conducting plates are given. Possible influences of the asymmetric magnetic field and conducting plates on the GAMMA10 plasma parameters are discussed. (author)

  2. Research project AUS-10370/CF: electron impact ionization and surface induced reactions of edge plasma constituents

    International Nuclear Information System (INIS)

    Maerk, T.D.

    1999-01-01

    In order to better understand elementary reactions which are taking place at the plasma edge of thermonuclear fusion devices, three areas of research were persuaded: I) Experimental studies about electron ionization of neutrals and ions and electron attachment to molecules, II) Theoretical studies about electron ionisation of neutrals and ions and III) Reactive interaction of molecular ions with surfaces

  3. Two-dimensional imaging of edge plasma electron density and temperature by the passive helium emission ratio technique in TJ-II

    International Nuclear Information System (INIS)

    De la Cal, E; Guasp, J

    2011-01-01

    An intensified visible camera looks tangentially at a poloidal limiter where helium recycles, acting as a wide neutral source, and the atomic line emission due to plasma excitation becomes strongly localized there. It includes a bifurcated coherent bundle, each end with a different interference filter to select helium atomic lines, so that two simultaneous filtered images are captured in one single frame. The object of the proposed technique is to apply the well-known helium-beam line-ratio technique to obtain from selected filtered images the two-dimensional (2D) edge plasma n e and T e . The code EIRENE was used to demonstrate that the helium emission from recycling neutrals dominates the emission for the lines of view passing close above the limiter. Since these chords are nearly parallel to magnetic field lines in the emission region, the images can be approximated to poloidal cuts of the plasma emission within the tolerances discussed in the paper. The absolute radial profiles of T e and n e obtained with the method presented here were checked in the TJ-II stellarator to be in relatively good agreement with other diagnostics within a wide range of plasma parameters for both ECRH and NBI plasmas. The method is finally used to get 2D images of edge plasma T e and n e .

  4. A fast spatial scanning combination emissive and mach probe for edge plasma diagnosis

    International Nuclear Information System (INIS)

    Lehmer, R.D.; LaBombard, B.; Conn, R.W.

    1989-04-01

    A fast spatially scanning emissive and mach probe has been developed for the measurement of plasma profiles in the PISCES facility at UCLA. A pneumatic cylinder is used to drive a multiple tip probe along a 15cm stroke in less than 400msec, giving single shot profiles while limiting power deposition to the probe. A differentially pumped sliding O-ring seal allows the probe to be moved between shots to infer two and three dimensional profiles. The probe system has been used to investigate the plasma potential, density, and parallel mach number profiles of the presheath induced by a wall surface and scrape-off-layer profile modifications in biased limiter simulation experiments. Details of the hardware, data acquisition electronics, and tests of probe reliability are discussed. 30 refs., 24 figs

  5. Front-side biasing of n-in-p silicon strip detectors

    CERN Document Server

    Baselga Bacardit, Marta; Dierlamm, Alexander Hermann; Dragicevic, Marko Gerhart; Konig, Axel; Pree, Elias; Metzler, Marius

    2018-01-01

    Front-side biasing is an alternative method to bias a silicon sensor. Instead of directly applying high voltage to the back-side, one can exploit the conductive properties of the edge region to bias a detector exclusively via top-side connections. This option can be beneficial for the detector design and might help to facilitate the assembly process of modules. The effective bias voltage is affected by the resistance of the edge region and the sensor current. The measurements of n-in-p sensors performed to qualify this concept have shown that the voltage drop emerging from this resistance is negligible before irradiation. After irradiation, however, the resistivity of the edge region increases with fluence and saturates in the region of 10$^{7}\\,\\Omega$ at a fluence of 1$\\,\\cdot\\,10^{15}\\,$n$_{\\textrm{eq}}$cm$^{-2}$. The measurements are complemented by TCAD simulations and interpretations of the observed effects.

  6. Kinetic and transport theory near the tokamak edge

    International Nuclear Information System (INIS)

    Hazeltine, R.D.; Catto, P.J.

    1995-12-01

    Conventional transport orderings employed in the core of a tokamak plasma allow large divergence-free flows in flux surfaces, but only weak radial flows. However, alternate orderings are required in the edge region where radial diffusion must balance the rapid loss due to free-streaming to divertor plates or limiters. Kinetic equations commonly used to study the plasma core do not allow such a balance and are, therefore, inapplicable in the plasma edge. Similarly, core transport formulae cannot be extended to the edge region without major, qualitative alteration. Here the authors address the necessary changes. By deriving and solving a novel kinetic equation, they construct distinctive collisional transport laws for the plasma edge. They find that their edge ordering naturally retains the radial diffusion and parallel flow of particles, momentum and heat to lowest order in the conservation equations. To higher order they find a surprising form for parallel transport in the scrape-off layer, in which the parallel flow of particles and heat are driven by a combination of the conventional gradients, viscosity, and new terms involving radial derivatives. The new terms are not relatively small, and could affect understanding of limiter and divertor operation

  7. Measurement of electron emission due to energetic ion bombardment in plasma source ion implantation

    Science.gov (United States)

    Shamim, M. M.; Scheuer, J. T.; Fetherston, R. P.; Conrad, J. R.

    1991-11-01

    An experimental procedure has been developed to measure electron emission due to energetic ion bombardment during plasma source ion implantation. Spherical targets of copper, stainless steel, graphite, titanium alloy, and aluminum alloy were biased negatively to 20, 30, and 40 kV in argon and nitrogen plasmas. A Langmuir probe was used to detect the propagating sheath edge and a Rogowski transformer was used to measure the current to the target. The measurements of electron emission coefficients compare well with those measured under similar conditions.

  8. Edge plasma density convection during ICRH on Tore Supra

    Energy Technology Data Exchange (ETDEWEB)

    Becoulet, M.; Colas, L.; Gunn, J.; Ghendrih, Ph.; Becoulet, A. [Association Euratom-CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee; Pecoul, S.; Heuraux, S. [Nancy-1 Univ., 54 (France). Lab. de Physique des Milieux Ionises

    2001-11-01

    The 2D edge plasma density distribution around ion cyclotron resonance heating (ICRH) antennae is studied experimentally and numerically in the tokamak Tore Supra (TS). A local density decrease in front of the loaded ICRH antenna ('pump-out' effect) is demonstrated by Langmuir probe measurements in a low recycling regime. An up-down asymmetry in the heat-flux and in the antenna erosion is also observed, and is associated with poloidal variations of the local density. These density redistributions are ascribed to an ExB convection process linked with RF-sheaths. To assess this interpretation, the 2D transport code CELLS was developed for modeling the density distribution near an antenna. The code takes into account perpendicular diffusion, parallel transport and convection in RF-sheath-driven potentials given by the 3D-antenna code ICANT. The strong density differences obtained in simulations reproduce up-down asymmetries of the heat fluxes. (authors)

  9. ICRF/edge physics research on TEXTOR

    International Nuclear Information System (INIS)

    Oost, G. van; Nieuwenhove, R. van; Koch, R.; Messiaen, A.M.; Vandenplas, P.E.; Weynants, R.R.; Dippel, K.H.; Finken, K.H.; Lie, Y.T.; Pospieszczyk, A.; Samm, U.; Schweer, B.; Conn, R.W.; Corbett, W.J.; Goebel, D.M.; Moyer, R.A.; California Univ., Los Angeles

    1990-01-01

    Extensive investigations of ICRF-induced effects on the edge plasma and on plasma-wall interaction were conducted on TEXTOR under different wall- and limiter as well as plasma- and heating conditions. Several strong effects of ICRF on the edge parameters were observed on TEXTOR, such as density rise, instantaneous electron heating, modification of SOL profiles, influx of ligth and/or heavy impurities, increased heat flux to the limiters, and production of energetic ions in the SOL. The fast response time of some of the changes and the observation of a maximum in the SOL profile of electron temperature, heat flux and metal sputtering clearly demonstrated that RF power is directly absorbed in the SOL. Estimates of this power amount to several percent of the total RF power launched into the plasma. Plasma-wall interaction during ICRF was substantially reduced by an appropriate choice of the wall conditioning procedures (wall carbonization with liner at 400degC or, above all, boronization). As a result record low values of the radiated power fraction were achieved during ICRF and long pulse, high power, low impurity operation was possible. Further improvement was obtained by ICRF antenna phasing. When ICRF power is coupled to the plasma, several effects on the core and edge plasma influence the operation of the toroidal pump limiter ALT-II. Experimental and theoretical studies were performed to elucidate the mechanisms responsible for the ICRF-induced effects, including the propagation of plasma waves in the edge plasma and nonlinear phenomena such as parametric decay, important changes in the DC current between the antenna structure and the liner due to the sheath effect at the antennas, and the generation of waves at harmonics of the RF generator frequency. Radial profiles of the DC radial and poloidal electric fields as well as a localized RF electric field structure were measured in the SOL using a fast scanning probe. (orig.)

  10. Role of impurity dynamics in resistivity-gradient-driven turbulence and tokamak edge plasma phenomena

    International Nuclear Information System (INIS)

    Hahm, T.S.; Diamond, P.H.; Terry, P.W.; Garcia, L.; Carreras, B.A.

    1986-03-01

    The role of impurity dynamics in resistivity gradient driven turbulence is investigated in the context of modeling tokamak edge plasma phenomena. The effects of impurity concentration fluctuations and gradients on the linear behavior of rippling instabilities and on the nonlinear evolution and saturation of resistivity gradient driven turbulence are studied both analytically and computationally. At saturation, fluctuation levels and particle and thermal diffusivities are calculated. In particular, the mean-square turbulent radial velocity is given by 2 > = (E 0 L/sub s/B/sub z/) 2 (L/sub/eta/ -1 + L/sub z -1 ) 2 . Thus, edged peaked impurity concentrations tend to enhance the turbulence, while axially peaked concentrations tend to quench it. The theoretical predictions are in semi-quantitative agreement with experimental results from the TEXT, Caltech, and Tosca tokamaks. Finally, a theory of the density clamp observed during CO-NBI on the ISX-B tokamak is proposed

  11. Edge Simulation Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Krasheninnikov, Sergei I. [Univ. of California, San Diego, CA (United States); Angus, Justin [Univ. of California, San Diego, CA (United States); Lee, Wonjae [Univ. of California, San Diego, CA (United States)

    2018-01-05

    The goal of the Edge Simulation Laboratory (ESL) multi-institutional project is to advance scientific understanding of the edge plasma region of magnetic fusion devices via a coordinated effort utilizing modern computing resources, advanced algorithms, and ongoing theoretical development. The UCSD team was involved in the development of the COGENT code for kinetic studies across a magnetic separatrix. This work included a kinetic treatment of electrons and multiple ion species (impurities) and accurate collision operators.

  12. Role of rational surfaces on fluctuations and transport in the plasma edge of the TJ-II stellarator

    International Nuclear Information System (INIS)

    Pedrosa, M.A.; Hidalgo, C.; Lopez-Fraguas, A.

    2000-01-01

    It has been shown that transport barriers in toroidal magnetically confined plasmas tend to be linked to regions of unique magnetic topology such as the location of a minimum in the safety factor, rational surfaces or the boundary between closed and open flux surfaces. In the absence of E x B sheared flows, fluctuations are expected to show maximum amplitude near rational surfaces, and plasma confinement might tend to deteriorate. On the other hand, if the generation of E x B sheared flows were linked to low order rational surfaces, these would be beneficial to confinement. Experimental evidence of E x B sheared flows linked to rational surfaces has been obtained in the plasma edge region of the TJ-II stellarator. (author)

  13. TCABR Tokamak scrape-off layer turbulence with DC biasing

    International Nuclear Information System (INIS)

    Heller, M.V.A.P.; Ferreira, A.A.; Caldas, I.L.; Nascimento, I.C.

    2004-01-01

    Turbulence and particle transport in plasma scrape-off layer have been controlled by external electric fields. This control can be achieved by a biasing electrode located inside the plasma. We investigate plasma turbulence changes in the scrape-off layer of TCABR tokamak introduced by DC biasing an electrode inside the plasma. Our investigation is based on the alterations observed on the wavelet power spectra and on the intermittent burst sequences of plasma potential and density fluctuations measured by a set of Langmuir probes. Biasing the electrode changes the turbulence statistics and the bursts intermittence. With the imposed external electric field, fluctuation amplitudes, phase velocities, and anomalous particle transport are modified. Transport reduction for higher frequencies induced by the biasing could be due to the strong de-phasing between density and potential fluctuations. The mode coupling increases with the perturbation for the high frequency broadband fluctuations. The total (laminar and bursting) radial particle transport is reduced by about 25% by DC biasing. Bursts contribution to total transport is 15% and for the studied conditions this contribution does not change much with the bias perturbation

  14. A new hybrid-Lagrangian numerical scheme for gyrokinetic simulation of tokamak edge plasma

    Energy Technology Data Exchange (ETDEWEB)

    Ku, S., E-mail: sku@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, Princeton, NJ 08543 (United States); Hager, R.; Chang, C.S. [Princeton Plasma Physics Laboratory, Princeton University, Princeton, NJ 08543 (United States); Kwon, J.M. [National Fusion Research Institute (Korea, Republic of); Parker, S.E. [University of Colorado Boulder (United States)

    2016-06-15

    In order to enable kinetic simulation of non-thermal edge plasmas at a reduced computational cost, a new hybrid-Lagrangian δf scheme has been developed that utilizes the phase space grid in addition to the usual marker particles, taking advantage of the computational strengths from both sides. The new scheme splits the particle distribution function of a kinetic equation into two parts. Marker particles contain the fast space-time varying, δf, part of the distribution function and the coarse-grained phase-space grid contains the slow space-time varying part. The coarse-grained phase-space grid reduces the memory-requirement and the computing cost, while the marker particles provide scalable computing ability for the fine-grained physics. Weights of the marker particles are determined by a direct weight evolution equation instead of the differential form weight evolution equations that the conventional delta-f schemes use. The particle weight can be slowly transferred to the phase space grid, thereby reducing the growth of the particle weights. The non-Lagrangian part of the kinetic equation – e.g., collision operation, ionization, charge exchange, heat-source, radiative cooling, and others – can be operated directly on the phase space grid. Deviation of the particle distribution function on the velocity grid from a Maxwellian distribution function – driven by ionization, charge exchange and wall loss – is allowed to be arbitrarily large. The numerical scheme is implemented in the gyrokinetic particle code XGC1, which specializes in simulating the tokamak edge plasma that crosses the magnetic separatrix and is in contact with the material wall.

  15. An Edge Rotation and Temperature Diagnostic on NSTX

    International Nuclear Information System (INIS)

    Biewer, T.M.; Bell, R.E.; Feder, R.; Johnson, D.W.; Palladino, R.W.

    2003-01-01

    A new diagnostic for the National Spherical Torus Experiment (NSTX) is described whose function is to measure ion rotation and temperature at the plasma edge. The diagnostic is sensitive to C III, C IV, and He II intrinsic emission, covering a radial region of 15 cm at the extreme edge of the outboard midplane. Thirteen chords are distributed between toroidal and poloidal views, allowing the toroidal and poloidal rotation and temperature of the plasma edge to be simultaneously measured with 10 ms resolution. Combined with the local pressure gradient and the EFIT code reconstructed magnetic field profile, the edge flow gives a measure of the local radial electric field

  16. Characterization of the C-2W Plasma Guns

    Science.gov (United States)

    Dubois, Ami; Sokolov, Vladimir; Korepanov, Sergey; Osin, Dima; Player, Gabriel; TAE Team

    2017-10-01

    Previous use of coaxial arc discharge plasma guns on the C-2U device exhibited great success in plasma stabilization and improved confinement. On the C-2W experiment, arc discharge plasma guns will again be used to facilitate the electrical connection between the plasma core and the divertor electrodes in order to maintain the electrode edge biasing and induce E x B shear to control plasma rotation. Each plasma gun contains an internal solenoid used to shape the plasma stream. Characterization of electron density (ne) , electron temperature (Te) , floating potential (Vf) , and total plasma flux in an arc discharge lasting 6 ms without the internal solenoid are presented. A Langmuir probe located 27 cm axially outside of the plasma gun anode measures a bell-like radial ne profile with peak ne 1018 m-3 and Te 2 - 10 eV. Observed spectral lines of impurity ions provide an estimate of Te, and Balmer series line ratios of the main ion component are used to evaluate ne at both the probe location and near the plasma gun anode. A calorimeter measures the plasma flux to be constant and equivalent to 1 kA.

  17. Topologically robust sound propagation in an angular-momentum-biased graphene-like resonator lattice

    Science.gov (United States)

    Khanikaev, Alexander B.; Fleury, Romain; Mousavi, S. Hossein; Alù, Andrea

    2015-10-01

    Topological insulators do not allow conduction in the bulk, yet they support edge modes that travel along the boundary only in one direction, determined by the carried electron spin, with inherent robustness to defects and disorder. Topological insulators have inspired analogues in photonics and optics, in which one-way edge propagation in topologically protected two-dimensional materials is achieved breaking time-reversal symmetry with a magnetic bias. Here, we introduce the concept of topological order in classical acoustics, realizing robust topological protection and one-way edge propagation of sound in a suitably designed resonator lattice biased with angular momentum, forming the acoustic analogue of a magnetically biased graphene layer. Extending the concept of an acoustic nonreciprocal circulator based on angular-momentum bias, time-reversal symmetry is broken here using moderate rotational motion of air within each element of the lattice, which takes the role of the electron spin in determining the direction of modal edge propagation.

  18. Heavy Neutral Beam Probe for edge plasma analysis in Tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.; Saravia, E.; Beckstead, J.; Aceto, S.

    1993-01-01

    The contents of this report present the progress achieved to date on the Heavy Neutral Beam Probe project. This effort is an international collaboration in magnetic confinement fusion energy research sponsored by the US Department of Energy, Office of Energy Research (Confinement Systems Division) and the Centre Canadien de Fusion Magnetique (CCFM). The overall objective of the effort is to develop and apply a neutral particle beam to the study of edge plasma dynamics in discharges on the Tokamak de Varennes (TdeV) facility in Montreal, Canada. To achieve this goal, a research and development project was established to produce the necessary hardware to make such measurements and meet the scheduling requirements of the program. At present the project is in the middle of its second budget period with the instrumentation on-site at TdeV. The first half of this budget period was used to complete total system tests at InterScience, Inc., dismantle and ship the hardware to TdeV, re-assemble and install the HNBP on the tokamak. Integration of the diagnostic into the TdeV facility has progressed to the point of first beam production and measurement on the plasma. At this time, the HNBP system is undergoing final de-bugging prior to re-start of machine operation in early Fall of this year

  19. High spatial and temporal resolution visible spectroscopy of the plasma edge in DIII-D

    International Nuclear Information System (INIS)

    Gohil, P.; Burrell, K.H.; Groebner, R.J.; Seraydarian, R.P.

    1990-10-01

    In DIII-D, visible spectroscopic measurements of the He II 468.6 nm and C VI 529.2 nm Doppler broadened spectral lines, resulting from charge exchange recombination interactions between beam neutral atoms and plasma ions, are performed to determine ion temperatures, and toroidal and poloidal rotation velocities. The diagnostics system comprises 32 viewing chords spanning a typical minor radius of 63 cm across the midplane, of which 16 spatial chords span 11 cm of the plasma edge just within the separatrix. A temporal resolution of 260 μs per time slice can be obtained as a result of using MCP phosphors with short decay times and fast camera readout electronics. Results from this system will be used in radial electric field comparisons with theory at the L-H transition and ion transport analysis. 6 refs., 3 figs

  20. A comparative study of core and edge transport barrier dynamics of DIII-D and TFTR tokamak plasmas

    International Nuclear Information System (INIS)

    Synakowski, E.J.; Beer, M.; Bell, R.E.

    2001-01-01

    Confinement bifurcations and subsequent plasma dynamics in the TFTR core and the DIII-D core and edge are compared in order to identify a common physics basis. Observations suggest a framework in which ExB shear plays a dominant role in the barrier dynamics. In TFTR, bifurcations from the reverse shear (RS) into the enhanced reverse shear (ERS) regime with high power balanced neutral beam heating (above 25 MW at 4.8 T) resemble edge H mode transitions observed on DIII-D. In both, radial electric field (E r ) excursions precede confinement changes and are manifest as localized changes in the impurity poloidal rotation. Reduced transport follows the excursions, and in both cases strong E r shear is reinforced by the plasma pressure. These characteristics are contrasted with DIII-D negative central shear (NCS) barrier evolution with unidirectional beam injection. There, the improved confinement region can develop slowly, depending on the neutral beam input power and torque. Rapid expansion and deepening of this region follows an increase in the neutral beam heating power. The initial formation phase is modulated by confinement steps and interruptions. An analog for these steps is found in TFTR RS plasmas. Although these do not dominate the TFTR plasma evolution during low power (7 MW) heating, they can represent significant transport reductions when additional heating is applied. In both devices, no strong excursion in E r precedes these latter confinement bifurcations. The triggering event of these steps may be related to current profile relaxation, but it is not always connected with simple integral or half-integer values of the minimum in the q profile. Finally, variations of E r and the ExB shear through the application of unidirectional injection on TFTR yielded plasmas with confinement characteristics and barrier dynamics similar to those of DIII-D NCS plasmas. The data underscore that the physics responsible for the enhanced confinement states is fundamentally

  1. A comparative study of core and edge transport barrier dynamics of DIII-D and TFTR tokamak plasmas

    International Nuclear Information System (INIS)

    Synakowski, E.J.; Beer, M.A.; Bell, R.E.

    1999-01-01

    Confinement bifurcations and subsequent plasma dynamics in the TFTR core and the DIII-D core and edge are compared in order to identify a common physics basis. Observations suggest a framework in which ExB shear plays a dominant role in the barrier dynamics. In TFTR, bifurcations from the reverse shear (RS) into the enhanced reverse shear (ERS) regime with high power balanced neutral beam heating (above 25 MW at 4.8 T) resemble edge H mode transitions observed on DIII-D. In both, radial electric field (E r ) excursions precede confinement changes and are manifest as localized changes in the impurity poloidal rotation. Reduced transport follows the excursions, and in both cases strong E r shear is reinforced by the plasma pressure. These characteristics are contrasted with DIII-D negative central shear (NCS) barrier evolution with unidirectional beam injection. There, the improved confinement region can develop slowly, depending on the neutral beam input power and torque. Rapid expansion and deepening of this region follows an increase in the neutral beam heating power. The initial formation phase is modulated by confinement steps and interruptions. An analog for these steps is found in TFTR RS plasmas. Although these do not dominate the TFTR plasma evolution during low power (7 MW) heating, they can represent significant transport reductions when additional heating is applied. In both devices, no strong excursion in E r precedes these latter confinement bifurcations. The triggering event of these steps may be related to current profile relaxation, but it is not always connected with simple integral or half-integer values of the minimum in the q profile. Finally, variations of E r and the ExB shear through the application of unidirectional injection on TFTR yielded plasmas with confinement characteristics and barrier dynamics similar to those of DIII-D NCS plasmas. The data underscore that the physics responsible for the enhanced confinement states is fundamentally

  2. Resistance probe for energetic particle dosimetry with applications for plasma edge studies

    International Nuclear Information System (INIS)

    Wampler, W.R.

    1982-01-01

    Changes in the electrical resistance of thin carbon films caused by implantation with hydrogen, deuterium, and carbon ions were measured for various incident energies and for particle fluences in the range from 10 12 to 10 17 at./cm 2 . At low fluences the resistivity change is found to be proportional to the displacement damage caused by the incident particles. A model is presented which can be used to calculate the resistance change which is in good agreement with the measurements. It is proposed that by measuring the resistance change for carbon films exposed to the edge of magnetically confined plasmas the energy and the flux of incident ions and neutral atoms may be determined

  3. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  4. Self-similarity of fluctuation particle fluxes in the plasma edge of the stellarator L-2M

    Energy Technology Data Exchange (ETDEWEB)

    Saenko, V.V. [Ulyanovsk State University, Leo Tolstoy str., 42, Ulyanovsk (Russian Federation)

    2010-05-15

    Results are presented of statistical studies of probability density of fluctuations of plasma density, floating potential, and turbulent particle fluxes measured by a Langmuir probe in the edge plasma of the L-2M stellarator. Empirical probability densities differ from Gaussian distributions. The empirical probability density distributions have heavy tails decreasing as x{sup -{alpha}}{sup -1} and are leptokurtic. Fractional stable distributions were successfully applied to describing such distributions. It is shown that fractional stable distributions give good fit to the distri-butions of increments of fluctuation amplitudes of physical variables under study. The distribution parameters are statistically estimated from measured time sequences (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Self-similarity of fluctuation particle fluxes in the plasma edge of the stellarator L-2M

    International Nuclear Information System (INIS)

    Saenko, V.V.

    2010-01-01

    Results are presented of statistical studies of probability density of fluctuations of plasma density, floating potential, and turbulent particle fluxes measured by a Langmuir probe in the edge plasma of the L-2M stellarator. Empirical probability densities differ from Gaussian distributions. The empirical probability density distributions have heavy tails decreasing as x -α-1 and are leptokurtic. Fractional stable distributions were successfully applied to describing such distributions. It is shown that fractional stable distributions give good fit to the distri-butions of increments of fluctuation amplitudes of physical variables under study. The distribution parameters are statistically estimated from measured time sequences (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Erosion/redeposition analysis : status of modeling and code validation for semi-detached tokamak edge plasmas

    International Nuclear Information System (INIS)

    Brooks, J. N.

    1999-01-01

    We are analyzing erosion and tritium codeposition for ITER, DIII-D, and other devices with a focus on carbon divertor and metallic wall sputtering, for detached and semi-detached edge plasmas. Carbon chemical-sputtering hydrocarbon-transport is computed in detail using upgraded models for sputtering yields, species, and atomic and molecular processes. For the DIII-D analysis this includes proton impact and dissociative recombination for the full methane and higher hydrocarbon chains. Several mixed material (Si-C doping and Be/C) effects on erosion are examined. A semi-detached reactor plasma regime yields peak net wall erosion rates of ∼1.0 (Be), ∼0.3 (Fe), and ∼0.01 (W) cm/burn-yr, and ∼50 cm/burn-yr for a carbon divertor. Net carbon erosion is dominated by chemical sputtering in the ∼1-3 eV detached plasma zone. Tritium codeposition in divertor-sputtered redeposited carbon is high (∼10-20 g-T/1000 s ). Silicon and beryllium mixing tends to reduce carbon erosion. Initial hydrocarbon transport calculations for the DIII-D DiMES-73 detached plasma experiment show a broad spectrum of redeposited molecules with ∼90% redeposition fraction

  7. Influence of the gate edge on the reverse leakage current of AlGaN/GaN HEMTs

    Directory of Open Access Journals (Sweden)

    YongHe Chen

    2015-09-01

    Full Text Available By comparing the Schottky diodes of different area and perimeter, reverse gate leakage current of AlGaN/GaN high mobility transistors (HEMT at gate bias beyond threshold voltage is studied. It is revealed that reverse current consists of area-related and perimeter-related current. An analytical model of electric field calculation is proposed to obtain the average electric field around the gate edge at high revers bias and estimate the effective range of edge leakage current. When the reverse bias increases, the increment of electric field is around the gate edge of a distance of ΔL, and perimeter-related gate edge current keeps increasing. By using the calculated electric field and the temperature-dependent current-voltage measurements, the edge gate leakage current mechanism is found to be Fowler-Nordheim tunneling at gate bias bellows -15V caused by the lateral extended depletion region induced barrier thinning. Effective range of edge current of Schottky diodes is about hundred to several hundred nano-meters, and is different in different shapes of Schottky diodes.

  8. Experimental study of the plasma structure and characterization of the transport behaviour in the laminar zone of a stochastized plasma edge; Experimentelle Untersuchung der Plasmastruktur und Charakterisierung des Transportverhaltens in der laminaren Zone einer stochastisierten Plasmarandschicht

    Energy Technology Data Exchange (ETDEWEB)

    Schmitz, O.

    2006-07-15

    For a detailed study of the plasma structure and the transport characteristics of a stochastized plasma edge at the tokamak TEXTOR the dynamic ergodic divertor (DED) was constructed, by which differently shaped external disturbing fields are statically and dynamically generated. Aim of this thgesis is to study experimentally the radial and poloidal structure of the plasma edge stochastized by the DED disturbing field and to analyze its transport characteristics. For this spatially highly resolved radial profiles of the electron density and temperature were measured by means of radiation-emission spectroscopy on thermal helium at the high- and low-field side of TEXTOR. These experimental results yield a good stating base for the validation and further development of three-dimensional transport codes.

  9. Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies.

    Science.gov (United States)

    Faraz, Tahsin; Knoops, Harm C M; Verheijen, Marcel A; van Helvoirt, Cristian A A; Karwal, Saurabh; Sharma, Akhil; Beladiya, Vivek; Szeghalmi, Adriana; Hausmann, Dennis M; Henri, Jon; Creatore, Mariadriana; Kessels, Wilhelmus M M

    2018-04-18

    Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for

  10. Frequencies of the Edge-Magnetoplasmon Excitations in Gated Quantum Hall Edges

    Science.gov (United States)

    Endo, Akira; Koike, Keita; Katsumoto, Shingo; Iye, Yasuhiro

    2018-06-01

    We have investigated microwave transmission through the edge of quantum Hall systems by employing a coplanar waveguide (CPW) fabricated on the surface of a GaAs/AlGaAs two-dimensional electron gas (2DEG) wafer. An edge is introduced to the slot region of the CPW by applying a negative bias Vg to the central electrode (CE) and depleting the 2DEG below the CE. We observe peaks attributable to the excitation of edge magnetoplasmons (EMP) at a fundamental frequency f0 and at its harmonics if0 (i = 2,3, \\ldots ). The frequency f0 increases with decreasing Vg, indicating that EMP propagates with higher velocity for more negative Vg. The dependence of f0 on Vg is interpreted in terms of the variation in the distance between the edge state and the CE, which alters the velocity by varying the capacitive coupling between them. The peaks are observed to continue, albeit with less clarity, up to the regions of Vg where 2DEG still remains below the CE.

  11. ATF [Advanced Toroidal Facility] edge plasma turbulence studies using a fast reciprocating Langmuir probe

    International Nuclear Information System (INIS)

    Uckan, T.; Hidalgo, C.; Bell, J.D.; Harris, J.H.; Dunlap, J.L.; Dyer, G.R.; Mioduszewski, P.K.; Wilgen, J.B.; Ritz, C.P.; Wootton, A.J.; Rhodes, T.L.; Carter, K.

    1990-01-01

    Electrostatic turbulence on the edge of the Advanced Torodial Facility (ATF) torsatron is investigated experimentally with a fast reciprocating Langmuir probe (FRLP) array. Initial measurements of plasma electron density n e and temperature T e and fluctuations in density (n e ) and plasma floating potential (φ f ) are made in ECH plasmas at 1 T. At the last closed flux surface (LCFS, r/bar a ∼1), T e ∼ 20--40 eV and n e ∼ 10 12 cm -3 for a line-averaged electron density bar n e = (3--6) x 10 12 cm -3 . Relative fluctuation levels, as the FRLP is moved into core plasma where T e > 20 eV, are n e /n e ∼ 5%, and e φ f /T e ∼ 2n e /n e about 2 cm inside the LCFS. The observed fluctuation spectra are broadband (40--300 kHz) with bar kρ s ≤ 0.1, where bar k is the wavenumber of the fluctuations and ρ s is the ion Larmor radius at the sound speed. The propagation direction of the fluctuations reverses to the electron diamagnetic direction around r/bar a ph ∼ v de ). The fluctuation-induced particle flux is comparable to fluxes estimated from the particle balance using the H α spectroscopic measurements. Many of the features seen in these experiments resemble the features of ohmically heated plasmas in the Texas Experimental Tokamak (TEXT). 17 refs., 10 figs

  12. Overview of progress in European medium sized tokamaks towards an integrated plasma-edge/wall solution

    OpenAIRE

    Meyer, H.; Eich, T.; Beurskens, M.N.A.; Coda, S.; Hakola, A.; Martin, P.; Adamek, J.; Agostini, M.; Aguiam, D.; Ahn, J.; Aho-Mantila, L.; Akers, R.; Albanese, R.; Aledda, R.; Alessi, E.

    2017-01-01

    Integrating the plasma core performance with an edge and scrape-off layer (SOL) that leads to tolerable heat and particle loads on the wall is a major challenge. The new European medium size tokamak task force (EU-MST) coordinates research on ASDEX Upgrade (AUG), MAST and TCV. This multi-machine approach within EU-MST, covering a wide parameter range, is instrumental to progress in the field, as ITER and DEMO core/pedestal and SOL parameters are not achievable simultaneously in present day de...

  13. Control of Reactive Species Generated by Low-frequency Biased Nanosecond Pulse Discharge in Atmospheric Pressure Plasma Effluent

    Science.gov (United States)

    Takashima, Keisuke; Kaneko, Toshiro

    2016-09-01

    The control of hydroxyl radical and the other gas phase species generation in the ejected gas through air plasma (air plasma effluent) has been experimentally studied, which is a key to extend the range of plasma treatment. Nanosecond pulse discharge is known to produce high reduced electric field (E/N) discharge that leads to efficient generation of the reactive species than conventional low frequency discharge, while the charge-voltage cycle in the low frequency discharge is known to be well-controlled. In this study, the nanosecond pulse discharge biased with AC low frequency high voltage is used to take advantages of these discharges, which allows us to modulate the reactive species composition in the air plasma effluent. The utilization of the gas-liquid interface and the liquid phase chemical reactions between the modulated long-lived reactive species delivered from the air plasma effluent could realize efficient liquid phase chemical reactions leading to short-lived reactive species production far from the air plasma, which is crucial for some plasma agricultural applications.

  14. Recent experimental studies of edge and internal transport barriers in the DIII-D tokamak

    International Nuclear Information System (INIS)

    Gohil, P; Baylor, L R; Burrell, K H; Casper, T A; Doyle, E J; Greenfield, C M; Jernigan, T C; Kinsey, J E; Lasnier, C J; Moyer, R A; Murakami, M; Rhodes, T L; Rudakov, D L; Staebler, G M; Wang, G; Watkins, J G; West, W P; Zeng, L

    2003-01-01

    Results from recent experiments on the DIII-D tokamak have revealed many important details on transport barriers at the plasma edge and in the plasma core. These experiments include: (a) the formation of the H-mode edge barrier directly by pellet injection; (b) the formation of a quiescent H-mode edge barrier (QH-mode) which is free from edge localized modes, but which still exhibits good density and radiative power control; (c) the formation of multiple transport barriers, such as the quiescent double barrier (QDB) which combines an internal transport barrier with the quiescent H-mode edge barrier. Results from the pellet-induced H-mode experiments indicate that: (a) the edge temperature (electron or ion) does not need to attain a critical value for the formation of the H-mode barrier, (b) pellet injection leads to an increased gradient in the radial electric field, E r , at the plasma edge; (c) the experimentally determined edge parameters at barrier transition are well below the predictions of several theories on the formation of the H-mode barrier, (d) pellet injection can lower the threshold power required to form the H-mode barrier. The quiescent H-mode barrier exhibits good density control as the result of continuous magnetohydrodynamic activity at the plasma edge called the edge harmonic oscillation (EHO). The EHO enhances the edge particle transport whilst maintaining a good energy transport barrier. The ability to produce multiple barriers in the QDB regime has led to long duration, high-performance plasmas with β N H 89 values of 7 for up to 10 times the confinement time. Density profile control in the plasma core of QDB plasmas has been demonstrated using on-axis electron cyclotron heating

  15. Integrated ELM simulation with edge MHD stability and transport of SOL-divertor plasmas

    International Nuclear Information System (INIS)

    Hayashi, Nobuhiko; Takizuka, Tomonori; Aiba, Nobuyuki; Ozeki, Takahisa; Oyama, Naoyuki

    2007-07-01

    The effect of the pressure profile on the energy loss caused by edge localized modes (ELMs) has been investigated by using an integrated simulation code TOPICS-IB based on a core transport code with a stability code for the peeling-ballooning modes and a transport model for scrape-off-layer and divertor plasmas. The steep pressure gradient inside the pedestal top is found to broaden the region of the ELM enhanced transport through the broadening of eigenfunctions and enhance the ELM energy loss. The ELM energy loss in the simulation becomes larger than 15% of the pedestal energy, as is shown in the database of multi-machine experiments. (author)

  16. Edge transport barrier modification in the L-2M stellarator depending on the heating power and plasma parameters

    International Nuclear Information System (INIS)

    Voronov, G S; Voronova, E V; Akulina, D K; Gladkov, G A

    2006-01-01

    Boronization of the vacuum chamber of the L-2M stellarator has resulted in modification of the electron temperature profile. In particular, a well-defined jump in the electron temperature to T e ∼ 100 eV in a narrow region Δr/r ∼ 0.05 is observed in the temperature profile at the plasma edge. In the present paper, the value and shape of the jump in T e are studied at different values of plasma parameters and ECR heating power. A jump in T e is absent at a power of P ∼ 100 kW, whereas at P ∼ 200 kW the electron temperature drops from 150 eV to zero within Δr ∼ 0.5 cm. The value of threshold power for the formation of a jump in T e at n e ∼ 1.7 x 10 19 m -3 lies within the range P ∼ 100-160 kW. In terms of power per particle this power threshold is P/V/N e ∼ 0.2-0.3 Mw/m 3 /10 19 m -3 , the value of which coincides with threshold power for ETB formation found recently in the CHS stellarator. When the helical-field strength is 25% or 50% below its standard value, a jump in T e at the plasma edge in L-2M is absent

  17. H-mode edge rotation in W7-AS

    International Nuclear Information System (INIS)

    Hirsch, M.; Baldzuhn, J.; Ehmler, H.; Grigull, P.; Maassberg, H.; McCormick, K.; Wagner, F.; Wobig, H.

    2005-01-01

    In W7-AS three regimes of improved confinement exist which base on negative radial electric fields at the plasma edge resulting there from ion-root conditions of the ambipolar radial fluxes. Experimental control besides the magnetic configuration is given via the edge density profile i.e. the recycling and fuelling conditions. However, the ordering element seems to be the radial electric field profile (respectively its shear) and its interplay with the gradients of ion temperature and density. At low to medium densities the so called optimum confinement regime occurs with maximum density gradients located well inside the plasma boundary and large negative values of E r extending deep in the bulk plasma. For a large inner fraction of the bulk the ion temperature can be sufficiently high that ion transport conditions already can be explained by neoclassics. This regime delivers maximum values of T i , τ e and n τ e T i . Density gradients located right inside the plasma boundary result in the classical H-mode phenomena reminiscent to other toroidal devices with the capability of an edge layer with nearly complete suppression of turbulence either quasi stationary (in a quiescent H-mode) or intermittently (in between ELMs). At even higher densities and highly collisional plasmas with the maximum of ∇n shifted to or even out of the plasma boundary the High Density H-mode (HDH) opens access to steady state conditions with no measurable impurity accumulation. These improved confinement regimes are accessed and left via significant transitions of the transport properties albeit these transitions occur on rather different timescales. A comprehensive picture of improved edge confinement regimes in W7-AS is drawn based on the assumption that a weak edge bounded transport barrier resulting from the ion root conditions (thus E r <0) is the ground state of the (turbulent) edge plasma and already behaves as a barrier for anomalous transport. On top of that the classical H

  18. Calculation of poloidal rotation in the edge plasma of limiter tokamaks

    International Nuclear Information System (INIS)

    Gerhauser, H.; Claassen, H.A.

    1987-05-01

    The existing 2-d two-fluid code for computing the plasma profiles in the scrape-off layer of limiter tokamaks has been further developed to include the effect of poloidal rotation in the basic equations. This rotation is produced by radial electric fields which arise in the limiter shadow due to radial gradients in the Langmuir sheath potential in front of the limiter. As a consequence slight deviations from ambipolar motion must occur. A strong increase of rotation near the separatrix is connected with an electric current circuit closed via the limiter edge. The 2-d profiles of all relevant quantities are calculated and discussed for TEXTOR-typical parameters including also the effect of limiter recycled neutrals. The results agree well with the known experimental evidence on poloidal rotation and should be transferable to all limiter tokamaks. (orig.)

  19. Emissive limiter bias experiment for improved confinement of tokamaks

    International Nuclear Information System (INIS)

    Choe, W.; Ono, M.; Darrow, D.S.; Pribyl, P.A.; Liberati, J.R.; Taylor, R.J.

    1992-01-01

    Experiments have been performed in Ohmic discharges of the UCLA CCT tokamak with a LaB 6 biased limiter, capable of emitting energetic electrons as a technique to improve confinement in tokamaks. To study the effects of emitted electrons, the limiter position, bias voltage, and plasma position were varied. The results have shown that the plasma positioning with respect to the emissive limiter plays an important role in obtaining H-mode plasmas. The emissive cathode must be located close to the last closed flux surface in order to charge up the plasma. As the cathode is moved closer to the wall, the positioning of the plasma becomes more critical since the plasma can easily detach from the cathode and reattach to the wall, resulting in the termination of H-mode. The emissive capability appears to be important for operating at lower bias voltage and reducing impurity levels in the plasma. With a heated cathode, transition to H-mode was observed for V bias ≤ 50 V and I inj ≥ 30 A. At a lower cathode heater current, a higher bias voltage is required for the transition. Moreover, with a lower cathode heater current, the time delay for inducing H-mode becomes longer, which can be attributed to the required time for the self-heating of the cathode to reach the emissive temperature. From this result, we conclude that the capacity for emission can significantly improve the performance of limiter biasing for inducing H-mode transition. With L-mode plasmas, the injection current flowing out of the cathode was generally higher than 100 A

  20. Development and Testing of Atomic Beam-Based Plasma Edge Diagnostics in the CIEMAT Fusion Devices

    International Nuclear Information System (INIS)

    Tafalla, D.; Tabares, F.L.; Ortiz, P.; Herrero, V.J.; Tanarro, I.

    1998-01-01

    In this report the development of plasma edge diagnostic based on atomic beam techniques fir their application in the CIEMAT fusion devices is described. The characterisation of the beams in laboratory experiments at the CSIC, together with first results in the Torsatron TJ-II are reported. Two types of beam diagnostics have been developed: a thermal (effusive) Li and a supersonic, pulsed He beams. This work has been carried out in collaboration between the institutions mentioned above under partial financial support by EURATOM. (Author) 17 refs

  1. Edge loading of plasma facing components in fusion devices

    International Nuclear Information System (INIS)

    Mohanti, R.; Deksnis, E.; Lomas, P.; Pick, M.

    1993-03-01

    The new poloidal and the inner wall guard limiter tiles of the Joint European Torus Experiment (JET) have been shaped to maximise power handling capability. The existing design of the divertor tiles of JET have been modified to reduce edge exposure. All of these components consist of discrete tiles with finite gaps. Under the assumption that the particle power flow is along field lines, the leading edges of the tiles are exposed due to field line penetration between gaps. The peak loading of these tiles to be at the edges. The report presents a generalised solution to the edge problem which indicates the steps required to shape the tiles for maximum power handling capability. (Author)

  2. Modelling of plasma-antenna coupling and non-linear radio frequency wave-plasma-wall interactions in the magnetized plasma device under ion cyclotron range of frequencies

    International Nuclear Information System (INIS)

    Lu, LingFeng

    2016-01-01

    Ion Cyclotron Resonant Heating (ICRH) by waves in 30-80 MHz range is currently used in magnetic fusion plasmas. Excited by phased arrays of current straps at the plasma periphery, these waves exist under two polarizations. The Fast Wave tunnels through the tenuous plasma edge and propagates to its center where it is absorbed. The parasitically emitted Slow Wave only exists close to the launchers. How much power can be coupled to the center with 1 A current on the straps? How do the emitted radiofrequency (RF) near and far fields interact parasitically with the edge plasma via RF sheath rectification at plasma-wall interfaces? To address these two issues simultaneously, in realistic geometry over the size of ICRH antennas, this thesis upgraded and tested the Self-consistent Sheaths and Waves for ICH (SSWICH) code. SSWICH couples self-consistently RF wave propagation and Direct Current (DC) plasma biasing via non-linear RF and DC sheath boundary conditions (SBCs) at plasma/wall interfaces. Its upgrade is full wave and was implemented in two dimensions (toroidal/radial). New SBCs coupling the two polarizations were derived and implemented along shaped walls tilted with respect to the confinement magnetic field. Using this new tool in the absence of SBCs, we studied the impact of a density decaying continuously inside the antenna box and across the Lower Hybrid (LH) resonance. Up to the memory limits of our workstation, the RF fields below the LH resonance changed with the grid size. However the coupled power spectrum hardly evolved and was only weakly affected by the density inside the box. In presence of SBCs, SSWICH-FW simulations have identified the role of the fast wave on RF sheath excitation and reproduced some key experimental observations. SSWICH-FW was finally adapted to conduct the first electromagnetic and RF-sheath 2D simulations of the cylindrical magnetized plasma device ALINE. (author) [fr

  3. Study of edge turbulence in tokamak plasmas; Etude de la turbulence de bord dans les plasmas de tokamaks

    Energy Technology Data Exchange (ETDEWEB)

    Sarazin, Y

    1997-11-21

    The aim of this work is to propose a new frame to study turbulent transport in plasmas. In order to avoid the restraint of scale separability the forcing by flux is used. A critical one-dimension self-organized cellular model is developed. In keeping with experience the average transport can be described by means of diffusion and convection terms whereas the local transport could not. The instability due to interchanging process is thoroughly studied and some simplified equations are derived. The proposed model agrees with the following experimental results: the relative fluctuations of density are maximized on the edge, the profile shows an exponential behaviour and the amplitude of density fluctuations depends on ionization source strongly. (A.C.) 103 refs.

  4. L-mode radiative plasma edge studies for model validation in ASDEX Upgrade and JET

    Energy Technology Data Exchange (ETDEWEB)

    Aho-Mantila, L., E-mail: leena.aho-mantila@vtt.fi [VTT Technical Research Centre of Finland, FI-02044 VTT (Finland); Bernert, M. [Max-Planck Institut für Plasmaphysik, D-85748 Garching (Germany); Coenen, J.W. [Energie- und Klimaforschung IEK-4, FZJ, EURATOM Association, TEC, 52425 Jülich (Germany); Fischer, R. [Max-Planck Institut für Plasmaphysik, D-85748 Garching (Germany); Lehnen, M. [Energie- und Klimaforschung IEK-4, FZJ, EURATOM Association, TEC, 52425 Jülich (Germany); Lowry, C. [EFDA JET CSU, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Marsen, S. [Max-Planck-Institut für Plasmaphysik, Teilinsitut Greifswald, D-17491 Greifswald (Germany); McCormick, K.; Müller, H.W.; Sieglin, B. [Max-Planck Institut für Plasmaphysik, D-85748 Garching (Germany); Stamp, M.F. [Culham Centre for Fusion Energy, EURATOM-CCFE Association, Abingdon (United Kingdom); Wischmeier, M. [Max-Planck Institut für Plasmaphysik, D-85748 Garching (Germany); Bonnin, X. [LSPM, CNRS, Université Paris 13, F-93430 Villetaneuse (France); Coster, D.P. [Max-Planck Institut für Plasmaphysik, D-85748 Garching (Germany); Reiter, D.; Brezinsek, S. [Energie- und Klimaforschung IEK-4, FZJ, EURATOM Association, TEC, 52425 Jülich (Germany)

    2013-07-15

    The presently favoured option for reactor power handling combines metallic plasma-facing components and impurity seeding to achieve highly radiative scrape-off layer and divertor plasmas. It is uncertain whether tolerable divertor power loads will be obtained in this scenario, necessitating the development of predictive modelling tools. L-mode experiments with N{sub 2} seeding have been conducted at both ASDEX Upgrade and JET for benchmarking the critically important impurity radiation models in edge fluid codes. In both machines, particle and power loads are observed to first reduce at the inner target, and only then at the outer target. The outer divertor cools down with increasing N seeding rate, evolving from low-recycling conditions to a regime with peak temperature of 8–10 eV in both devices. First SOLPS5.0 simulations of N{sub 2} seeding in ASDEX Upgrade geometry show a similar in–out asymmetry in the effect of impurity radiation when drifts are activated in the simulations.

  5. Experimental study on highly collisional edge plasmas in W7-AS island divertor configurations

    International Nuclear Information System (INIS)

    Grigull, P.; Hildebrandt, D.; Sardei, F.; Feng, Y.; Herre, G.; Herrmann, A.; Hofmann, J.V.; Kisslinger, J.; Kuehner, G.; Niedermeyer, H.; Schneider, R.; Verbeek, H.; Wagner, F.; Wolf, R.; Zhang, X.D.

    1997-01-01

    Edge plasma scenarios in island divertor configurations ('natural' magnetic islands intersected by targets) are studied by comparing data from moderate to high density NBI discharges with 3D code (EMC3/EIRENE) results. The data strongly indicate that high recycling with significant particle flux enhancement was achieved in this geometry. But, plasma pressure losses towards the targets are relatively strong, and high recycling sets in only at n e >10 20 m -3 . The respective density enhancement in front of the targets is moderate (up to a factor of about three relative to the upstream density). These scenarios are also in basic agreement with B2/EIRENE code predictions. At n e >1.5 x 10 20 m -3 detachment seems to develop. Improvements are expected from additional coils controlling the field line pitch inside the islands, and from optimized targets which will better focus recycling neutrals into the islands. Both are in preparation. (orig.)

  6. Product surface hardening in non-self-sustained glow discharge plasma before synthesis of superhard coatings

    International Nuclear Information System (INIS)

    Krasnov, P S; Metel, A S; Nay, H A

    2017-01-01

    Before the synthesis of superhard coating, the product surface is hardened by means of plasma nitriding, which prevents the surface deformations and the coating brittle rupture. The product heating by ions accelerated from plasma by applied to the product bias voltage leads to overheating and blunting of the product sharp edges. To prevent the blunting, it is proposed to heat the products with a broad beam of fast nitrogen molecules. The beam injection into a working vacuum chamber results in filling of the chamber with quite homogeneous plasma suitable for nitriding. Immersion in the plasma of the electrode and heightening of its potential up to 50–100 V initiate a non-self-sustained glow discharge between the electrode and the chamber. It enhances the plasma density by an order of magnitude and reduces its spatial nonuniformity down to 5–10%. When a cutting tool is isolated from the chamber, it is bombarded by plasma ions with an energy corresponding to its floating potential, which is lower than the sputtering threshold. Hence, the sharp edges are sputtered only by fast nitrogen molecules with the same rate as other parts of the tool surface. This leads to sharpening of the cutting tools instead of blunting. (paper)

  7. Core and edge toroidal rotation study in JT-60U

    International Nuclear Information System (INIS)

    Yoshida, M.; Sakamoto, Y.; Honda, M.; Kamada, Y.; Takenaga, H.; Oyama, N.; Urano, H.

    2012-01-01

    The relation between toroidal rotation velocities (V t ) in the core and edge regions is investigated in H-mode plasmas with a small external torque input from the viewpoint of momentum transport. The toroidal rotation velocity in the core region (core-V t ) gradually varies on a timescale of ∼20 ms after a rapid change in the toroidal rotation velocity in the edge region (edge-V t ) at the L–H transition. This timescale of ∼20 ms is consistent with a transport timescale using the momentum diffusivity (χ φ ) and convection velocity (V conv ). In steady state, a linear correlation between the core- and edge-V t is observed in H-mode plasmas when the ion pressure gradient (∇P i ) is small. This relation between core- and edge-V t is also explained by momentum transport. The V t profiles with a large ∇P i are reproduced in the core region of r/a ∼ 0.2–0.7 by adopting a residual stress term 'Π res = α k χ φ ∇P i ' proposed in this paper. Here r/a is the normalized plasma radius and α k1 is a radial constant. Using this formula, V t profiles are reproduced over a wide range of plasma conditions. Parameter dependences of the edge-V t are investigated at a constant ripple loss power, ripple amplitude and plasma current. A reduction in the CTR-rotation is observed with decreasing ion temperature gradient (∇T i ). Here CTR refers to the counter-I P direction.

  8. Power deposition on misaligned edges in COMPASS

    Directory of Open Access Journals (Sweden)

    R. Dejarnac

    2017-08-01

    Full Text Available If the decision is made not to apply a toroidal chamfer to tungsten monoblocks at ITER divertor vertical targets, exposed leading edges will arise as a result of assembly tolerances between adjacent plasma-facing components. Then, the advantage of glancing magnetic field angles for spreading plasma heat flux on top surfaces is lost at the misaligned edges with an interaction occurring at near normal incidence, which can drive melting for the expected inter-ELM heat fluxes. A dedicated experiment has been performed on the COMPASS tokamak to thoroughly study power deposition on misaligned edges using inner-wall limited discharges on a special graphite tile presenting gaps and leading edges directly viewed by a high resolution infra-red camera. The parallel power flux deducted from the unperturbed measurement far from the gap is fully consistent with the observed temperature increase at the leading edge, respecting the power balance. All the power flowing into the gap is deposited at the leading edge and no mitigation factor is required to explain the thermal response. Particle-in-cell simulations show that the ion Larmor smoothing effect is weak and that the power deposition on misaligned edges is well described by the optical approximation because of an electron dominated regime associated with non-ambipolar parallel current flow.

  9. Overview of edge turbulence and zonal flow studies on TEXTOR

    International Nuclear Information System (INIS)

    Xu, Y.; Kraemer-Flecken, A.; Reiser, D.

    2008-01-01

    In the TEXTOR tokamak, the edge turbulence properties and turbulence-associated zonal flows have been systematically investigated both experimentally and theoretically. The experimental results include the investigation of self-organized criticality (SOC) behavior, the intermittent blob transport and the geodesic acoustic mode (GAM) zonal flows. During the Dynamic Ergodic Divertor (DED) operation in TEXTOR, the impact of an ergodized plasma boundary on edge turbulence, turbulent transport and the fluctuation propagation has also been studied in detail. The results show substantial influence by the DED on edge turbulence. The theoretical simulations for TEXTOR parameters show characteristic features of the GAM flows and strong reduction of the blob transport by the DED at the plasma periphery. Moreover, the modelling reveals the importance of the Reynolds stress in driving mean (or zonal) flows at the plasma edge in the ohmic discharge phase in TEXTOR. (author)

  10. Active control of noise amplification in the flow over a square leading-edge flat plate utilizing DBD plasma actuator

    Science.gov (United States)

    Yadong, HUANG; Benmou, ZHOU

    2018-05-01

    Perturbation is generally considered as the flow noise, and its energy can gain transient growth in the separation bubble. The amplified perturbations may cause unstable Kelvin–Helmohltz vortices which induce the three-dimensional transition. Active control of noise amplification via dielectric barrier discharge plasma actuator in the flow over a square leading-edge flat plate is numerically studied. The actuator is installed near the plate leading-edge where the separation bubble is formed. The maximum energy amplification of perturbations is positively correlated with the separation bubble scale which decreases with the increasing control parameters. As the magnitude of noise amplification is reduced, the laminar-turbulent transition is successfully suppressed.

  11. Characteristics of edge localized mode in JFT-2M H-mode

    International Nuclear Information System (INIS)

    Matsumoto, Hiroshi; Funahashi, Akimasa; Goldston, R.J.

    1989-03-01

    Characteristics of edge localized mode (ELM/ERP) during H-mode plasma of JFT-2M were investigated. It was found that ELM/ERP is mainly a density fluctuation phenomena in the edge, and electron temperature in the edge except just near the separatrix is not very much perturbed. Several experimental conditions to controll ELM/ERP are, plasma density, plasma ion species, heating power, and plasma current ramping. ELM/ERPs found in low density deuterium discharge are suppressed by raising the density. ELM/ERPs are pronounced in hydrogen plasma compared with deuterium plasma. ELM/ERPs seen in hydrogen plasma or in near marginal H-mode conditions are suppressed by increasing the heating power. ELM/ERPs are found to be suppressed by plasma current ramp down, whereas they are enhanced by current ramp up. MHD aspect of ELM/ERP was investigated. No clear MHD features of ELM/ERP were found. However, reversal of mode rotation seen imediately after ELM/ERP suggests the temporal return to L-mode during the ELM/ERP event. (author)

  12. Visible imaging of edge turbulence in NSTX

    International Nuclear Information System (INIS)

    Zweben, S.; Maqueda, R.; Hill, K.; Johnson, D.

    2000-01-01

    Edge plasma turbulence in tokamaks and stellarators is believed to cause the radical heat and particle flux across the separatrix and into the scrape-off-layers of these devices. This paper describes initial measurements of 2-D space-time structure of the edge density turbulence made using a visible imaging diagnostic in the National Spherical Torus Experiment (NSTX). The structure of the edge turbulence is most clearly visible using a method of gas puff imaging to locally illuminate the edge density turbulence

  13. Visible imaging of edge turbulence in NSTX

    International Nuclear Information System (INIS)

    S. Zweben; R. Maqueda; K. Hill; D. Johnson; S. Kaye; H. Kugel; F. Levinton; R. Maingi; L. Roquemore; S. Sabbagh; G. Wurden

    2000-01-01

    Edge plasma turbulence in tokamaks and stellarators is believed to cause the radial heat and particle flux across the separatrix and into the scrape-off-layers of these devices. This paper describes initial measurements of 2-D space-time structure of the edge density turbulence made using a visible imaging diagnostic in the National Spherical Torus Experiment (NSTX). The structure of the edge turbulence is most clearly visible using a method of ''gas puff imaging'' to locally illuminate the edge density turbulence

  14. Edge topology and flows in the reversed-field pinch

    International Nuclear Information System (INIS)

    Spizzo, G.; Agostini, M.; Scarin, P.; Vianello, N.; Cappello, S.; Puiatti, M. E.; Valisa, M.; White, R. B.

    2012-01-01

    Edge topology and plasma flow deeply influence transport in the reversed-field pinch as well as in all fusion devices, playing an important role in many practical aspects of plasma performance, such as access to enhanced confinement regimes, the impact on global power balance and operative limits, such as the density limit (Spizzo G. et al 2010 Plasma Phys. Control. Fusion 52 095011). A central role is played by the edge electric field, which is determined by the ambipolar constraint guaranteeing quasi-neutrality in a sheath next to the plasma wall. Its radial component is experimentally determined in RFX over the whole toroidal angle by means of a diagnostic set measuring edge plasma potential and flow with different techniques (Scarin P. et al 2011 Nucl. Fusion 51 073002). The measured radial electric field is used to construct the potential in the form Φ(ψ p , θ, ζ) (ψ p radial coordinate, θ, ζ angles), by means of the Hamiltonian guiding-centre code ORBIT. Simulations show that a proper functional form of the potential can balance the differential radial diffusion of electrons and ions subject to m = 0 magnetic island O- and X-points. Electrons spend more time in the X-points of such islands than in O-points; ions have comparatively larger drifts and their radial motion is more uniform over the toroidal angle. The final spatial distribution of Φ(ψ p , θ, ζ) results in a complex 3D pattern, with convective cells next to the wall. Generally speaking, an edge topology dominating parallel transport with a given symmetry brings about an edge potential with the same symmetry. This fact helps us to build a first step of a unified picture of the effect of magnetic topology on the Greenwald limit, and, more generally, on flows in the edge of RFPs and tokamaks. (paper)

  15. Comparison of edge plasma perturbation during ELM control using one vs. two toroidal rows of RMP coils in ITER similar shaped plasmas on DIII-D

    Energy Technology Data Exchange (ETDEWEB)

    Fenstermacher, M.E., E-mail: fenstermacher@fusion.gat.co [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California 94551 (United States); Evans, T.E.; Osborne, T.H.; Schaffer, M.J.; DeGrassie, J.S.; Gohil, P.; Groebner, R.J. [General Atomics, P.O. Box 85608, San Diego, California 92186-5608 (United States); Moyer, R.A. [University of California, San Diego, 9500 Gilman Dr., La Jolla, California 92093 (United States)

    2009-06-15

    Large Type-I edge localized modes (ELMs) were suppressed by n = 3 resonant magnetic perturbations (RMPs) from a set of internal coils in plasmas with an ITER similar shape at the ITER pedestal collisionality, nu{sub e}*approx0.1 and low edge safety factor (q{sub 95} approx 3.6), with either a single toroidal row of the internal RMP coils or two poloidally separated rows of coils. ELM suppression with a single row of internal coils was achieved at approximately the same q{sub 95} surface-averaged perturbation field as with two rows of coils, but required higher current per coil. Maintaining complete suppression of ELMs using n = 3 RMPs from a single toroidal row of internal coils was less robust to variations in input neutral beam injection torque than previous ELM suppression cases using both rows of internal coils. With either configuration of RMP coils, maximum ELM size is correlated with the width of the edge region having good overlap of the magnetic islands from vacuum field calculations.

  16. Multi-channel Langmuir-probe and H[alpha]-measurements of edge fluctuations on ASDEX

    Energy Technology Data Exchange (ETDEWEB)

    Niedermeyer, H; Carlson, A; Endler, M; Giannone, L.; Rudyj, A; Theimer, G [Max-Planck-Institut fuer Plasmaphysik, Garching (Germany)

    1991-01-01

    The anomalous transport observed in tokamaks is caused by turbulent fluctuations, the nature of which is still poorly understood. Diagnostic difficulties are one major reason for this lack of understanding, at least with respect to the bulk plasma. The plasma edge, however, is accessible by several diagnostics permitting localized measurements of different parameters with good spatial and temporal resolution. For this reason one can hope to obtain enough information about edge fluctuations to permit the development of theoretical models. Different ranges of plasma parameters and the lack of closed magnetic surfaces distinguish this plasma zone from the bulk plasma. Edge turbulence might well involve other mechanisms than the turbulence in the bulk. Although transport in the bulk plasma receives more attention transport in the edge plasma and edge physics are very relevant for reactor design. The realistic hope to find a solution and the importance of the problem for the next step in fusion research are reasons for the strong effort in this field on many tokamaks. Like in many limiter tokamaks Langmuir probes were used in the ASDEX divertor device for measurements of the floating potential and of the ion saturation current. Under certain assumptions the electron density and the plasma potential can be derived from these data. Observation of the H[alpha]-light emitted from the edge in the vicinity of a neutral gas source yields information about the electron density. While probe measurements are more suitable for quantitative evaluations including the calculation of the local particle flux the H[alpha]-method is not calibrated and integrates radially over the edge. It is however applicable in situations where probes fail because of excessive heat load. With 16-channel arrays both methods permit spatial correlations and wavenumber spectra to be determined without any further assumptions. (author) 4 refs., 2 figs.

  17. The possible role of Reynolds stress in the creation of a transport barrier in tokamak edge plasmas

    International Nuclear Information System (INIS)

    Vergote, M.; Van Schoor, M.; Xu, Y.; Jachmich, S.; Weynants, R.; Hron, M.; Stoeckel, J.

    2005-01-01

    To obtain a good confinement, mandatory in a fusion reactor, the understanding of the formation of transport barriers in the edge plasma of a tokamak is essential. Turbulence, the major candidate to explain anomalous transport, can be quenched by sheared flows in the edge which rip the convective cells apart, thus forming a barrier. Experimental evidence from the Chinese HT-6M tokamak [Y.H. Xu et al.: Phys. Rev. Lett. 84 (2000) 3867], points to the fact that momentum transfer from the turbulence can create these sheared flows via the Reynolds stresses. A new 1-d fluid model for the generation of the poloidal flow, has been developed taking into account the driving force of the Reynolds stress and the friction forces due to neutrals and parallel viscosity. Special attention has been dedicated to the computation of the flux-surface-averaging for the various terms. This model has been confronted with the experimental results obtained in the HT-6M tokamak, where Reynolds stresses were generated by application of a turbulent heating pulse. If the model is applied in cylindrical geometry, the calculated Reynolds stress-induced flow agrees well with the measured poloidal velocity in the plasma edge. However, when the full toroidal geometry is taken into account, it seems that the Reynolds stresses are too small to explain the observed rotation. This indicates that the role of the Reynolds stresses in inducing macroscopic flow in the torus is weakened. A combined system of probes allowing to measure the Reynolds stress and the rotation velocity simultaneously, has been developed and installed on the CASTOR tokamak. We report here on the first results obtained. (author)

  18. Effects of Density and Impurity on Edge Localized Modes in Tokamaks

    Science.gov (United States)

    Zhu, Ping

    2017-10-01

    Plasma density and impurity concentration are believed to be two of the key elements governing the edge tokamak plasma conditions. Optimal levels of plasma density and impurity concentration in the edge region have been searched for in order to achieve the desired fusion gain and divertor heat/particle load mitigation. However, how plasma density or impurity would affect the edge pedestal stability may have not been well known. Our recent MHD theory modeling and simulations using the NIMROD code have found novel effects of density and impurity on the dynamics of edge-localized modes (ELMs) in tokamaks. First, previous MHD analyses often predict merely a weak stabilizing effect of toroidal flow on ELMs in experimentally relevant regimes. We find that the stabilizing effects on the high- n ELMs from toroidal flow can be significantly enhanced with the increased edge plasma density. Here n denotes the toroidal mode number. Second, the stabilizing effects of the enhanced edge resistivity due to lithium-conditioning on the low- n ELMs in the high confinement (H-mode) discharges in NSTX have been identified. Linear stability analysis of the experimentally constrained equilibrium suggests that the change in the equilibrium plasma density and pressure profiles alone due to lithium-conditioning may not be sufficient for a complete suppression of the low- n ELMs. The enhanced resistivity due to the increased effective electric charge number Zeff after lithium-conditioning provides additional stabilization of the low- n ELMs. These new effects revealed in our theory analyses may help further understand recent ELM experiments and suggest new control schemes for ELM suppression and mitigation in future experiments. They may also pose additional constraints on the optimal levels of plasma density and impurity concentration in the edge region for H-mode tokamak operation. Supported by National Magnetic Confinement Fusion Science Program of China Grants 2014GB124002 and 2015GB

  19. Energetic electron measurements in the edge of a reversed-field pinch

    International Nuclear Information System (INIS)

    Ingraham, J.C.; Ellis, R.F.; Downing, J.N.; Munson, C.P.; Weber, P.G.; Wurden, G.A.

    1990-01-01

    The edge plasma of the ZT-40M [Fusion Technol. 8, 1571 (1985)] reversed-field pinch has been studied using a combination of three different plasma probes: a double-swept Langmuir probe, an electrostatic energy analyzer, and a calorimeter--Langmuir probe. The edge plasma has been measured both with and without a movable graphite tile limiter present nearby in the plasma. Without a limiter a fast nonthermal tail of electrons (T congruent 350 eV) is detected in the edge plasma with nearly unidirectional flow along B and having a density between 2% and 10% of the cold edge plasma (T congruent 20 eV). The toroidal sense of this fast electron flow is against the force of the applied electric field. A large power flux along B is measured flowing in the same direction as the fast electrons and is apparently carried by the fast electrons. With the limiter present the fast electrons are still detected in the plasma, but are strongly attenuated in the shadow of the limiter. The measured scrape-off lengths for both the fast electrons and the cold plasma indicate cross-field transport at the rate of, or less than, Bohm diffusion. Estimates indicate that the fast electrons could carry the reversed-field pinch current density at the edge and, from the measured transverse diffusion rates, could also account for the electron energy loss channel in ZT-40 M. The long mean-free-path kinetic nature of these fast electrons suggests that a kinetic process, rather than a magnetohydrodynamic process that is based upon a local Ohm's law formulation, is responsible for their generation

  20. Modification of the magnetic field structure in the vicinity of the x-points by the strong mirror field for a field-reversed configuration (FRC) with the Thick Edge-Layer plasma

    International Nuclear Information System (INIS)

    Suzuki, Yukihisa; Okada, Shigefumi; Goto, Seiichi

    2003-01-01

    Modification of the magnetic field structure in the vicinity of the x-points and changes of the separatrix shape are investigated under the pressure effects due to an edge-layer plasma together with a mirror field by the two-dimensional (2-D) MHD equilibrium solutions of field-reversed configuration (FRC) obtained from the Grad-Shafranov equation. To explore the coupling pressure effects caused by edge-layer plasma and mirror field, the equilibrium calculations are performed by the combinations of several values of mirror ratio (R m ) and of edge-layer width (δ), respectively. A summary of results for present study is as follows. In the condition of weak mirror field (1.0 m m > 1.6, ψ=0 surface never opens up for any δ. These original results make it clear that large magnetic curvature produced by the strong mirror field enhances the magnetic stress around the x-point, so that the ends of FRC are effectively sustained by this enhanced magnetic stress, which counteracts the edge-layer plasma pressure effect. (author)

  1. Theoretical and experimental studies of a planar inductive coupled rf plasma source as the driver in simulator facility (ISTAPHM) of interactions of waves with the edge plasma on tokamaks

    Science.gov (United States)

    Ghanei, V.; Nasrabadi, M. N.; Chin, O.-H.; Jayapalan, K. K.

    2017-11-01

    This research aims to design and build a planar inductive coupled RF plasma source device which is the driver of the simulator project (ISTAPHM) of the interactions between ICRF Antenna and Plasma on tokamak by using the AMPICP model. For this purpose, a theoretical derivation of the distribution of the RF magnetic field in the plasma-filled reactor chamber is presented. An experimental investigation of the field distributions is described and Langmuir measurements are developed numerically. A comparison of theory and experiment provides an evaluation of plasma parameters in the planar ICP reactor. The objective of this study is to characterize the plasma produced by the source alone. We present the results of the first analysis of the plasma characteristics (plasma density, electron temperature, electron-ion collision frequency, particle fluxes and their velocities, stochastic frequency, skin depth and electron energy distribution functions) as function of the operating parameters (injected power, neutral pressure and magnetic field) as measured with fixed and movable Langmuir probes. The plasma is currently produced only by the planar ICP. The exact goal of these experiments is that the produced plasma by external source can exist as a plasma representative of the edge of tokamaks.

  2. Silicon oxide barrier films deposited on PET foils in pulsed plasmas: influence of substrate bias on deposition process and film properties

    International Nuclear Information System (INIS)

    Steves, S; Bibinov, N; Awakowicz, P; Ozkaya, B; Liu, C-N; Ozcan, O; Grundmeier, G

    2013-01-01

    A widely used plastic for packaging, polyethylene terephtalate (PET) offers limited barrier properties against gas permeation. For many applications of PET (from food packaging to micro electronics) improved barrier properties are essential. A silicon oxide barrier coating of PET foils is applied by means of a pulsed microwave driven low-pressure plasma. While the adjustment of the microwave power allows for a control of the ion production during the plasma pulse, a substrate bias controls the energy of ions impinging on the substrate. Detailed analysis of deposited films applying oxygen permeation measurements, x-ray photoelectron spectroscopy and atomic force microscopy are correlated with results from plasma diagnostics describing the deposition process. The influence of a change in process parameters such as gas mixture and substrate bias on the gas temperature, electron density, mean electron energy, ion energy and the atomic oxygen density is studied. An additional substrate bias results in an increase in atomic oxygen density up to a factor of 6, although plasma parameter such as electron density of n e = 3.8 ± 0.8 × 10 17 m −3 and electron temperature of k B T e = 1.7 ± 0.1 eV are unmodified. It is shown that atomic oxygen densities measured during deposition process higher than n O = 1.8 × 10 21 m −3 yield in barrier films with a barrier improvement factor up to 150. Good barrier films are highly cross-linked and show a smooth morphology. (paper)

  3. PREFACE: Light element atom, molecule and radical behaviour in the divertor and edge plasma regions

    Science.gov (United States)

    Braams, Bastiaan J.; Chung, Hyun-Kung

    2015-01-01

    This volume of Journal of Physics: Conference Series contains contributions by participants in an International Atomic Energy Agency (IAEA) Coordinated Research Project (CRP) on "Light element atom, molecule and radical behaviour in the divertor and edge plasma regions" (in magnetic fusion devices). Light elements are the dominant impurity species in fusion experiments and in the near-wall plasma they occur as atoms or ions and also as hydrides and other molecules and molecular ions. Hydrogen (H or D, and T in a reactor) is the dominant species in fusion experiments, but all light elements He - O and Ne are of interest for various reasons. Helium is a product of the D+T fusion reaction and is introduced in experiments for transport studies. Lithium is used for wall coating and also as a beam diagnostic material. Beryllium is foreseen as a wall material for the ITER experiment and is used on the Joint European Torus (JET) experiment. Boron may be used as a coating material for the vessel walls. Carbon (graphite or carbon-fiber composite) is often used as the target material for wall regions subject to high heat load. Nitrogen may be used as a buffer gas for edge plasma cooling. Oxygen is a common impurity in experiments due to residual water vapor. Finally, neon is another choice as a buffer gas. Data for collisional and radiative processes involving these species are important for plasma modelling and for diagnostics. The participants in the CRP met 3 times over the years 2009-2013 for a research coordination meeting. Reports and presentation materials for these meetings are available through the web page on coordinated research projects of the (IAEA) Atomic and Molecular Data Unit [1]. Some of the numerical data generated in the course of the CRP is available through the ALADDIN database [2]. The IAEA takes the opportunity to thank the participants in the CRP for their dedicated efforts in the course of the CRP and for their contributions to this volume. The IAEA

  4. Plasma boundary phenomena in tokamaks

    International Nuclear Information System (INIS)

    Stangeby, P.C.

    1989-06-01

    The focus of this review is on processes occurring at the edge, and on the connection between boundary plasma - the scrape-off layer (SOL) and the radiating layer - and central plasma processes. Techniques used for edge diagnosis are reviewed and basic experimental information (n e and T e ) is summarized. Simple models of the SOL are summarized, and the most important effects of the boundary plasma - the influence on the fuel particles, impurities, and energy - on tokamak operation dealt with. Methods of manipulating and controlling edge conditions in tokamaks and the experimental data base for the edge during auxiliary heating of tokamaks are reviewed. Fluctuations and asymmetries at the edge are also covered. (9 tabs., 134 figs., 879 refs.)

  5. Atomic and plasma-material interaction data for fusion. V. 2

    International Nuclear Information System (INIS)

    1992-01-01

    This issues of the Atomic and Plasma-Material Interaction Data for Fusion contains 9 papers on atomic and molecular processes in the edge region of magnetically confined fusion plasmas, including spectroscopic data for fusion edge plasmas; electron collision processes with plasma edge neutrals; electron-ion collisions in the plasma edge; cross-section data for collisions of electrons with hydrocarbon molecules; dissociative and energy transfer reactions involving vibrationally excited hydrogen or deuterium molecules; an assessment of ion-atom collision data for magnetic fusion plasma edge modeling; an extended scaling of cross sections for the ionization of atomic and molecular hydrogen as well as helium by multiply-charged ions; ion-molecule collision processes relevant to fusion edge plasmas; and radiative losses and electron cooling rates for carbon and oxygen plasma impurities. Refs, figs and tabs

  6. Lithium line radiation in turbulent edge plasmas: Effects of low and high frequency temperature fluctuations

    Science.gov (United States)

    Rosato, J.; Capes, H.; Catoire, F.; Kadomtsev, M. B.; Levashova, M. G.; Lisitsa, V. S.; Marandet, Y.; Rosmej, F. B.; Stamm, R.

    2011-08-01

    In lithium-wall-conditioned tokamaks, the line radiation due to the intrinsic impurities (Li/Li+/Li++) plays a significant role on the power balance. Calculations of the radiation losses are usually performed using a stationary collisional-radiative model, assuming constant values for the plasma parameters (Ne, Te,…). Such an approach is not suitable for turbulent plasmas where the various parameters are time-dependent. This is critical especially for the edge region, where the fluctuation rates can reach several tens of percents [e.g. J.A. Boedo, J. Nucl. Mater. 390-391 (2009) 29-37]. In this work, the role of turbulence on the radiated power is investigated with a statistical formalism. A special emphasis is devoted to the role of temperature fluctuations, successively for low-frequency fluctuations and in the general case where the characteristic turbulence frequencies can be comparable to the collisional and radiative rates.

  7. Processes arising in the edge and diverted plasmas during ITB formation in the U-3M torsatron

    Energy Technology Data Exchange (ETDEWEB)

    Chechkin, V V [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Grigor' eva, L I [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Sorokovoy, E L [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Sorokovoy, Ye L [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Slavnyj, A S [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Volkov, Ye D [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Beletskij, A A [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Burchenko, P Ya [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Tsybenko, S A [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Lozin, A V [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Kulaga, A Ye; Letvinov, A P [Institute of Plasma Physics, National Science Center ' Kharkov Institute of Physics and Technology' , Akademicheskaya st. 1, 61108 Kharkov (Ukraine); Masuzaki, S; Yamazaki, K [National Institute for Fusion Science, Oroshi-cho 322-6, Toki-shi 509-5292 (Japan)

    2006-05-15

    Spontaneous changes in confined plasma parameters have been observed recently in the l = 3/m = 9 Uragan-3M torsatron with an RF produced and heated plasma, these being interpreted as transition to an improved confinement mode due to ITB formation near the {iota} = 1/4 rational magnetic surface. In the work presented joint studies are carried out of changes in some edge and diverted plasma characteristics that accompany ITB formation. It is shown that ITB formation induces a hard E{sub r} bifurcation at the boundary presumably driven by the ion orbit loss. As a result, E{sub r} becomes more negative, and an E{sub r} shear layer occurs, where the low-frequency microturbulence and the turbulence-induced anomalous transport are suppressed, i.e. an ETB is formed. At the pre-bifurcation phase of transition a reduction of fast ion loss takes place. The bifurcation results in an improvement of electron confinement, while the ion loss increases.

  8. Effects of limiter biasing on the ATF torsatron

    International Nuclear Information System (INIS)

    Uckan, T.; Baylor, L.R.; Bell, J.D.; Bigelow, T.S.; England, A.C.; Harris, J.H.; Isler, R.C.; Jernigan, T.C.; Lyon, J.F.; Ma, C.H.; Mioduszewski, P.K.; Murakami, M.; Rasmussen, D.A.; Wilgen, J.B.; Aceto, S.C.; Zielinski, J.J.

    1992-09-01

    Positive limiter biasing on the currentless Advanced Toroidal Facility (ATF) torsatron produces a significant increase in the particle confinement with no improvement in the energy confinement. Experiments have been carried out in 1-T plasmas with ∼400 kill of electron cyclotron heating ECM. Two rail limiters located at the last closed flux surface (LCFS), one at the top and one at the bottom of the device, are biased at positive and negative potentials with respect to the vessel. When the limiters are positively biased at up to 300 V, the density increases sharply to the ECH cutoff value. At the same time, the H α radiation drops, indicating that the particle confinement improves. When the density is kept constant, the H α radiation is further reduced and there is almost no change in the plasma stored energy. Under these conditions, the density profile becomes peaked and the electric field becomes outward-pointing outside the LCFS and more negative inside the LCFS. In contrast, negative biasing yields some reduction of the density and stored energy at constant gas feed, and the plasma potential profile remains the same. Biasing has almost no effect on the intrinsic impurity levels in the plasma

  9. Experimental evidence of significant temperature fluctuations in the plasma edge region of the TJ-I Tokamak

    International Nuclear Information System (INIS)

    Hidalgo, C.; Balbin, R.; Pedrosa, M.A.; Garcia-Cortes, I.; Ochando, M.A.

    1993-01-01

    Density and temperature fluctuations have been measured in the plasma bulk side of the velocity shear location of the TJ-I tokamak using a foast swept Langmuir probe technique. Evidence of sustantial temperature fluctuations which are in phase close to opposition with the corresponding density fluctuations has been found. This result suggests the possible role of radiation in determining edge fluctuation levels and call into question the determination of the density and potential fluctuations from the Langmuir current-probe and floating potential fluctuations. (Author)

  10. Experimental evidence of significant temperature fluctuations in the plasma EDGE region of the TJ-I Tokamak

    International Nuclear Information System (INIS)

    Hidalgo, C.; Balbin, R.; Pedrosa, M. A.; Garcia-Cortes, I.; Ochando, M. A.

    1993-01-01

    Density and temperature fluctuations have been measured in the plasma bulk side of the velocity shear location of the TJ-I tokamak using a feast swept Langmuir probe technique. Evidence of substantial temperature fluctuations which are in phase close to opposition with the corresponding density fluctuations has been found. This result suggests the possible role of radiation in determining edge fluctuation levels and call into question the determination of the density and potential fluctuations from the Langmuir current-probe and floating potential fluctuations. (Author) 16 refs

  11. Experimental evidence of significant temperature fluctuations in the plasma EDGE region of the TJ-I Tokamak

    Energy Technology Data Exchange (ETDEWEB)

    Hidalgo, C; Balbin, R; Pedrosa, M A; Garcia-Cortes, I; Ochando, M A

    1993-07-01

    Density and temperature fluctuations have been measured in the plasma bulk side of the velocity shear location of the TJ-I tokamak using a feast swept Langmuir probe technique. Evidence of substantial temperature fluctuations which are in phase close to opposition with the corresponding density fluctuations has been found. This result suggests the possible role of radiation in determining edge fluctuation levels and call into question the determination of the density and potential fluctuations from the Langmuir current-probe and floating potential fluctuations. (Author) 16 refs.

  12. Performance Improvement of a Magnetized Coaxial Plasma Gun by adopting Iron-core Bias Coil and New Pre-Ionization System

    Science.gov (United States)

    Edo, Takahiro; Asai, T.; Tanaka, F.; Yamada, S.; Hosozawa, A.; Gota, H.; Roche, T.; Allfrey, I.; Matsumoto, T.

    2017-10-01

    A magnetized coaxial plasma gun (MCPG) is a device used to generate a compact toroid (CT), which has a spheromak-like configuration. A typical MCPG consists of a set of axisymmetric cylindrical electrodes, bias coil, and gas-puff valves. In order to expand the CT operating range, the distributions of the bias magnetic field and neutral gas have been investigated. We have developed a new means of generating stuffing flux. By inserting an iron core into the bias coil, the magnetic field increases dramatically; even a small current of a few Amps produces a sufficient bias field. According to a simulation result, it was also suggested that the radial distribution of the bias field is easily controlled. The ejected CT and the target FRC are cooled by excess neutral gas that typical MCPGs require to initiate a breakdown; therefore, we have adopted a miniature gun as a new pre-ionization (PI) system. By introducing this PI system, the breakdown occurs at lower neutral gas density so that the amount of excess neutral gas can be reduced.

  13. Edge and core dynamics in harness

    International Nuclear Information System (INIS)

    Ball, R.

    2007-01-01

    Resistive kink oscillations in tokamak plasmas are usually treated as core localized events, yet there there are several mechanisms by which they may interact with the edge dynamics. This suggests that we may regulate edge oscillatory behaviour, or ELMs, by harnessing the natural or contrived sawtooth period and amplitude. In this work I investigate core-edge oscillatory entrainment through direct propagation of heat pulses, inductive coupling, and global higher order resonance effects. In the core of auxiliary heated tokamak plasmas the ineluctable rhythm of slow buildup and rapid conversion of potential energy governs electron and heat radial transport. The growth phase of the sawtooth is accompanied by significant reconnection, then during the collapse the temperature and density in the core fall dramatically. There is evidence from experiments in reversed field pinch devices that ensuing energy fluxes can affect flow shear and confinement at the edge. The basis for this study is the dynamical (BDS) model for edge plasma behavior that was derived from electrostatic resistive MHD equations. The BDS model reflects the major qualitative features of edge dynamics that have been observed, such as L-H transitions and associated ELMs, hysteresis, and spontaneous reversal of poloidal shear flow. Under poorly dissipative conditions the transient behavior of the model can exhibit period-doubling, blue-sky, homoclinic, and other exotic bifurcations. Thus we might ask questions such as: Is it possible to mode-lock the edge dynamics to the core sawteeth? Can we induce, or prevent, a change in direction of shear flow? What about MHD effects? Is core-edge communication one way or is there some feedback? In the simplest prototype for coupled core-edge dynamics I model the sawtooth crash as a periodic power input to the edge potential energy reservoir. This is effected by coupling the BDS model to the dynamical system u = u(1 - u 2 - x 2 ) - ω s x, x = x(1-u 2 -x 2 ) + ω s u

  14. Tangential 2-D Edge Imaging for GPI and Edge/Impurity Modeling

    International Nuclear Information System (INIS)

    Maqueda, Ricardo; Levinton, Fred M.

    2011-01-01

    Nova Photonics, Inc. has a collaborative effort at the National Spherical Torus Experiment (NSTX). This collaboration, based on fast imaging of visible phenomena, has provided key insights on edge turbulence, intermittency, and edge phenomena such as edge localized modes (ELMs) and multi-faceted axisymmetric radiation from the edge (MARFE). Studies have been performed in all these areas. The edge turbulence/intermittency studies make use of the Gas Puff Imaging diagnostic developed by the Principal Investigator (Ricardo Maqueda) together with colleagues from PPPL. This effort is part of the International Tokamak Physics Activity (ITPA) edge, scrape-off layer and divertor group joint activity (DSOL-15: Inter-machine comparison of blob characteristics). The edge turbulence/blob study has been extended from the current location near the midplane of the device to the lower divertor region of NSTX. The goal of this effort was to study turbulence born blobs in the vicinity of the X-point region and their circuit closure on divertor sheaths or high density regions in the divertor. In the area of ELMs and MARFEs we have studied and characterized the mode structure and evolution of the ELM types observed in NSTX, as well as the study of the observed interaction between MARFEs and ELMs. This interaction could have substantial implications for future devices where radiative divertor regions are required to maintain detachment from the divertor plasma facing components.

  15. Improved edge charge exchange recombination spectroscopy in DIII-D.

    Science.gov (United States)

    Chrystal, C; Burrell, K H; Grierson, B A; Haskey, S R; Groebner, R J; Kaplan, D H; Briesemeister, A

    2016-11-01

    The charge exchange recombination spectroscopy diagnostic on the DIII-D tokamak has been upgraded with the addition of more high radial resolution view chords near the edge of the plasma (r/a > 0.8). The additional views are diagnosed with the same number of spectrometers by placing fiber optics side-by-side at the spectrometer entrance with a precise separation that avoids wavelength shifted crosstalk without the use of bandpass filters. The new views improve measurement of edge impurity parameters in steep gradient, H-mode plasmas with many different shapes. The number of edge view chords with 8 mm radial separation has increased from 16 to 38. New fused silica fibers have improved light throughput and clarify the observation of non-Gaussian spectra that suggest the ion distribution function can be non-Maxwellian in low collisionality plasmas.

  16. Plasma properties

    International Nuclear Information System (INIS)

    Weitzner, H.

    1990-06-01

    This paper discusses the following topics: MHD plasma activity: equilibrium, stability and transport; statistical analysis; transport studies; edge physics studies; wave propagation analysis; basic plasma physics and fluid dynamics; space plasma; and numerical methods

  17. Intermittent transport in edge plasma with a 3-D magnetic geometry in the Large Helical Device

    International Nuclear Information System (INIS)

    Tanaka, H.; Masuzaki, S.; Ohno, N.; Morisaki, T.; Tsuji, Y.

    2013-01-01

    Blobby plasma transport is a universally observed phenomenon in magnetic confinement devices, and it is considered to be closely related to edge plasma physics. We have investigated such an intermittent event observed inside the divertor region of the Large Helical Device by using a fast-scanning Langmuir probe with two electrodes. Ion saturation current fluctuations showed negative spikes in the divertor leg and positive spikes in the private region. Further, the time delay between the two fluctuations followed a unique trajectory in the positive-skewness region. We found common as well as different fluctuation characteristics between the LHD and tokamaks. We discuss the analysis results in relation to the blob-generation and propagation behaviors in the three-dimensional magnetic geometry around the divertor leg. In addition, we quantitatively estimated the blob propagation velocity and size based on a theoretical assumption

  18. The electron edge of the low latitude boundary layer during accelerated flow events

    International Nuclear Information System (INIS)

    Gosling, J.T.; Thomsen, M.F.; Bame, S.J.; Onsager, T.G.; Russel, C.T.

    1990-01-01

    Magnetosheath plasma entering the Earth's magnetosphere to populate the low latitude boundary layer, LLBL, is often accelerated to speeds considerably greater than are observed in the adjacent magnetosheath. Measurements made during such accelerated flow events reveal separate electron and ion edges to the LLBL, with the electron edge being found earthward of the ion edge. Plasma electron velocity distributions observed at the earthward edge of the LLBL are often highly structured, exhibiting large asymmetries parallel and antiparallel, as well as perpendicular, to the local magnetic field. These features can consistently be interpreted as time-of-flight effects on recently reconnected field lines, and thus are strong evidence in support of the reconnection interpretation of accelerated plasma flow events

  19. Lithium line radiation in turbulent edge plasmas: Effects of low and high frequency temperature fluctuations

    Energy Technology Data Exchange (ETDEWEB)

    Rosato, J., E-mail: joel.rosato@univ-provence.fr [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France); Capes, H.; Catoire, F. [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France); Kadomtsev, M.B.; Levashova, M.G.; Lisitsa, V.S. [ITP, Russian Research Center ' Kurchatov Institute' , Moscow (Russian Federation); Marandet, Y. [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France); Rosmej, F.B. [LULI, UMR 7605, Universite Pierre et Marie Curie/CNRS, 4 Place Jussieu, Case 128, F-75252 Paris Cedex 05 (France); Stamm, R. [PIIM, UMR 6633, Universite de Provence/CNRS, Centre de St.-Jerome, Case 232, F-13397 Marseille Cedex 20 (France)

    2011-08-01

    In lithium-wall-conditioned tokamaks, the line radiation due to the intrinsic impurities (Li/Li{sup +}/Li{sup ++}) plays a significant role on the power balance. Calculations of the radiation losses are usually performed using a stationary collisional-radiative model, assuming constant values for the plasma parameters (N{sub e}, T{sub e},...). Such an approach is not suitable for turbulent plasmas where the various parameters are time-dependent. This is critical especially for the edge region, where the fluctuation rates can reach several tens of percents [e.g. J.A. Boedo, J. Nucl. Mater. 390-391 (2009) 29-37]. In this work, the role of turbulence on the radiated power is investigated with a statistical formalism. A special emphasis is devoted to the role of temperature fluctuations, successively for low-frequency fluctuations and in the general case where the characteristic turbulence frequencies can be comparable to the collisional and radiative rates.

  20. Biased limiter experiments on text

    International Nuclear Information System (INIS)

    Phillips, P.E.; Wootton, A.J.; Rowan, W.L.; Ritz, C.P.; Rhodes, T.L.; Bengtson, R.D.; Hodge, W.L.; Durst, R.D.; McCool, S.C.; Richards, B.; Gentle, K.W.; Schoch, P.; Forster, J.C.; Hickok, R.L.; Evans, T.E.

    1987-01-01

    Experiments using an electrically biased limiter have been performed on the Texas Experimental Tokamak (TEXT). A small movable limiter is inserted past the main poloidal ring limiter (which is electrically connected to the vacuum vessel) and biased at V Lim with respect to it. The floating potential, plasma potential and shear layer position can be controlled. With vertical strokeV Lim vertical stroke ≥ 50 V the plasma density increases. For V Lim Lim > 0 the results obtained are inconclusive. Variation of V Lim changes the electrostatic turbulence which may explain the observed total flux changes. (orig.)

  1. Temperature dependent evolution of the local electronic structure of atmospheric plasma treated carbon nanotubes: Near edge x-ray absorption fine structure study

    International Nuclear Information System (INIS)

    Roy, S. S.; Papakonstantinou, P.; Okpalugo, T. I. T.; Murphy, H.

    2006-01-01

    Near edge x-ray absorption fine structure (NEXAFS) spectroscopy has been employed to obtain the temperature dependent evolution of the electronic structure of acid treated carbon nanotubes, which were further modified by dielectric barrier discharge plasma processing in an ammonia atmosphere. The NEXAFS studies were performed from room temperature up to 900 deg. C. The presence of oxygen and nitrogen containing functional groups was observed in C K edge, N K edge, and O K edge NEXAFS spectra of the multiwalled carbon nanotubes. The N K edge spectra revealed three types of π* features, the source of which was decisively identified by their temperature dependent evolution. It was established that these features are attributed to pyridinelike, NO, and graphitelike structures, respectively. The O K edge indicated that both carbonyl (C=O), π*(CO), and ether C-O-C, σ*(CO), functionalities were present. Upon heating in a vacuum to 900 deg. C the π*(CO) resonances disappeared while the σ*(CO) resonances were still present confirming their higher thermal stability. Heating did not produce a significant change in the π* feature of the C K edge spectrum indicating that the tabular structure of the nanotubes is essentially preserved following the thermal decomposition of the functional groups on the nanotube surface

  2. Impact of the pedestal plasma density on dynamics of edge localized mode crashes and energy loss scaling

    Energy Technology Data Exchange (ETDEWEB)

    Xu, X. Q., E-mail: xxu@llnl.gov [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States); Ma, J. F. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States); Institute for Fusion Studies, University of Texas, Austin, Texas 78712 (United States); Li, G. Q. [Lawrence Livermore National Laboratory, Livermore, California 94551 (United States); Institute of Plasma Physics, Chinese Academy of Sciences, Hefei (China)

    2014-12-15

    The latest BOUT++ studies show an emerging understanding of dynamics of edge localized mode (ELM) crashes and the consistent collisionality scaling of ELM energy losses with the world multi-tokamak database. A series of BOUT++ simulations are conducted to investigate the scaling characteristics of the ELM energy losses vs collisionality via a density scan. Linear results demonstrate that as the pedestal collisionality decreases, the growth rate of the peeling-ballooning modes decreases for high n but increases for low n (1 < n < 5), therefore the width of the growth rate spectrum γ(n) becomes narrower and the peak growth shifts to lower n. Nonlinear BOUT++ simulations show a two-stage process of ELM crash evolution of (i) initial bursts of pressure blob and void creation and (ii) inward void propagation. The inward void propagation stirs the top of pedestal plasma and yields an increasing ELM size with decreasing collisionality after a series of micro-bursts. The pedestal plasma density plays a major role in determining the ELM energy loss through its effect on the edge bootstrap current and ion diamagnetic stabilization. The critical trend emerges as a transition (1) linearly from ballooning-dominated states at high collisionality to peeling-dominated states at low collisionality with decreasing density and (2) nonlinearly from turbulence spreading dynamics at high collisionality into avalanche-like dynamics at low collisionality.

  3. Magnetic configuration effects on the edge heat flux in the limiter plasma on W7-X measured using the infrared camera and the combined probe

    Science.gov (United States)

    P, DREWS; H, NIEMANN; J, COSFELD; Y, GAO; J, GEIGER; O, GRULKE; M, HENKEL; D, HÖSCHEN; K, HOLLFELD; C, KILLER; A, KRÄMER-FLECKEN; Y, LIANG; S, LIU; D, NICOLAI; O, NEUBAUER; M, RACK; B, SCHWEER; G, SATHEESWARAN; L, RUDISCHHAUSER; N, SANDRI; N, WANG; the W7-X Team

    2018-05-01

    Controlling the heat and particle fluxes in the plasma edge and on the plasma facing components is important for the safe and effective operation of every magnetically confined fusion device. This was attempted on Wendelstein 7-X in the first operational campaign, with the modification of the magnetic configuration by use of the trim coils and tuning the field coil currents, commonly named iota scan. Ideally, the heat loads on the five limiters are equal. However, they differ between each limiter and are non-uniform, due to the (relatively small) error fields caused by the misalignment of components. It is therefore necessary to study the influence of the configuration changes on the transport of heat and particles in the plasma edge caused by the application of error fields and the change of the magnetic configuration. In this paper the up-stream measurements conducted with the combined probe are compared to the downstream measurements with the DIAS infrared camera on the limiter.

  4. Bifurcated transition of radial transport in the HIEI tandem mirror

    International Nuclear Information System (INIS)

    Sakai, O.; Yasaka, Y.

    1995-01-01

    Transition to a high radial confinement mode in a mirror plasma is triggered by limiter biasing. Sheared plasma rotation is induced in the high confinement phase which is characterized by reduction of edge turbulence and a confinement enhancement factor of 2-4. Edge plasma parameters related to radial confinement show a hysteresis phenomenon as a function of bias voltage or bias current, leading to the fact that transition from low to high confinement mode occurs between the bifurcated states. A transition model based on azimuthal momentum balance is employed to clarify physics of the observed bifurcation. copyright 1995 American Institute of Physics

  5. Influence of substrate dc bias on crystallinity of silicon films grown at a high rate from inductively-coupled plasma CVD

    International Nuclear Information System (INIS)

    Kosku, N.; Murakami, H.; Higashi, S.; Miyazaki, S.

    2005-01-01

    We have investigated the effect of substrate bias on the microcrystalline film growth from inductively-coupled plasma (ICP) of H 2 -diluted SiH 4 at 250 deg. C to get an insight on the role of ion and electron incidence for the crystallization. By applying dc bias voltage to the substrate in the range of -20 ∼ 20 V during the film growth, the crystallinity is improved significantly with no significant change in the deposition rate, but in contrast the application of biases as high as ±50 V degrades the crystallinity. These results indicate that the incidence of ions or electrons with a moderate energy to the growing film surface promotes the nucleation and the growth of crystallites. Also, the optimum bias condition for the crystallization is changed with the antenna-substrate distance, which suggests the contribution of hydrogen radical flux to the crystalline film growth

  6. Absolute measurement of the total ion-drag force on a single plasma-confined microparticle at the void edge under microgravity conditions

    NARCIS (Netherlands)

    Beckers, J.; Trienekens, D.J.M.; Kroesen, G.M.W.

    2013-01-01

    We present an absolute measurement of the total ion-drag force on one single microparticle at the edge of the dust free region in low pressure complex plasmas: the void. In order to do so, the particle confinement position was monitored as a function of the gas pressure for two particle sizes under

  7. Impurity and neutral effects on the dissipative drift wave in tokamak edge plasmas

    International Nuclear Information System (INIS)

    Zhang, Y.Z.; Mahajan, S.M.

    1991-05-01

    Possible destabilizing mechanisms for the liner electrostatic dissipative drift waves (in tokamak edge plasmas) are investigated in slab geometry. The effects of processes such as ionization, charge exchange, radiation, and rippling are examined. In particular, the impurity condensation associated with radiation cooling is evaluated appropriately for the drift wave ordering, which is found to be an important driving mechanism in contrast to the results of earlier studies. It also shown that the role of ionization is quite complicated, and depends strongly on the manner in which the equilibrium is achieved. The linear eigenmode equation is studied both analytically and numerically. For the range of parameters relevant to TEXT tokamak, both the charge exchange of the rippling effect are found to be unimportant for instability. 25 refs., 6 figs

  8. Physics constraints on tokamak edge operational space and extrapolation to ITER

    International Nuclear Information System (INIS)

    Igitkhanov, Yu.; Janeschitz, G.; Sugihara, M.; Pacher, H.D.; Post, D.E.; Pacher, G.W.; Pogutse, O.P.

    1998-01-01

    This paper emphasises the theoretical understanding of the physical processes in the edge tokamak plasma and their attendant uncertainties and constraints. The various operational boundaries are represented in the edge operational space (EOS) diagram, the space of edge density and temperature, defined at the top of the H-mode transport barrier. The EOS is governed by four boundaries representing physical constraints for the edge plasma parameters. The first boundary represents the onset of type I ELM instabilities in terms of a critical pressure gradient for MHD stability at the edge which defines the maximum pedestal temperature for a given density once the width of the H-mode transport barrier at the edge (pedestal width) is known. The ideal ballooning mode is a candidate for this instability. The second boundary defines the boundary between type III ELM's, which are probably resistive MHD modes, and the ELM-free region. (orig.)

  9. CHANGES IN EDGE AND SCRAPE-OFF LAYER PLASMA BEHAVIOE DUE TO VAARIATION IN MAGNETIC BALANCE IN DIII-D

    International Nuclear Information System (INIS)

    PETRIE, T.W.; WATKINS, J.G.; BAYLOR, L.R.; BROOKS, N.H.; FENSTERMACHER, M.E.; HYATT, A.W.; JACKSON, G.L.; LASNIER, C.J.; LEONARD, A.W.; PIGAROV, A.YU.; RENSINK, M.E.; ROGNLIEN, T.D.; SCHAFFER, M.J.; WOLF, N.S.

    2002-01-01

    Changes in the divertor magnetic balance in DIII-D H-mode plasmas affects core, edge, and divertor plasma behavior. Both the pedestal density n e,PED and plasma stored energy W T were sensitive to changes in magnetic balance near the double-null (DN) configuration, e.g., both decreased 20%-30% when the DN shifted to a slightly unbalanced DN, where the B x (del)B drift direction pointed away from the main X-point. Recycling at each of the four divertor targets was sensitive to changes in magnetic balance and the B x (del)B drift direction. The poloidal distribution of the recycling in DN is in qualitative agreement with the predictions of UEDGE modeling with particle drifts included. The particle flux at the inner divertor target is shown to be much more sensitive to magnetic balance than the particle flux at the outer divertor target near the DN shape. These results suggest possible advantages and drawbacks for balanced DN operation

  10. Magneto-hydro-dynamic simulation of Edge-Localised-Modes in tokamaks

    International Nuclear Information System (INIS)

    Pamela, S.

    2010-01-01

    In order to produce energy from fusion reactions in a tokamak, the plasma must reach temperatures higher than that of our sun. The operation regime called H-mode enables one to acquire a plasma confinement close to fusion conditions. Due to the formation of a transport barrier at the plasma edge, turbulent transport is reduced, and the total plasma pressure increases, resulting in a strong pressure gradient at the edge. If this pressure gradient, localised at the plasma-vacuum boundary, becomes too steep, a magneto-hydro-dynamic instability is triggered and part of the plasma pressure is lost. This instability, hence called Edge-Localised-Mode, provokes large heat fluxes on some of the plasma-facing components of the machine, which could set operational limits for a tokamak the size of ITER. In order to understand this instability, and to determine the non-linear mechanisms behind the ELMs, the JOREK code is used. The work presented in this thesis is based on MHD simulations of ballooning modes (responsible for ELMs) with the JOREK code. At first, simulations are done for standard plasmas, inspired of experimental machines. In particular, the plasma rotation at equilibrium, in the region of the edge pressure gradient, is studied in order to obtain an analysis of the effects that such a rotation can have on the linear stability of ELMs and on their non-linear evolution. Then, as a second step, simulations are applied to plasmas of the experimental tokamaks JET and MAST (England). This permits the direct comparison of simulation results with experimental observations, with the main goal of improving our global understanding of ELMs. Adding to this physics aspect, the confrontation of the JOREK code with diagnostics of JET and MAST brings to a validation of simulations, which should prove that the simulations which were obtained do correspond to ELM instabilities. This first step towards the validation of the code is crucial concerning the simulation of ELMs in ITER

  11. Excitation mechanism for nickel and argon lines emitted by radio-frequency glow discharge plasma associated with bias current introduction

    International Nuclear Information System (INIS)

    Kodama, Kenji; Wagatsuma, Kazuaki

    2004-01-01

    The introduction of d.c. bias current to an r.f. glow discharge plasma led to enhancement in the intensity of particular emission lines. In order to investigate the excitation mechanism, a large number of nickel emission lines was measured with and without the bias-current introduction. Emission intensities of nickel atomic lines were predominantly elevated by conducting bias current, especially when the emission lines have an excitation energy of approximately 5 eV. This phenomenon could be explained from the additional excitation through collisions with the introduced electrons having kinetic energies favorable for the excitation of such nickel atomic lines. However, this additional excitation mechanism was less effective for excited states of nickel ion, argon atom and argon ion, because their excitation energies were fairly high compared with the excitation energies of Ni atomic lines

  12. Effect of additional sample bias in Meshed Plasma Immersion Ion Deposition (MPIID) on microstructural, surface and mechanical properties of Si-DLC films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Mingzhong [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Tian, Xiubo, E-mail: xiubotian@163.com [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Li, Muqin [School of Materials Science & Engineering, Jiamusi University, Jiamusi 154007 (China); Gong, Chunzhi [State Key Laboratory of Advanced Welding & Joining, Harbin Institute of Technology, Harbin 150001 (China); Wei, Ronghua [Southwest Research Institute, San Antonio, TX 78238 (United States)

    2016-07-15

    Highlights: • A novel Meshed Plasma Immersion Ion Deposition is proposed. • The deposited Si-DLC films possess denser structures and high deposition rate. • It is attributed to ion bombardment of the deposited films. • The ion energy can be independently controlled by an additional bias (novel set up). - Abstract: Meshed Plasma Immersion Ion Deposition (MPIID) using cage-like hollow cathode discharge is a modified process of conventional PIID, but it allows the deposition of thick diamond-like carbon (DLC) films (up to 50 μm) at a high deposition rate (up to 6.5 μm/h). To further improve the DLC film properties, a new approach to the MPIID process is proposed, in which the energy of ions incident to the sample surface can be independently controlled by an additional voltage applied between the samples and the metal meshed cage. In this study, the meshed cage was biased with a pulsed DC power supply at −1350 V peak voltage for the plasma generation, while the samples inside the cage were biased with a DC voltage from 0 V to −500 V with respect to the cage to study its effect. Si-DLC films were synthesized with a mixture of Ar, C{sub 2}H{sub 2} and tetramethylsilane (TMS). After the depositions, scanning electron microscopy (SEM), atomic force microscopy (AFM), X-ray photoelectrons spectroscopy (XPS), Raman spectroscopy and nanoindentation were used to study the morphology, surface roughness, chemical bonding and structure, and the surface hardness as well as the modulus of elasticity of the Si-DLC films. It was observed that the intense ion bombardment significantly densified the films, reduced the surface roughness, reduced the H and Si contents, and increased the nanohardness (H) and modulus of elasticity (E), whereas the deposition rate decreased slightly. Using the H and E data, high values of H{sup 3}/E{sup 2} and H/E were obtained on the biased films, indicating the potential excellent mechanical and tribological properties of the films. In this

  13. Origin of spin-polarization in edge boron doped zigzag graphene nanoribbon: a potential spin filter.

    Science.gov (United States)

    Chakrabarty, Soubhik; Wasey, A H M Abdul; Thapa, Ranjit; Das, Gour Prasad

    2018-06-04

    To realize the graphene based spintronic device the prime challenge is to control the electronic structure of edges. In this work we find the origin of spin filtering property in edge boron doped zigzag graphene nanoribbon (ZGNRs) and provide a guide to prepare the graphene based next generation spin filter based device. Here we unveil the role of orbital (p-electron) to tune the electronic, magnetic and transport properties of the edge B doped ZGNRs. When all the edge carbon atoms at one of the edges of ZGNRs are replaced by B (100% edge B-doping), the system undergoes semiconductor to metal transition. The role of passivation of the edge with single/double atomic hydrogen on the electronic properties and its relation with the p electron is correlated in-depth. 50% edge B-doped ZGNRs (50% of the edge C atoms at one of the edges are replaced by B) also shows half-metallicity when the doped edge is left unpassivated. The half-metallic systems show 100% spin-filtering efficiency for a wide range of bias voltages. Zero bias transmission function of the other configurations shows asymmetric behavior for the up and down spin channels, thereby indicating their possible application potential in nano-spintronics. © 2018 IOP Publishing Ltd.

  14. Experimental study of the interaction between RF antennas and the edge plasma of a tokamak

    International Nuclear Information System (INIS)

    Kubic, Martin

    2013-01-01

    Antennas operating in the ion cyclotron range of frequency (ICRF) provide a useful tool for plasma heating in many tokamaks and are foreseen to play an important role in ITER. However, in addition to the desired heating in the core plasma, spurious interactions with the plasma edge and material boundary are known to occur. Many of these deleterious effects are caused by the formation of radio-frequency (RF) sheaths. The aim of this thesis is to study, mainly experimentally, scrape-off layer (SOL) modifications caused by RF sheaths effects by means of Langmuir probes that are magnetically connected to a powered ICRH antenna. Effects of the two types of Faraday screens' operation on RF-induced SOL modifications are studied for different plasma and antenna configurations - scans of strap power ratio imbalance, injected power and SOL density. In addition to experimental work, the influence of RF sheaths on retarding field analyzer (RFA) measurements of sheath potential is investigated with one-dimensional particle-in-cell code. One-dimensional particle-in-cell simulations show that the RFA is able to measure reliably the sheath potential only for ion plasma frequencies ω π similar to RF cyclotron frequency ω rf , while for the real SOL conditions (ω π ≥ ω rf ), when the RFA is magnetically connected to RF region, it is strongly underestimated. An alternative method to investigate RF sheaths effects is proposed by using broadening of the ion distribution function as an evidence of the RF electric fields in the sheath. RFA measurements in Tore Supra indicate that RF potentials do indeed propagate from the antenna 12 m along magnetic field lines. (author) [fr

  15. Local transport in Joint European Tokamak edge-localized, high-confinement mode plasmas with H, D, DT, and T isotopes

    International Nuclear Information System (INIS)

    Budny, R. V.; Ernst, D. R.; Hahm, T. S.; McCune, D. C.; Christiansen, J. P.; Cordey, J. G.; Gowers, C. G.; Guenther, K.; Hawkes, N.; Jarvis, O. N.

    2000-01-01

    The edge-localized, high-confinement mode regime is of interest for future Tokamak reactors since high performance has been sustained for long durations. Experiments in the Joint European Tokamak [M. Keilhacker , Nuclear Fusion 39, 209 (1999)] have studied this regime using scans with the toroidal field and plasma current varied together in H, D, DT, and T isotopes. The local energy transport in more than fifty of these plasmas is analyzed, and empirical scaling relations are derived for energy transport coefficients during quasi-steady state conditions using dimensionless parameters. Neither the Bohm nor gyro-Bohm expressions give the shapes of the profiles. The scalings with β and ν * are in qualitative agreement with Ion Temperature Gradient theory

  16. Bispectral analysis applied to coherent floating potential fluctuations obtained in the edge plasmas on JFT-2M

    International Nuclear Information System (INIS)

    Nagashima, Y; Itoh, K; Itoh, S-I; Fujisawa, A; Hoshino, K; Takase, Y; Yagi, M; Ejiri, A; Ida, K; Shinohara, K; Uehara, K; Kusama, Y

    2006-01-01

    This paper presents results of bispectral analysis applied to floating potential fluctuations in the edge region of ohmically heated plasmas in the JAERI Fusion Torus-2 Modified (JFT-2M) tokamak. Inside the outermost surface of plasmas, coherent mode fluctuations (CMs) in floating potential were observed around the frequency of the geodesic acoustic mode. The squared bicoherence shows significant nonlinear couplings between the CMs and background fluctuations. The biphase at the frequency of the CMs is localized around π, while that at frequencies of background fluctuations distributes in a wide range. The total bicoherence at the frequency of the CMs is proportional to the squared amplitude of the CMs. These observations are consistent with the theoretical prediction on the drift wave-zonal flow systems. Interpretation of the absolute value of the total bicoherence is also discussed

  17. Evolution of edge pedestal transport between edge-localized modes in DIII-D

    Energy Technology Data Exchange (ETDEWEB)

    Floyd, J.-P.; Stacey, W. M.; Mellard, S. C. [Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Groebner, R. J. [General Atomics, San Diego, California 92186 (United States)

    2015-02-15

    Evolution of measured profiles of densities, temperatures, and velocities in the edge pedestal region between successive ELM (edge-localized mode) events are analyzed and interpreted in terms of the constraints imposed by particle, momentum and energy balance in order to gain insights regarding the underlying evolution of transport processes in the edge pedestal between ELMs in a series of DIII-D [J. Luxon, Nucl. Fusion 42, 614 (2002)] discharges. The data from successive inter-ELM periods during an otherwise steady-state phase of the discharges were combined into a composite inter-ELM period for the purpose of increasing the number of data points in the analysis. Variation of diffusive and non-diffusive (pinch) particle, momentum, and energy transport over the inter-ELM period are interpreted using the GTEDGE code for discharges with plasma currents from 0.5 to 1.5 MA and inter-ELM periods from 50 to 220 ms. Diffusive transport is dominant for ρ < 0.925, while non-diffusive and diffusive transport are very large and nearly balancing in the sharp gradient region 0.925 < ρ < 1.0. During the inter-ELM period, diffusive transport increases slightly more than non-diffusive transport, increasing total outward transport. Both diffusive and non-diffusive transport have a strong inverse correlation with plasma current.

  18. Particle and power balances of hot-filament discharge plasmas in a multi-dipole device in the presence of a positively biased electrode

    International Nuclear Information System (INIS)

    Cho, M.H.; Hershkowitz, N.; Intrator, T.

    1989-01-01

    The plasma potential is typically assumed to float above an anode potential by a few times of an electron temperature (T /e). The difference between the plasma potential and the anode potential can be estimated by considering the particle production and loss. However, it has been reported experimentally that the plasma potential of a steady state plasma can be more negative than the anode potential with a potential dip (-- T /e) in front of the anode. This paper describes particle and power balances to estimate the bulk plasma potential of a hot-filament discharge plasma produced in a multi-dipole plasma device. The bulk plasma potential dependence on positive DC bias applied to an anode is analyzed, and the predicted characteristics of the plasma potential dependence are compared to the experiment. A steady state potential dip in front of an anode is experimentally observed using emissive probes with the zero emission inflection point method, and the conditions for the potential dip formation are derived

  19. Damage of target edges in brush-like geometry in the course of ELM-like plasma pulses in QSPA Kh-50

    Science.gov (United States)

    Makhlaj, V. A.; Garkusha, I. E.; Aksenov, N. N.; Bazylev, B.; Byrka, O. V.; Chebotarev, V. V.; Landman, I.; Herashchenko, S. S.; Staltsov, V. V.

    2015-08-01

    Castellated edges of macro-brush armour elements of ITER divertor can be a source of molten/solid dust particles which are injected into the plasma. The targets that combined in brush-like geometry have been irradiated under different inclination angles in QSPA Kh-50. The cubic brushes element has typical size of 1 cm. The titanium was used to investigate dynamics of mountains' formation. The onset of dust particles ejection from the exposed castellated targets has been studied. Formation of resolidified bridges through the gaps of brush-like targets due to the melt motion is studied in dynamics. With following plasma impacts such resolidified bridges became additional source of dust.

  20. Damage of target edges in brush-like geometry in the course of ELM-like plasma pulses in QSPA Kh-50

    International Nuclear Information System (INIS)

    Makhlaj, V.A.; Garkusha, I.E.; Aksenov, N.N.; Bazylev, B.; Byrka, O.V.; Chebotarev, V.V.; Landman, I.; Herashchenko, S.S.; Staltsov, V.V.

    2015-01-01

    Castellated edges of macro-brush armour elements of ITER divertor can be a source of molten/solid dust particles which are injected into the plasma. The targets that combined in brush-like geometry have been irradiated under different inclination angles in QSPA Kh-50. The cubic brushes element has typical size of 1 cm. The titanium was used to investigate dynamics of mountains’ formation. The onset of dust particles ejection from the exposed castellated targets has been studied. Formation of resolidified bridges through the gaps of brush-like targets due to the melt motion is studied in dynamics. With following plasma impacts such resolidified bridges became additional source of dust

  1. Damage of target edges in brush-like geometry in the course of ELM-like plasma pulses in QSPA Kh-50

    Energy Technology Data Exchange (ETDEWEB)

    Makhlaj, V.A., E-mail: makhlay@ipp.kharkov.ua [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Garkusha, I.E.; Aksenov, N.N. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Bazylev, B. [Karlsruhe Institute of Technology (KIT), IHM, 76344 Karlsruhe (Germany); Byrka, O.V.; Chebotarev, V.V. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine); Landman, I. [Karlsruhe Institute of Technology (KIT), IHM, 76344 Karlsruhe (Germany); Herashchenko, S.S.; Staltsov, V.V. [Institute of Plasma Physics of the NSC KIPT, 61108 Kharkov (Ukraine)

    2015-08-15

    Castellated edges of macro-brush armour elements of ITER divertor can be a source of molten/solid dust particles which are injected into the plasma. The targets that combined in brush-like geometry have been irradiated under different inclination angles in QSPA Kh-50. The cubic brushes element has typical size of 1 cm. The titanium was used to investigate dynamics of mountains’ formation. The onset of dust particles ejection from the exposed castellated targets has been studied. Formation of resolidified bridges through the gaps of brush-like targets due to the melt motion is studied in dynamics. With following plasma impacts such resolidified bridges became additional source of dust.

  2. Anomalous transport in the tokamak edge

    International Nuclear Information System (INIS)

    Vayakis, G.

    1991-04-01

    The tokamak edge has been studied with arrays of Langmuir and magnetic probes on the DITE and COMPASS-C devices. Measurements of plasma parameters such as density, temperature and radial magnetic field were taken in order to elucidate the character, effect on transport and origin of edge fluctuations. The tokamak edge is a strongly-turbulent environment, with large electrostatic fluctuation levels and broad spectra. The observations, including direct correlation measurements, are consistent with a picture in which the observed magnetic field fluctuations are driven by the perturbations in electrostatic parameters. The propagation characteristics of the turbulence, investigated using digital spectral techniques, appear to be dominated by the variation of the radial electric field, both in limiter and divertor plasmas. A shear layer is formed, associated in each case with the last closed flux surface. In the shear layer, the electrostatic wavenumber spectra are significantly broader. The predictions of a drift wave model (DDGDT) and of a family of models evolving from the rippling mode (RGDT group), are compared with experimental results. RGDT, augmented by impurity radiation effects, is shown to be the most reasonable candidate to explain the nature of the edge turbulence, only failing in its estimate of the wavenumber range. (Author)

  3. Influence of a falling edge on high power microwave pulse combination

    Science.gov (United States)

    Li, Jiawei; Huang, Wenhua; Zhu, Qi; Xiao, Renzhen; Shao, Hao

    2016-07-01

    This paper presents an explanation of the influence of a microwave falling edge on high-power microwave pulse combination. Through particle-in-cell simulations, we discover that the falling edge is the driving factor that limits the output power of the combined pulses. We demonstrate that the space charge field, which accumulates to become comparable to the E-field at the falling edge of the former pulse, will trap the electrons in the gas layer and decrease its energy to attain a high ionization rate. Hence, avalanche discharge, caused by trapped electrons, makes the plasma density to approach the critical density and cuts off the latter microwave pulse. An X-band combination experiment is conducted with different pulse intervals. This experiment confirms that the high density plasma induced by the falling edge can cut off the latter pulse, and that the time required for plasma recombination in the transmission channel is several microseconds. To ensure a high output power for combined pulses, the latter pulse should be moved ahead of the falling edge of the former one, and consequently, a beat wave with high peak power becomes the output by adding two pulses with normal amplitudes.

  4. Electron-impact excitation and recombination of molecular cations in edge fusion plasma: application to H2+and BeD+

    Science.gov (United States)

    Pop, Nicolina; Iacob, Felix; Mezei, Zsolt; Motapon, Ousmanou; Niyonzima, Sebastien; Schneider, Ioan

    2017-10-01

    Dissociative recombination, ro-vibrational excitation and dissociative excitation of molecular cations with electrons are major elementary process in the kinetics and in the energy balance of astrophysically-relevant ionized media (supernovae, interstellar molecular clouds, planetary ionospheres, early Universe), in edge fusion and in many other cold media of technological interest. For the fusion plasma edge, extensive cross sections and rate coefficients have been produced for reactions induced on HD+, H2+ and BeD+ using the Multichannel Quantum Defect Theory (MQDT). Our calculations resulted in good agreement with the CRYRING (Stockholm) and TSR (Heidelberg) magnetic storage ring results, and our approach is permanently improved in order to face the new generation of electrostatic storage rings, as CSR (Heidelberg) and DESIREE (Stockholm). Member of APS Reciprocal Society: European Physics Society.

  5. 3D edge energy transport in stellarator configurations

    International Nuclear Information System (INIS)

    McTaggart, N.; Zagorski, R.; Bonnin, X.; Runov, A.; Schneider, R.; Kaiser, T.; Rognlien, T.; Umansky, M.

    2005-01-01

    The finite difference discretization method is used to solve the electron energy transport equation in complex 3D edge geometries using an unstructured grid. This grid is generated by field-line tracing to separate the radial and parallel fluxes and minimize the numerical diffusion connected with the strong anisotropy of the system. The influence of ergodicity on the edge plasma transport in the W7-X stellarator is investigated in this paper. Results show that the combined effect of ergodicity and the radial plasma diffusion leads to the efficient smoothing of the temperature profiles in the finite-β case

  6. Plasma properties

    International Nuclear Information System (INIS)

    Weitzner, H.

    1991-06-01

    The Magneto-Fluid Dynamics Division continues to study a broad range of problems originating in plasma physics. Its principal focus is fusion plasma physics, and most particularly topics of particular significance for the world magnetic fusion program. During the calendar year 1990 we explored a wide range of topics including RF-induced transport as a plasma control mechanism, edge plasma modelling, further statistical analysis of L and H mode tokamak plasmas, antenna design, simulation of the edge of a tokamak plasma and the L-H transition, interpretation of the CCT experimental results at UCLA, turbulent transport, studies in chaos, the validity of moment approximations to kinetic equations and improved neoclassical modelling. In more basic studies we examined the statistical mechanisms of Coulomb systems and applied plasma ballooning mode theory to conventional fluids in order to obtain novel fluid dynamics stability results. In space plasma physics we examined the problem of reconnection, the effect of Alfven waves in space environments, and correct formulation of boundary conditions of the Earth for waves in the ionosphere

  7. Plasma-aided nanofabrication: where is the cutting edge?

    International Nuclear Information System (INIS)

    Ostrikov, K; Murphy, A B

    2007-01-01

    Plasma-aided nanofabrication is a rapidly expanding area of research spanning disciplines ranging from physics and chemistry of plasmas and gas discharges to solid state physics, materials science, surface science, nanoscience and nanotechnology and related engineering subjects. The current status of the research field is discussed and examples of superior performance and competitive advantage of plasma processes and techniques are given. These examples are selected to represent a range of applications of two major types of plasmas suitable for nanoscale synthesis and processing, namely thermally non-equilibrium and thermal plasmas. Major concepts and terminology used in the field are introduced. The paper also pinpoints the major challenges facing plasma-aided nanofabrication and identifies some emerging topics for future research. (editorial review)

  8. Poloidal asymmetries in the limiter shadow plasma of the Alcator C tokamak. Volume 1

    International Nuclear Information System (INIS)

    LaBombard, B.

    1986-05-01

    This thesis investigates conditions which exist in the limiter shadow plasma of the Alcator C tokamak. The understanding of this edge plasma region is approached from both experimental and theoretical points of view. First, a general overview of edge plasma physical processes is presented. Simple edge plasma models and conditions which can theoretically result in a poloidally asymmetric edge plasma are discussed. A review of data obtained from previous diagnostics in the Alcator C edge plasma is then used to motivate the development of a new edge plasma diagnostic system (DENSEPACK) to experimentally investigate poloidal asymmetries in this region. The bulk of this thesis focuses on the marked poloidal asymmetries detected by this poloidal probe array and possible mechanisms which might support such asymmetries on a magnetic flux surface. In processing the probe data, some important considerations on fitting Langmuir probe characteristics are identified. The remainder of this thesis catalogues edge versus central plasma parameter dependences. Regression analysis techniques are applied to characterize edge density for various central plasma parameters. Edge plasma conditions during lower hybrid radio frequency heating and pellet injection are also discussed

  9. Effect of low density H-mode operation on edge and divertor plasma parameters

    International Nuclear Information System (INIS)

    Maingi, R.; Mioduszewski, P.K.; Cuthbertson, J.W.

    1994-07-01

    We present a study of the impact of H-mode operation at low density on divertor plasma parameters on the DIII-D tokamak. The line-average density in H-mode was scanned by variation of the particle exhaust rate, using the recently installed divertor cryo-condensation pump. The maximum decrease (50%) in line-average electron density was accompanied by a factor of 2 increase in the edge electron temperature, and 10% and 20% reductions in the measured core and divertor radiated power, respectively. The measured total power to the inboard divertor target increased by a factor of 3, with the major contribution coming from a factor of 5 increase in the peak heat flux very close to the inner strike point. The measured increase in power at the inboard divertor target was approximately equal to the measured decrease in core and divertor radiation

  10. The text neutral lithium beam edge density diagnostic

    International Nuclear Information System (INIS)

    Howald, A.M.; McChesney, J.M.; West, W.P.

    1994-07-01

    A fast neutral lithium beam has been installed on the TEXT tokamak for Beam Emission Spectroscopy (BES) studies of the edge plasma electron density profile. The diagnostic was recently upgraded from ten to twenty spatial channels, each of which has two detectors, one to measure lithium beam signal and one to monitor plasma background light. The spatial resolution is 6 mm, and the temporal resolution is designed to be as high as 10 ms for studies of transient events including plasma density fluctuations. Initial results are presented from the ten-channel system: Edge electron densities unfolded from the LiI(2 s 2 S - 2 p 2 P) 670.8 nm emission profile have the same general time dependence as the line-averaged density measured by microwave interferometry

  11. Folded membrane dialyzer with mechanically sealed edges

    Energy Technology Data Exchange (ETDEWEB)

    Markley, F.W.

    A semipermeable membrane is folded in accordion fashion to form a stack of pleats and the edges are sealed so as to isolate the opposite surfaces of the membrane. The stack is contained within a case that provides ports for flow of blood in contact with one surface of the membrane through channels formed by the pleats and also provides ports for flow of a dialysate through channels formed by the pleats in contact with the other surface of the membrane. The serpentine side edges of the membrane are sealed by a solidified plastic material, whereas effective mechanical means are provided to seal the end edges of the folded membrane. The mechanical means include a clamping strip which biases case sealing flanges into a sealed relationship with end portions of the membrane near the end edges, which portions extend from the stack and between the sealing flanges.

  12. Superconducting Metallic Glass Transition-Edge-Sensors

    Science.gov (United States)

    Hays, Charles C. (Inventor)

    2013-01-01

    A superconducting metallic glass transition-edge sensor (MGTES) and a method for fabricating the MGTES are provided. A single-layer superconducting amorphous metal alloy is deposited on a substrate. The single-layer superconducting amorphous metal alloy is an absorber for the MGTES and is electrically connected to a circuit configured for readout and biasing to sense electromagnetic radiation.

  13. Eigenvalues of relaxed toroidal plasmas of arbitrary sharp edged cross sections. Vol. 2

    Energy Technology Data Exchange (ETDEWEB)

    Khalil, Sh M [Plasma Physics and Nuclear Fusion Department, Nuclear Research Center, Atomic Energy Authority, Cairo, (Egypt)

    1996-03-01

    Relaxed (force-free) toroidal plasmas described by the equations cur 1 B={mu}B, and grad {mu}=O (B is the magnetic field) induces interest in nuclear fusion. Its solution is perceived to describe the gross of the reversed field pinch (RFP), spheromak configuration, current limitation in toroidal plasmas, and others. The parameter {mu} plays an important roll in relaxed states. It cannot exceed the smallest eigenvalue {mu} (min), and that for a toroidal discharge there is a maximum toroidal current which is connected to this value. The values of{mu} were calculated numerically, using the methods of collocation points, for toroids of arbitrary aspect ratio {alpha} ({alpha} = R/a, ratio of major/minor radii of tokamak) and arbitrary curved cross-sections (circle, ellipse, cassini, and D-shaped). The aim of present work is to prove the applicability of the numerical methods for calculating the eigenvalues for toroidal plasmas having sharp edged cross sections and arbitrary aspect ratio. The lowest eigenvalue {mu} (min), satisfy the boundary condition {beta} .n = O (or RB. = O) for which the toroidal flux are calculated. These are the zero field eigenvalues of the equation cur 1 b={mu}B. The poloidal magnetic field lines corresponding to different cross sections are shown by plotting the boundary condition B.n=O. The plots showed good fulfillment of the boundary condition along the whole boundaries of different cross sections. Dependence of eigenvalues {mu}a on aspect ratio {alpha} is also obtained. Several runs of the programme with various wave numbers K showed that {mu}a is very insensitive to the choice of K. 8 figs.

  14. MHD instabilities and their effects on plasma confinement in the large helical device plasmas

    International Nuclear Information System (INIS)

    Toi, K.

    2002-01-01

    MHD stability of NBI heated plasmas and impacts of MHD modes on plasma confinement are intensively studied in the Large Helical Device (LHD). Three characteristic MHD instabilities were observed, that is, (1) pressure driven modes excited in the plasma edge, (2) pressure driven mode in the plasma core, and (3) Alfven eigenmodes (AEs) driven by energetic ions. MHD mode excited in the edge region accompanies multiple satellites, and is called Edge Harmonic Modes (EHMs). EHM sometimes has a bursting character. The bursting EHM transiently decreases the stored energy by about 15 percent. In the plasma core region, m=2/n=1 pressure driven mode is typically destabilized. The mode often induces internal collapse in the higher beta regime more than 1 percent. The internal collapse appreciably affects the global confinement. Energetic ion driven AEs are often detected in NBI-heated LHD plasmas. Particular AE with the frequency 8-10 times larger than TAE-frequency was detected in high beta plasmas more than 2 percent. The AE may be related to helicity-induced AE. Excitation of these three types of MHD instabilities and their impacts on plasma confinement are discussed. (author)

  15. Edge plasma diagnostics on Tore Supra tokamak

    International Nuclear Information System (INIS)

    Fujita, Junji

    1991-01-01

    From 1988 to 1991, the international scientific research 'Diagnosis of peripheral plasma in Tore Supra tokamak' was carried out as a three-year plan receiving the support of the scientific research expense of the Ministry of Education. This is to apply the method of measuring electron density distribution by neutral lithium beam probe spectroscopy to the measurement of the electron density distribution in the peripheral plasma in Tore Supra Tokamak in France. Among many tokamaks in operation doing respective characteristics researches, the Tore Supra generates the toroidal magnetic field by using superconducting coils, and aims at the long time discharge for 30 sec. for the time being, and for 300 sec. in future. In the plasma generators for long time discharge like this, the technology of particle control is a large problem. For this purpose, a divertor was added to the Tore Supra. In order to advance the research on particle control, it is necessary to examine the behavior of plasma in the peripheral part in detail. The measurement of peripheral plasma in tokamaks, beam probe spectroscopy, the Tore Supra tokamak, the progress of the joint research, the problems in the joint research and the perspective of hereafter are reported. (K.I.)

  16. Effects of density gradients and fluctuations at the plasma edge on ECEI measurements at ASDEX Upgrade

    Science.gov (United States)

    Vanovac, B.; Wolfrum, E.; Denk, S. S.; Mink, F.; Laggner, F. M.; Birkenmeier, G.; Willensdorfer, M.; Viezzer, E.; Hoelzl, M.; Freethy, S. J.; Dunne, M. G.; Lessig, A.; Luhmann, N. C., Jr.; the ASDEX Upgrade Team; the EUROfusion MST1 Team

    2018-04-01

    Electron cyclotron emission imaging (ECEI) provides measurements of electron temperature (T e ) and its fluctuations (δT e ). However, when measuring at the plasma edge, in the steep gradient region, radiation transport effects must be taken into account. It is shown that due to these effects, the scrape-off layer region is not accessible to the ECEI measurements in steady state conditions and that the signal is dominated by the shine-through emission. Transient effects, such as filaments, can change the radiation transport locally, but cannot be distinguished from the shine-through. Local density measurements are essential for the correct interpretation of the electron cyclotron emission, since the density fluctuations influence the temperature measurements at the plasma edge. As an example, a low frequency 8 kHz mode, which causes 10%-15% fluctuations in the signal level of the ECEI, is analysed. The same mode has been measured with the lithium beam emission spectroscopy density diagnostic, and is very well correlated in time with high frequency magnetic fluctuations. With radiation transport modelling of the electron cyclotron radiation in the ECEI geometry, it is shown that the density contributes significantly to the radiation temperature (T rad) and the experimental observations have shown the amplitude modulation in both density and temperature measurements. The poloidal velocity of the low frequency mode measured by the ECEI is 3 km s-1. The calculated velocity of the high frequency mode measured with the magnetic pick-up coils is about 25 km s-1. Velocities are compared with the E × B background flow velocity and possible explanations for the origin of the low frequency mode are discussed.

  17. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    DEFF Research Database (Denmark)

    Thrysøe, Alexander Simon; Løiten, M.; Madsen, J.

    2018-01-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms...... is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms...... are included in a four-field drift fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the lastclosed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation...

  18. Development of a monoenergetic 1-10 keV neutral lithium beam for the diagnostic of edge plasmas in magnetic confinement devices

    International Nuclear Information System (INIS)

    Ueda, Mario.

    1994-09-01

    Diagnostic of plasmas confined by magnetic fields for fusion research based on neutral lithium beam (NLB) is presently considered to be one of the most appropriate methods to carry out the important measurements of edge density and its fluctuation profiles without plasma perturbation. In this CRP project we proposed the development of an NLB source with 1-10 KeV based on a traditional β-eucryptite surface emission source coupled to a Pierce gun geometry accelerator and subsequent neutralization of the Li + beam by a Li-oven neutralizer. Possible application of such an NLB probe in a medium term (2-3 years) in our country would be in a small RFP in operation and in a low-aspect-ratio tokamak in construction both at LAP/INPE and in other hot plasma devices operating at brazilian universities of Sao Paulo (USP) and Campinas (UNICAMP) with whom we maintain strong collaboration efforts in plasma research. (author). 8 refs

  19. Control of the magnetic topology and plasma exhaust in the edge region of Wendelstein 7-X. A numerical study

    Energy Technology Data Exchange (ETDEWEB)

    Hoelbe, Hauke

    2016-02-15

    detail. In this thesis, state-of-the-art codes are used to analyze this previously proposed mitigation strategy; they are also used to develop several alternative mitigation schemes, which may in the end be advantageous. The work performed here shows not only that it is conceivable to solve this already identified problem in new and arguably better ways but also that the W7-X coil set has enough degrees of freedom that many important long-pulse plasma e.ects can be e.ectively mimicked in short-pulse operation. This opens up a rich research program in the early phases of operation and may therefore lead to a significant acceleration of the scientific program to control and optimize the divertor operation in W7-X. The main scientific challenge for the island divertor operation in W7-X is that, since the divertor geometry is now fixed, the magnetic field structure must be adjusted to the divertor geometry, or additional plasma-facing components must be manufactured and installed. Well before this thesis work was done, such additional plasma-facing components were proposed. These are called scraper elements (SEs). As a part of this work, computer simulations were performed in order to obtain a better knowledge base regarding the SEs. To analyze the e.ect of the SE, edge plasma physics simulation code EMC3-Eirene, was used, in combination with state-of-the-art magneto hydrodynamic (MHD) equilibrium codes. This combination was computationally non-trivial and new, and it has led to important insights. One main result of this study is that the SEs significantly reduce the particle exhaust capabilities in steady state operation; this is a concern for W7-X. To test and further quantify this deleterious effect, physics experiments with a prototype SE should be performed as soon as possible, ideally in the first operation campaigns before the approximately two-year break needed to complete W7-X for steady-state operation. In this first operation phase, however, the necessary

  20. Control of the magnetic topology and plasma exhaust in the edge region of Wendelstein 7-X. A numerical study

    International Nuclear Information System (INIS)

    Hoelbe, Hauke

    2016-01-01

    detail. In this thesis, state-of-the-art codes are used to analyze this previously proposed mitigation strategy; they are also used to develop several alternative mitigation schemes, which may in the end be advantageous. The work performed here shows not only that it is conceivable to solve this already identified problem in new and arguably better ways but also that the W7-X coil set has enough degrees of freedom that many important long-pulse plasma e.ects can be e.ectively mimicked in short-pulse operation. This opens up a rich research program in the early phases of operation and may therefore lead to a significant acceleration of the scientific program to control and optimize the divertor operation in W7-X. The main scientific challenge for the island divertor operation in W7-X is that, since the divertor geometry is now fixed, the magnetic field structure must be adjusted to the divertor geometry, or additional plasma-facing components must be manufactured and installed. Well before this thesis work was done, such additional plasma-facing components were proposed. These are called scraper elements (SEs). As a part of this work, computer simulations were performed in order to obtain a better knowledge base regarding the SEs. To analyze the e.ect of the SE, edge plasma physics simulation code EMC3-Eirene, was used, in combination with state-of-the-art magneto hydrodynamic (MHD) equilibrium codes. This combination was computationally non-trivial and new, and it has led to important insights. One main result of this study is that the SEs significantly reduce the particle exhaust capabilities in steady state operation; this is a concern for W7-X. To test and further quantify this deleterious effect, physics experiments with a prototype SE should be performed as soon as possible, ideally in the first operation campaigns before the approximately two-year break needed to complete W7-X for steady-state operation. In this first operation phase, however, the necessary

  1. Space-time statistics of the turbulence in the PRETEXT and TEXT tokamak edge plasmas

    International Nuclear Information System (INIS)

    Levinson, S.J.

    1986-01-01

    A study of the statistical space-time properties of the turbulence observed in the edge regions of the PRETEXT and the TEXT tokamaks are reported. Computer estimates of the particle-transport spectrum T(omega), and of the local wavenumber-frequency spectra S(K,omega) for poloidal (k/sub y/) and toroidal (k/sub z/) wavenumbers was determined. A conventional fast-Fourier-transform technique is used initially for the analyses of the potential and density fluctuations obtained from spatially fixed Langmuir-probe pairs. Measurements of the fluctuation-induced particle transport revealed that the particle flux is outward for both PRETEXT and TEXT, and it results primarily from the low-frequency, long-wavelength components of the turbulence. The S(K/sub y/, omega) spectra are dominated by low frequencies ( -1 ) and appear broadened about an approximately linear statistical dispersion relation, anti k(omega). The broadening is characterized by a spectral width sigma/sub k/(omega) (rms deviation about anti k(omega)). In PRETEXT, sigma/sub k/(omega) is of the order of anti k(omega), and the turbulence appears to propagate poloidally with an apparent mean phase velocity of 1-2 x 10 5 cm/s in the ion diamagnetic drift direction. In TEXT, a reversal in the phase velocity of the turbulence in the edge plasma was observed

  2. A PROBE-BASED METHOD FOR MEASURING THE TRANSPORT COEFFICIENT IN THE TOKAMAK EDGE REGION

    Czech Academy of Sciences Publication Activity Database

    Brotánková, Jana; Martines, E.; Adámek, Jiří; Popa, G.; Costin, C.; Schrittwieser, R.; Ionita, C.; Stöckel, Jan; Van Oost, G.; van de Peppel, L.

    2006-01-01

    Roč. 56, č. 12 (2006), s. 1321-1327 ISSN 0011-4626. [Workshop on the Electric Field, Structures, and Relaxation in Edge Plasma/9th./. Řím, 26.6.2006-27.6.2006] R&D Projects: GA AV ČR(CZ) KJB100430601 Institutional research plan: CEZ:AV0Z20430508 Keywords : probe measurements * plasma edge * diffusion Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.568, year: 2006

  3. Biased divertor performance under auxiliary heating conditions on the TdeV tokamak

    International Nuclear Information System (INIS)

    Decoste, R.; Lachambre, J.L.; Demers, Y.

    1994-01-01

    Plasma biasing has been shown on TdeV in the ohmic regime to be very promising for divertor applications. Negative biasing, with shortened SOL density gradients, improves the divertor performance, whereas positive biasing, with longer gradients, does not do much for the divertor. The next objectives were to extrapolate those results to auxiliary heated plasmas and optimize/simplify the biasing geometry for future upgrades. New results are now available with an improved divertor geometry and auxiliary heating/current drive provided by a new lower hybrid (LH) system. The new geometry, optimized for positive biasing with predictably acceptable negative biasing performances, allows for a fair comparison between the two polarities. (author) 4 refs., 5 figs

  4. Interpretation of changes in diffusive and non-diffusive transport in the edge plasma during pedestal buildup following a low-high transition in DIII-D

    Energy Technology Data Exchange (ETDEWEB)

    Stacey, W. M.; Sayer, M.-H.; Floyd, J.-P. [Georgia Tech, Atlanta, Georgia 30332 (United States); Groebner, R. J. [General Atomics, San Diego, California 92186 (United States)

    2013-01-15

    The evolution of diffusive and non-diffusive transport during pedestal buildup following a low-high (L-H) transition has been interpreted from a particle-momentum-energy balance analysis of the measured density, temperature, and rotation velocity profiles in the plasma edge (0.82<{rho}<1.0) of a DIII-D [Luxon, Nucl. Fusion 42, 614 (2002)] discharge. In the discharge examined, there was an edge-localized-mode-free period of more than 600 ms following the L-H transition, and the majority of edge pedestal development occurred within the first 100 ms following the L-H transition. There appears to be a spatio-temporal correlation among the measured toroidal and poloidal rotation, the formation of a negative well in the measured radial electric field, the creation of a large inward particle pinch, the calculated intrinsic rotation due to ion orbit loss, and the measured formation of steep gradients in density and temperature in the outer region ({rho}>0.95) of the edge pedestal.

  5. Edge effects in vertically-oriented graphene based electric double-layer capacitors

    Science.gov (United States)

    Yang, Huachao; Yang, Jinyuan; Bo, Zheng; Zhang, Shuo; Yan, Jianhua; Cen, Kefa

    2016-08-01

    Vertically-oriented graphenes (VGs) have been demonstrated as a promising active material for electric double-layer capacitors (EDLCs), partially due to their edge-enriched structure. In this work, the 'edge effects', i.e., edges as the promoters of high capacitance, in VG based EDLCs are investigated with experimental research and numerical simulations. VGs with diverse heights (i.e., edge-to-basal ratios) and edge densities are prepared with varying the plasma-enabled growth time and employing different plasma sources. Electrochemical measurements show that the edges play a predominant role on the charge storage behavior of VGs. A simulation is further conducted to unveil the roles of the edges on the separation and adsorption of ions within VG channels. The initial charge distribution of a VG plane is obtained with density functional theory (DFT) calculations, which is subsequently applied to a molecular dynamics (MD) simulation system to gain the insights into the microscope EDLC structures. Compared with the basal planes, the edges present higher initial charge density (by 4.2 times), higher ion packing density (by 2.6 times), closer ion packing location (by 0.8 Å), and larger ion separation degree (by 14%). The as-obtained findings will be instructive in designing the morphology and structure of VGs for enhanced capacitive performances.

  6. Plasma particle sources due to interactions with neutrals in a turbulent scrape-off layer of a toroidally confined plasma

    Science.gov (United States)

    Thrysøe, A. S.; Løiten, M.; Madsen, J.; Naulin, V.; Nielsen, A. H.; Rasmussen, J. Juul

    2018-03-01

    The conditions in the edge and scrape-off layer (SOL) of magnetically confined plasmas determine the overall performance of the device, and it is of great importance to study and understand the mechanics that drive transport in those regions. If a significant amount of neutral molecules and atoms is present in the edge and SOL regions, those will influence the plasma parameters and thus the plasma confinement. In this paper, it is displayed how neutrals, described by a fluid model, introduce source terms in a plasma drift-fluid model due to inelastic collisions. The resulting source terms are included in a four-field drift-fluid model, and it is shown how an increasing neutral particle density in the edge and SOL regions influences the plasma particle transport across the last-closed-flux-surface. It is found that an appropriate gas puffing rate allows for the edge density in the simulation to be self-consistently maintained due to ionization of neutrals in the confined region.

  7. Numerical studies of edge localized instabilities in tokamaks

    International Nuclear Information System (INIS)

    Wilson, H.R.; Snyder, P.B.; Huysmans, G.T.A.; Miller, R.L.

    2002-01-01

    A new computational tool, edge localized instabilities in tokamaks equilibria (ELITE), has been developed to help our understanding of short wavelength instabilities close to the edge of tokamak plasmas. Such instabilities may be responsible for the edge localized modes observed in high confinement H-mode regimes, which are a serious concern for next step tokamaks because of the high transient power loads which they can impose on divertor target plates. ELITE uses physical insight gained from analytic studies of peeling and ballooning modes to provide an efficient way of calculating the edge ideal magnetohydrodynamic stability properties of tokamaks. This paper describes the theoretical formalism which forms the basis for the code

  8. ExB flow shear and enhanced confinement in the Madison Symmetric Torus reversed-field pinch

    International Nuclear Information System (INIS)

    Chapman, B.E.; Almagri, A.F.; Anderson, J.K.; Chiang, C.; Craig, D.; Fiksel, G.; Lanier, N.E.; Prager, S.C.; Sarff, J.S.; Stoneking, M.R.; Terry, P.W.

    1998-01-01

    Strong ExB flow shear occurs in the edge of three types of enhanced confinement discharge in the Madison Symmetric Torus [Dexter et al., Fusion Technol. 19, 131 (1991)] reversed-field pinch. Measurements in standard (low confinement) discharges indicate that global magnetic fluctuations drive particle and energy transport in the plasma core, while electrostatic fluctuations drive particle transport in the plasma edge. This paper explores possible contributions of ExB flow shear to the reduction of both the magnetic and electrostatic fluctuations and, thus, the improved confinement. In one case, shear in the ExB flow occurs when the edge plasma is biased. Biased discharges exhibit changes in the edge electrostatic fluctuations and improved particle confinement. In two other cases, the flow shear emerges (1) when auxiliary current is driven in the edge and (2) spontaneously, following sawtooth crashes. Both edge electrostatic and global magnetic fluctuations are reduced in these discharges, and both particle and energy confinement improve. copyright 1998 American Institute of Physics

  9. Electrically tunable robust edge states in graphene-based topological photonic crystal slabs

    Science.gov (United States)

    Song, Zidong; Liu, HongJun; Huang, Nan; Wang, ZhaoLu

    2018-03-01

    Topological photonic crystals are optical structures supporting topologically protected unidirectional edge states that exhibit robustness against defects. Here, we propose a graphene-based all-dielectric photonic crystal slab structure that supports two-dimensionally confined topological edge states. These topological edge states can be confined in the out-of-plane direction by two parallel graphene sheets. In the structure, the excitation frequency range of topological edge states can be dynamically and continuously tuned by varying bias voltage across the two parallel graphene sheets. Utilizing this kind of architecture, we construct Z-shaped channels to realize topological edge transmission with diffrerent frequencies. The proposal provides a new degree of freedom to dynamically control topological edge states and potential applications for robust integrated photonic devices and optical communication systems.

  10. Coupling properties and edge plasma interaction characteristics of the new Tore Supra lower hybrid antenna

    International Nuclear Information System (INIS)

    Bibet, Ph.; Fuchs, V.; Mailloux, J.

    1999-01-01

    A significant part of the non-inductive in Tore Supra will be driven by a new launcher, to be installed in September 1999. The antenna phase 2 is made of 6 rows with 48 active waveguides and 9 passive ones in each. Passive waveguides are inserted at every 6. active one. This grill has been designed in the frame of the CIEL project. It will inject 4 MW at 3.7 GHz at a safe power density of 25 MW/m 2 for a pulse length of 1000 s. The radiated spectrum peaks at N // = 2.03 with a possible variation of ± 0.35 and a FWHM of 0.35. In order to prepare for operation with this grill, the coupling properties and the power directivity of the radiated spectra have been studied as a function of: the electron density and electron density gradient ; the feeding phase shift between the 8 antenna modules; the geometry of the antenna. Furthermore, the interaction of plasma edge electrons with the antenna is analysed and a comparison with the previous Tore Supra antenna is made. This is done for a range of plasma parameters and feeding phase. (authors)

  11. Probing neutral density at the plasma edge of Tore Supra with CX excited impurity ions

    International Nuclear Information System (INIS)

    Hess, W.R.; Mattioli, M.; Guirlet, R.

    1993-01-01

    In Tokamak plasma physics renewed interest in visible spectroscopy has grown for two reasons. The use of fiber optics allows observation of local sources of both impurities and of hydrogen by observing radiation of low ionization states. Moreover, charge exchange spectroscopy (CXS) with either auxiliary or heating neutral beams is a standard technique to determine the ion temperature and impurity density profiles. After a short description of the experimental setup and the ergodic divertor of Tore Supra (TS), two discharges in which space-resolved observations of the CVI (8-7) line clearly show the presence of CX-related effects. A well isolated spectral line at 5304.6 A is discussed. Tentative identification as CIII (1s 2 2s, 7-5) is suggested. The conclusion shows the usefulness of the reported results for probing neutral density at the plasma edge by detecting CX excited impurity ions and that highly ionized C 6+ ions exist in the MARFE regions. To the best of our knowledge, only very low ionization C and O ions (such as CIII or OIV) have been previously reported in these regions

  12. Influence of duty ratio of pulsed bias on structure and properties of silicon-doped diamond-like carbon films by plasma deposition

    International Nuclear Information System (INIS)

    Nakazawa, Hideki; Kamata, Ryosuke; Miura, Soushi; Okuno, Saori

    2013-01-01

    We have investigated the influence of the duty ratio of pulsed substrate bias on the structure and properties of Si-doped diamond-like carbon (Si-DLC) films deposited by radio frequency plasma-enhanced chemical vapor deposition using CH 4 , Ar, and monomethylsilane (CH 3 SiH 3 ) as the Si source. The Si/(Si + C) ratios in the Si-DLC films deposited using pulsed bias were higher than that of the dc-biased Si-DLC film, and the Si fraction increased with decreasing pulse duty ratio. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy analyses revealed that Si-C, Si-H n , and C-H n bonds in the Si-DLC films increased with decreasing duty ratio. The internal stress decreased as the duty ratio decreased, which is probably due to the increase in Si-C, Si-H n , and C-H n bonds in the films. The Si-DLC films deposited using pulsed bias had higher adhesion strength than the dc-biased Si-DLC film because of the further reduction of internal stress. At higher duty ratios, although the Si fractions of the pulse-biased Si-DLC films were higher than that of the dc-biased Si-DLC film, the wear rates of the former were less than that of the latter. - Highlights: • The internal stress of Si-doped films was lowered at lower duty ratios. • The adhesion of pulse-biased films was improved compared with that of dc films. • The tribological properties of Si-doped films were improved by the use of pulse bias

  13. Explanation of L→H mode transition based on gradient stabilization of edge thermal fluctuations

    International Nuclear Information System (INIS)

    Stacey, W.M.

    1996-01-01

    A linear analysis of thermal fluctuations, using a fluid model which treats the large radial gradient related phenomena in the plasma edge, leads to a constraint on the temperature and density gradients for stabilization of edge temperature fluctuations. A temperature gradient, or conductive edge heat flux, threshold is identified. It is proposed that the L→H transition takes place when the conductive heat flux to the edge produces a sufficiently large edge temperature gradient to stabilize the edge thermal fluctuations. The consequences following from this mechanism for the L→H transition are in accord with observed phenomena associated with the L→H transition and with the observed parameter dependences of the power threshold. First, a constraint is established on the edge temperature and density gradients that are sufficient for the stability of edge temperature fluctuations. A slab approximation for the thin plasma edge and a fluid model connected to account for the large radial gradients present in the plasma edge are used. Equilibrium solutions are characterized by the value of the density and of its gradient L n -1 double-bond - n -1 , etc. Temperature fluctuations expanded about the equilibrium value are then used in the energy balance equation summed over plasma ions, electrons and impurities to obtain, after linearization, an expression for the growth rate ω of edge localized thermal fluctuations. Thermal stability of the equilibrium solution requires ω ≤ 0, which establishes a constraint that must be satisfied by L n -1 and L T -1 . The limiting value of the constraint (ω = 0) leads to an expression for the minimum value of that is sufficient for thermal stability, for a given value of L T -1. It is found that there is a minimum value of the temperature gradient, (L T -1 ) min that is necessary for a stable solution to exist for any value of L n -1

  14. Framework Application for Core Edge Transport Simulation (FACETS)

    Energy Technology Data Exchange (ETDEWEB)

    Krasheninnikov, Sergei; Pigarov, Alexander

    2011-10-15

    The FACETS (Framework Application for Core-Edge Transport Simulations) project of Scientific Discovery through Advanced Computing (SciDAC) Program was aimed at providing a high-fidelity whole-tokamak modeling for the U.S. magnetic fusion energy program and ITER through coupling separate components for each of the core region, edge region, and wall, with realistic plasma particles and power sources and turbulent transport simulation. The project also aimed at developing advanced numerical algorithms, efficient implicit coupling methods, and software tools utilizing the leadership class computing facilities under Advanced Scientific Computing Research (ASCR). The FACETS project was conducted by a multi-discipline, multi-institutional teams, the Lead PI was J.R. Cary (Tech-X Corp.). In the FACETS project, the Applied Plasma Theory Group at the MAE Department of UCSD developed the Wall and Plasma-Surface Interaction (WALLPSI) module, performed its validation against experimental data, and integrated it into the developed framework. WALLPSI is a one-dimensional, coarse grained, reaction/advection/diffusion code applied to each material boundary cell in the common modeling domain for a tokamak. It incorporates an advanced model for plasma particle transport and retention in the solid matter of plasma facing components, simulation of plasma heat power load handling, calculation of erosion/deposition, and simulation of synergistic effects in strong plasma-wall coupling.

  15. Edge Pedestal Control in Quiescent H-Mode Discharges in DIII-D Using Co Plus Counter Neutral Beam Injection

    International Nuclear Information System (INIS)

    Burrell, K.H.; Osborne, T.H.; Snyder, P.B.; West, W.P.; Chu, M.S.; Fenstermacher, M.E.; Gohil, P.; Solomon, W.M.

    2008-01-01

    We have made two significant discoveries in our recent studies of quiescent H-mode (QH-mode) plasmas in DIII-D. First, we have found that we can control the edge pedestal density and pressure by altering the edge particle transport through changes in the edge toroidal rotation. This allows us to adjust the edge operating point to be close to, but below the ELM stability boundary, maintaining the ELM-free state while allowing up to a factor of two increase in edge pressure. The ELM boundary is significantly higher in more strongly shaped plasmas, which broadens the operating space available for QH-mode and leads to improved core performance. Second, for the first time on any tokamak, we have created QH-mode plasmas with strong edge co-rotation; previous QH-modes in all tokamaks had edge counter rotation. This result demonstrates that counter NBI and edge counter rotation are not essential conditions for QH-mode. Both these investigations benefited from the edge stability predictions based on peeling-ballooning mode theory. The broadening of the ELM-stable region with plasma shaping is predicted by that theory. The theory has also been extended to provide a model for the edge harmonic oscillation (EHO) that regulates edge transport in the QH-mode. Many of the features of that theory agree with the experimental results reported either previously or in the present paper. One notable example is the prediction that co-rotating QH-mode is possible provided sufficient shear in the edge rotation can be created

  16. Continuous, edge localized ion heating during non-solenoidal plasma startup and sustainment in a low aspect ratio tokamak

    Science.gov (United States)

    Burke, M. G.; Barr, J. L.; Bongard, M. W.; Fonck, R. J.; Hinson, E. T.; Perry, J. M.; Reusch, J. A.; Schlossberg, D. J.

    2017-07-01

    Plasmas in the Pegasus spherical tokamak are initiated and grown by the non-solenoidal local helicity injection (LHI) current drive technique. The LHI system consists of three adjacent electron current sources that inject multiple helical current filaments that can reconnect with each other. Anomalously high impurity ion temperatures are observed during LHI with T i,OV  ⩽  650 eV, which is in contrast to T i,OV  ⩽  70 eV from Ohmic heating alone. Spatial profiles of T i,OV indicate an edge localized heating source, with T i,OV ~ 650 eV near the outboard major radius of the injectors and dropping to ~150 eV near the plasma magnetic axis. Experiments without a background tokamak plasma indicate the ion heating results from magnetic reconnection between adjacent injected current filaments. In these experiments, the HeII T i perpendicular to the magnetic field is found to scale with the reconnecting field strength, local density, and guide field, while {{T}\\text{i,\\parallel}} experiences little change, in agreement with two-fluid reconnection theory. This ion heating is not expected to significantly impact the LHI plasma performance in Pegasus, as it does not contribute significantly to the electron heating. However, estimates of the power transfer to the bulk ion are quite large, and thus LHI current drive provides an auxiliary ion heating mechanism to the tokamak plasma.

  17. Predictions of the near edge transport shortfall in DIII-D L-mode plasmas using the trapped gyro-Landau-fluid model

    Energy Technology Data Exchange (ETDEWEB)

    Kinsey, J. E. [CompX, P.O. Box 2672, Del Mar, California 92014 (United States); Staebler, G. M.; Candy, J.; Petty, C. C.; Waltz, R. E. [General Atomics, P.O. Box 85608, San Diego, California 92186-5608 (United States); Rhodes, T. L. [Physics Department and PSTI, University of California, Los Angeles, California 90095 (United States)

    2015-01-15

    Previous studies of DIII-D L-mode plasmas have shown that a transport shortfall exists in that our current models of turbulent transport can significantly underestimate the energy transport in the near edge region. In this paper, the Trapped Gyro-Landau-Fluid (TGLF) drift wave transport model is used to simulate the near edge transport in a DIII-D L-mode experiment designed to explore the impact of varying the safety factor on the shortfall. We find that the shortfall systematically increases with increasing safety factor and is more pronounced for the electrons than for the ions. Within the shortfall dataset, a single high current case has been found where no transport shortfall is predicted. Reduced neutral beam injection power has been identified as the key parameter separating this discharge from other discharges exhibiting a shortfall. Further analysis shows that the energy transport in the L-mode near edge region is not stiff according to TGLF. Unlike the H-mode core region, the predicted temperature profiles are relatively more responsive to changes in auxiliary heating power. In testing the fidelity of TGLF for the near edge region, we find that a recalibration of the collision model is warranted. A recalibration improves agreement between TGLF and nonlinear gyrokinetic simulations performed using the GYRO code with electron-ion collisions. The recalibration only slightly impacts the predicted shortfall.

  18. Coincident ion acceleration and electron extraction for space propulsion using the self-bias formed on a set of RF biased grids bounding a plasma source

    International Nuclear Information System (INIS)

    Rafalskyi, D; Aanesland, A

    2014-01-01

    We propose an alternative method to accelerate ions in classical gridded ion thrusters and ion sources such that co-extracted electrons from the source may provide beam space charge neutralization. In this way there is no need for an additional electron neutralizer. The method consists of applying RF voltage to a two-grid acceleration system via a blocking capacitor. Due to the unequal effective area of the two grids in contact with the plasma, a dc self-bias is formed, rectifying the applied RF voltage. As a result, ions are continuously accelerated within the grid system while electrons are emitted in brief instants within the RF period when the RF space charge sheath collapses. This paper presents the first experimental results and a proof-of-principle. Experiments are carried out using the Neptune thruster prototype which is a gridded Inductively Coupled Plasma (ICP) source operated at 4 MHz, attached to a larger beam propagation chamber. The RF power supply is used both for the ICP discharge (plasma generation) and powering the acceleration grids via a capacitor for ion acceleration and electron extraction without any dc power supplies. The ion and electron energies, particle flux and densities are measured using retarding field energy analyzers (RFEA), Langmuir probes and a large beam target. The system operates in Argon and N 2 . The dc self-bias is found to be generated within the gridded extraction system in all the range of operating conditions. Broad quasi-neutral ion-electron beams are measured in the downstream chamber with energies up to 400 eV. The beams from the RF acceleration method are compared with classical dc acceleration with an additional external electron neutralizer. It is found that the two acceleration techniques provide similar performance, but the ion energy distribution function from RF acceleration is broader, while the floating potential of the beam is lower than for the dc accelerated beam. (paper)

  19. Probing active-edge silicon sensors using a high precision telescope

    Energy Technology Data Exchange (ETDEWEB)

    Akiba, K. [Federal University of Rio de Janeiro, Rio de Janeiro (Brazil); Artuso, M. [Syracuse University, Syracuse, NY (United States); Beveren, V. van; Beuzekom, M. van; Boterenbrood, H. [Nikhef, Amsterdam (Netherlands); Buytaert, J.; Collins, P.; Dumps, R. [CERN, the European Organisation for Nuclear Research, Geneva (Switzerland); Heijden, B. van der [Nikhef, Amsterdam (Netherlands); Hombach, C. [University of Manchester, Manchester, Lancashire (United Kingdom); Hynds, D. [Glasgow University, Glasgow, Lanarkshire (United Kingdom); Hsu, D. [Syracuse University, Syracuse, NY (United States); John, M. [University of Oxford, Oxfordshire (United Kingdom); Koffeman, E. [Nikhef, Amsterdam (Netherlands); Leflat, A. [Lomonosov Moscow State University, Moscow (Russian Federation); Li, Y. [Tsinghua University, Beijing (China); Longstaff, I.; Morton, A. [Glasgow University, Glasgow, Lanarkshire (United Kingdom); Pérez Trigo, E. [Universidade de Santiago de Compostela, Santiago de Compostela (Spain); Plackett, R. [Diamond Light Source Ltd., Didcot, Oxfordshire (United Kingdom); and others

    2015-03-21

    The performance of prototype active-edge VTT sensors bump-bonded to the Timepix ASIC is presented. Non-irradiated sensors of thicknesses 100–200 μm and pixel-to-edge distances of 50 μm and 100 μm were probed with a beam of charged hadrons with sub-pixel precision using the Timepix telescope assembled at the SPS at CERN. The sensors are shown to be highly efficient up to a few micrometers from the physical edge of the sensor. The distortion of the electric field lines at the edge of the sensors is studied by reconstructing the streamlines of the electric field using two-pixel clusters. These results are supported by TCAD simulations. The reconstructed streamlines are used to study the field distortion as a function of the bias voltage and to apply corrections to the cluster positions at the edge.

  20. A new linear plasma device for various edge plasma studies at SWIP

    Science.gov (United States)

    Xu, Min; Zheng, Pengfei; Tynan, George; Che, Tong; Wang, Zhanhui; Guo, Dong; Wei, Ran

    2017-10-01

    To facilitate the plasma-material interactions (PMI) studies, Southwestern Institute of Physics (SWIP) has constructed a linear plasma device. It is comprised of a source chamber (Φ 0.4 m), a target chamber (Φ 0.9 m), 15 magnets with different sizes, and power supplies with the total power of a few hundred kilowatts, etc. A maximum magnetic field of 0.3 Tesla along the axial direction can be produced. The current of each of the 15 magnets can be independently controlled. More than 60 ports are available for diagnostics, with the sizes vary from Φ 50 mm to Φ 150 mm. Rectangular ports of 190 mm × 270 mm are also available. 12 ports looking at the sample holder are specially designed for ion beam injection, of which the axes are 25 to the chamber axis. The device is equipped with a LaB6 hot cathode plasma source, which is able to generate steady-state H/D/He plasmas with a diameter of Φ 100 mm, density of 1x1019 /m3 , and a particle flux of 1022 1023 n/m2 .s. The electron temperature is usually a few eV. Further, a Helicon RF plasma source is also planned for plasma transport studies. Int'l Sci & Tech Cooperation Program of China (No. 2015DFA61760).

  1. The Magnetically-Tuned Transition-Edge Sensor

    Science.gov (United States)

    Sadleir, John E.; Lee, Sang-Jun; Smith, Stephen J.; Busch, Sarah E.; Bandler, Simon R.; Adams, Joseph S.; Eckart, Megan E.; Chevenak, James A.; Kelley, Richard L.; Kilbourne, Caroline A.; hide

    2014-01-01

    We present the first measurements on the proposed magnetically-tuned superconducting transition-edge sensor (MTES) and compare the modified resistive transition with the theoretical prediction. A TES's resistive transition is customarily characterized in terms of the unit less device parameters alpha and beta corresponding to the resistive response to changes in temperature and current respectively. We present a new relationship between measured IV quantities and the parameters alpha and beta and use these relations to confirm we have stably biased a TES with negative beta parameter with magnetic tuning. Motivated by access to this new unexplored parameter space, we investigate the conditions for bias stability of a TES taking into account both self and externally applied magnetic fields.

  2. Modelling of boundary plasma in TOKES

    International Nuclear Information System (INIS)

    Igitkhanov, Yu.; Pestchanyi, S.; Landman, I.

    2009-12-01

    The main purpose of this report is the development of analytical and numerical transport models of tokamak plasmas, suitable for implementation into the integrated transport code TOKES [1-4]. Therefore this work is presented as an executive guideline for numerical implementation. The tokamak edge plasma in reactor configurations is expected to be rather thin outmost area with strong radial plasma gradients inside the separatrix and the area outside the separatrix, a scrape-off layer (SOL), with open magnetic field surfaces, terminated at the divertor plates. The region beyond the separatrix plays an important role because it serves as a shield, protecting the wall from the hot plasma and bulk plasma from the penetration of impurities and because it is mostly affected by transients. The transport model, proposed here, provides plasma density, temperature and velocity distribution along and across the magnetic field lines in bulk and the edge plasma region. It describes the dependence of temperature and density at the separatrix on the plasma conditions at the plate and the efficiency of the divertor operation in detached or attached conditions, depending on power and particle sources. The calculation gives eventually the power and particle loads on the divertor plates and side walls. During numerical implementation some simple models, allowing an analytical solution, were developed and used for comparison and checking. Some parts of the transport models were also benchmarked with experimental data from various tokamaks. In the frame of this work the following tasks have been completed: - The transport model with neoclassical and anomalous coefficients for bulk plasma and 2D transport model for the SOL have been prepared and implemented into the TOKES code. The coefficients are suitable for description of stationary plasma processes in the bulk and edge tokamak plasmas. - The model of pedestal formation at the plasma edge in H-mode operation was implemented in TOKES

  3. ICRF edge modeling studies

    Energy Technology Data Exchange (ETDEWEB)

    Lehrman, I.S. (Grumman Corp. Research Center, Princeton, NJ (USA)); Colestock, P.L. (Princeton Univ., NJ (USA). Plasma Physics Lab.)

    1990-04-01

    Theoretical models have been developed, and are currently being refined, to explain the edge plasma-antenna interaction that occurs during ICRF heating. The periodic structure of a Faraday shielded antenna is found to result in strong ponderomotive force in the vicinity of the antenna. A fluid model, which incorporates the ponderomotive force, shows an increase in transport to the Faraday shield. A kinetic model shows that the strong antenna near fields act to increase the energy of deuterons which strike the shield, thereby increasing the sputtering of shield material. Estimates of edge impurity harmonic heating show no significant heating for either in or out-of-phase antenna operation. Additionally, a particle model for electrons near the shield shows that heating results from the parallel electric field associated with the fast wave. A quasilinear model for edge electron heating is presented and compared to the particle calculations. The models' predictions are shown to be consistent with measurements of enhanced transport. (orig.).

  4. Heavy Neutral Beam Probe for edge plasma analysis in tokamaks

    International Nuclear Information System (INIS)

    1991-01-01

    The Heavy Neutral Beam Probe project presented in this document is part of an international collaboration in magnetic confinement fusion energy research sponsored by the US Department of Energy, Office of Energy Research (Confinement Systems Division) and the Centre Canadian de Fusion Magnetique. The overall objective of the effort is to apply a neutral particle beam to the study of edge plasma dynamics in discharges on the Tokamak de Varennes facility in Montreal, Canada. To achieve this goal, a research and development project was started in December, 1990 to produce the necessary hardware to make such measurements and meet the scheduling requirements of the program. At present, satisfactory progress has been achieved. The ion gun is fully operational with the neutralizer in the final assembly stage in preparation for testing. The beam diagnostics have been completed and mounted in the computer automated test stand. The analyzer design and detailed trajectory calculations are nearing completion to allow for the vacuum interface construction. The CAMAC based data acquisition system hardware was integrated into the test stand. Part of this hardware is a component of the Tokamak de Varennes' contribution to the collaboration. Next steps on the critical path include the beginning of the neutralization tests and the start of the analyzer construction. Anticipated installation of the diagnostic on the tokamak is Spring 1992

  5. Edge Sheared Flows and Blob Dynamics

    Energy Technology Data Exchange (ETDEWEB)

    Myra, J.; D' Ippolito, D.; Russell, D., E-mail: jrmyra@lodestar.com [Lodestar Research Corporation, Boulder (United States); Davis, W. M.; Zweben, S. [Princeton Plasma Physics Laboratory, Princeton (United States); Terry, J.; LaBombard, B. [Massachusetts Institute of Technology, Cambridge (United States)

    2012-09-15

    Full text: A study of sheared flows in the edge and scrape-off layer (SOL) and their interaction with blob-filaments is presented. Edge sheared flows are believed to be important for the L-H, and H-L transitions. Blob generation and dynamics impacts both the (near-separatrix) scrape-off-layer (SOL) width critical for power handling in the divertor, and the interaction of plasma in the far SOL with plasma-facing components. These topics are critical for ITER and future devices. A fluid-based 2D curvature-interchange model embedded in the SOLT code is employed to study these issues. Sheared binormal flows both regulate the power flux crossing the separatrix and control the character of emitted turbulence structures such as blob-filaments. At a critical power level (depending on parameters) the laminar flows containing intermittent, but bound, structures give way to full-blown blob emissions signifying a transition from quasi-diffusive to convective transport. In order to diagnose sheared flows in experiments and assess their interaction with blobs, a blob-tracking algorithm has been developed and applied to both NSTX and Alcator C-Mod data. Blob motion and ellipticity can be affected by sheared flows, and are diagnosed and compared with seeded blob simulations. A picture of the interaction of blobs and sheared flows is emerging from advances in the theory and simulation of edge turbulence, combined with ever-improving capabilities for edge diagnostics and their analysis. (author)

  6. Long distance coupling of lower hybrid waves in ITER relevant edge conditions in jet reversed shear plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Ekedahl, A.; Goniche, M.; Joffrin, E. [Association Euratom-CEA Cadarache, 13 - Saint-Paul-lez-Durance (France). Dept. de Recherches sur la Fusion Controlee; Granucci, G. [Associazione EURATOM-ENEA sulla Fusione, IFP-CNR, Milano (Italy); Mailloux, J.; Baranov, Y.; Erents, K.; Lomas, P.J.; McDonald, D.; Stamp, M. [Euratom/UKAEA Fusion Association, Abingdon (United Kingdom). Culham Lab; Petrzilka, V.; Zacek, F. [Association Euratom-IPP.CR, Praha (Czech Republic); Rantamaki, K. [Assiciation Euratom-Tekes, VTT Processes (Finland); Mantsinen, M. [Helsinki Univ. of Technology, Association Euratom-Tekes (Finland); Noterdaeme, J.M. [Max-Planck-Institut fuer Plasmaphysik, Association Euratom, Garching (Germany); Gent University, EESA Dept. (Belgium); Pericoli, V.; Tuccillo, A.A. [Association Euratom-ENEA sulla Fusione, CR Frascati, Roma (Italy); Sartori, R. [EFDA Close Support Unit, Garching (Germany); Silva, C. [Associacao Euratom-IST, Centro de Fusao Nuclear, Lisboa (Portugal)

    2003-07-01

    A significant step towards demonstrating the feasibility of coupling Lower Hybrid (LH) waves in ITER has been achieved in the latest LH current drive experiments in JET. The local electron density in front of the LH launcher was increased by injecting gas (D{sub 2} or CD{sub 4}) from a dedicated gas injection module magnetically connected to the launcher. P(LHCD) = 3 MW was coupled with an average reflection coefficient of 5%, at a distance between the last closed flux surface and the launcher of 10 cm, in plasmas with an internal transport barrier (ITB) and H-mode edge, with type 1 and type 3 ELMs (edge localized modes). Following a modification of the gas injection system, in order to optimise the gas localisation with respect to the LH launcher, injection of D{sub 2} proved to be more efficient than CD{sub 4}. A D{sub 2} flux of 5-8 x 10{sup 21} el/s was required at 9 cm. The plasma performance (neutron rate, H-factor, ion temperature) was similar with D{sub 2} and CD{sub 4}. An additional advantage with D{sub 2} injection was found, as it reduced the amplitude of the ELMs, which further facilitated the LH coupling. Furthermore, preliminary results of the study of the behaviour of electron density profile in the scrape-off layer during injection of C{sub 2}H{sub 6} and C{sub 3}H{sub 8} are reported. Finally, the appearance of hot spots, resulting from parasitic absorption of LHCD power in front of the launcher mouth, was studied in the long distance discharges with near gas injection. (authors)

  7. Radiative edge layers in limiter tokamaks

    International Nuclear Information System (INIS)

    Monier-Garbet, P.

    1997-01-01

    The characteristics of the highly radiative edge layers produced in the limiter configuration and with an open ergodic divertor are reviewed, with emphasis on the results obtained in TEXTOR and Tore Supra. In these two experiments an impurity injection technique is used to obtain highly radiating homogeneous peripheral layers. This requires that the peripheral radiation capability be maximized, while at the same time avoiding plasma core contamination; it is also necessary to insure the stability of the radiating layer. These physics issues, governing the success of the highly radiative edge scenario, are discussed. (orig.)

  8. The End-to-end Demonstrator for improved decision making in the water sector in Europe (EDgE)

    Science.gov (United States)

    Wood, Eric; Wanders, Niko; Pan, Ming; Sheffield, Justin; Samaniego, Luis; Thober, Stephan; Kumar, Rohinni; Prudhomme, Christel; Houghton-Carr, Helen

    2017-04-01

    High-resolution simulations of water resources from hydrological models are vital to supporting important climate services. Apart from a high level of detail, both spatially and temporally, it is important to provide simulations that consistently cover a range of timescales, from historical reanalysis to seasonal forecast and future projections. In the new EDgE project commissioned by the ECMWF (C3S) we try to fulfill these requirements. EDgE is a proof-of-concept project which combines climate data and state-of-the-art hydrological modelling to demonstrate a water-oriented information system implemented through a web application. EDgE is working with key European stakeholders representative of private and public sectors to jointly develop and tailor approaches and techniques. With these tools, stakeholders are assisted in using improved climate information in decision-making, and supported in the development of climate change adaptation and mitigation policies. Here, we present the first results of the EDgE modelling chain, which is divided into three main processes: 1) pre-processing and downscaling; 2) hydrological modelling; 3) post-processing. Consistent downscaling and bias corrections for historical simulations, seasonal forecasts and climate projections ensure that the results across scales are robust. The daily temporal resolution and 5km spatial resolution ensure locally relevant simulations. With the use of four hydrological models (PCR-GLOBWB, VIC, mHM, Noah-MP), uncertainty between models is properly addressed, while consistency is guaranteed by using identical input data for static land surface parameterizations. The forecast results are communicated to stakeholders via Sectoral Climate Impact Indicators (SCIIs) that have been created in collaboration with the end-user community of the EDgE project. The final product of this project is composed of 15 years of seasonal forecast and 10 climate change projections, all combined with four hydrological

  9. Bias temperature instability in tunnel field-effect transistors

    Science.gov (United States)

    Mizubayashi, Wataru; Mori, Takahiro; Fukuda, Koichi; Ishikawa, Yuki; Morita, Yukinori; Migita, Shinji; Ota, Hiroyuki; Liu, Yongxun; O'uchi, Shinichi; Tsukada, Junichi; Yamauchi, Hiromi; Matsukawa, Takashi; Masahara, Meishoku; Endo, Kazuhiko

    2017-04-01

    We systematically investigated the bias temperature instability (BTI) of tunnel field-effect transistors (TFETs). The positive BTI and negative BTI mechanisms in TFETs are the same as those in metal-oxide-semiconductor FETs (MOSFETs). In TFETs, although traps are generated in high-k gate dielectrics by the bias stress and/or the interface state is degraded at the interfacial layer/channel interface, the threshold voltage (V th) shift due to BTI degradation is caused by the traps and/or the degradation of the interface state locating the band-to-band tunneling (BTBT) region near the source/gate edge. The BTI lifetime in n- and p-type TFETs is improved by applying a drain bias corresponding to the operation conditions.

  10. Code-code comparisons of DIVIMP's 'onion-skin model' and the EDGE2D fluid code

    International Nuclear Information System (INIS)

    Stangeby, P.C.; Elder, J.D.; Horton, L.D.; Simonini, R.; Taroni, A.; Matthews, O.F.; Monk, R.D.

    1997-01-01

    In onion-skin modelling, O-SM, of the edge plasma, the cross-field power and particle flows are treated very simply e.g. as spatially uniform. The validity of O-S modelling requires demonstration that such approximations can still result in reasonable solutions for the edge plasma. This is demonstrated here by comparison of O-SM with full 2D fluid edge solutions generated by the EDGE2D code. The target boundary conditions for the O-SM are taken from the EDGE2D output and the complete O-SM solutions are then compared with the EDGE2D ones. Agreement is generally within 20% for n e , T e , T i and parallel particle flux density Γ for the medium and high recycling JET cases examined and somewhat less good for a strongly detached CMOD example. (orig.)

  11. Magnetotransport Properties of Graphene Nanoribbons with Zigzag Edges

    Science.gov (United States)

    Wu, Shuang; Liu, Bing; Shen, Cheng; Li, Si; Huang, Xiaochun; Lu, Xiaobo; Chen, Peng; Wang, Guole; Wang, Duoming; Liao, Mengzhou; Zhang, Jing; Zhang, Tingting; Wang, Shuopei; Yang, Wei; Yang, Rong; Shi, Dongxia; Watanabe, Kenji; Taniguchi, Takashi; Yao, Yugui; Wang, Weihua; Zhang, Guangyu

    2018-05-01

    The determination of the electronic structure by edge geometry is unique to graphene. In theory, an evanescent nonchiral edge state is predicted at the zigzag edges of graphene. Up to now, the approach used to study zigzag-edged graphene has mostly been limited to scanning tunneling microscopy. The transport properties have not been revealed. Recent advances in hydrogen plasma-assisted "top-down" fabrication of zigzag-edged graphene nanoribbons (Z-GNRs) have allowed us to investigate edge-related transport properties. In this Letter, we report the magnetotransport properties of Z-GNRs down to ˜70 nm wide on an h -BN substrate. In the quantum Hall effect regime, a prominent conductance peak is observed at Landau ν =0 , which is absent in GNRs with nonzigzag edges. The conductance peak persists under perpendicular magnetic fields and low temperatures. At a zero magnetic field, a nonlocal voltage signal, evidenced by edge conduction, is detected. These prominent transport features are closely related to the observable density of states at the hydrogen-etched zigzag edge of graphene probed by scanning tunneling spectroscopy, which qualitatively matches the theoretically predicted electronic structure for zigzag-edged graphene. Our study gives important insights for the design of new edge-related electronic devices.

  12. Neutral particle and radiation effects on Pfirsch - Schlueter fluxes near the edge

    International Nuclear Information System (INIS)

    Catto, P.J.; Helander, P.; Connor, J.W.; Hazeltine, R.D.

    1998-01-01

    The edge plasma of a tokamak is affected by atomic physics processes and can have density and temperature variations along the magnetic field that strongly modify edge transport. A closed system of equations in the Pfirsch - Schlueter regime is presented that can be solved for the radial and poloidal variation of the plasma density, electron and ion temperatures, and the electrostatic potential in the presence of neutrals and a poloidally asymmetric energy radiation sink due to inelastic electron collisions. Neutrals have a large diffusivity so their viscosity and heat flux can become important even when their density is not high, in which case the neutral viscosity alters the electrostatic potential at the edge by introducing strong radial variation. The strong parallel gradient in the electron temperature that can arise in the presence of a localized radiation sink drives a convective flow of particles and heat across the field. This plasma transport mechanism can balance the neutral influx and is particularly strong if multifaceted asymmetric radiation from the edge (MARFE) occurs, since the electron temperature then varies substantially over the flux surface. copyright 1998 American Institute of Physics

  13. Fluid simulation of the phase-shift effect in hydrogen capacitively coupled plasmas: II. Radial uniformity of the plasma characteristics

    International Nuclear Information System (INIS)

    Zhang Yuru; Xu Xiang; Wang Younian; Bogaerts, Annemie

    2012-01-01

    A two-dimensional fluid model, including the full set of Maxwell equations, has been developed and applied to investigate the effect of a phase shift between two power sources on the radial uniformity of several plasma characteristics in a hydrogen capacitively coupled plasma. This study was carried out at various frequencies in the range 13.56-200 MHz. When the frequency is low, at 13.56 MHz, the plasma density is characterized by an off-axis peak when both power sources are in-phase (φ = 0), and the best radial uniformity is obtained at φ = π. This trend can be explained because the radial nonuniformity caused by the electrostatic edge effect can be effectively suppressed by the phase-shift effect at a phase difference equal to π. When the frequency rises to 60 MHz, the plasma density profiles shift smoothly from edge-peaked over uniform to centre-peaked as the phase difference increases, due to the pronounced standing-wave effect, and the best radial uniformity is reached at φ = 0.3π. At a frequency of 100 MHz, a similar behaviour is observed, except that the maximum of the plasma density moves again towards the radial edge at the reverse-phase case (φ = π), because of the dominant skin effect. When the frequency is 200 MHz, the bulk plasma density increases significantly with increasing phase-shift values, and a better uniformity is obtained at φ = 0.4π. This is because the density in the centre increases faster than at the radial edge as the phase difference rises, due to the increasing power deposition P z in the centre and the decreasing power density P r at the radial edge. As the phase difference increases to π, the maximum near the radial edge becomes obvious again. This is because the skin effect has a predominant influence on the plasma density under this condition, resulting in a high density at the radial edge. Moreover, the axial ion flux increases monotonically with phase difference, and exhibits similar profiles to the plasma density

  14. Investigation of the sensitivity of visible continuum Zeff(0) to edge radiation

    International Nuclear Information System (INIS)

    Telesca, G.; Oost, G. Van; Ongena, J.; Leys, C.; Schoon, N.

    1992-01-01

    The determination of Z eff from visible continuum radiation is based on the assumption that the line-integrated continuum signal is mostly composed of bremsstrahlung radiation. In practice a wavelength window relatively free of spectral lines is selected, and the line-integrated continuum signal along different chords from the edge up to the central plasma is measured. The local emissivity ε(r) is then calculated using an Abel inversion procedure, and the Z eff profile can be obtained. Although theory and techniques are well established, in any experimental device there remains an uncertainty in the calculated value of Z eff due to the non-bremsstrahlung radiation from the cooler edge plasma (e.g. emission from recombination and molecular bands). As a consequence, the local bremsstrahlung emissivity in the outer region of the plasma cannot be determined and the signal measured along every chord through the plasma contains a spurious contribution. In this paper the error in the value of the central plasma emissivity (and Z eff ) due to edge radiation has been investigated. Qualitative analytical considerations have been complemented by a qualitative analysis, consisting of the comparison of test emissivity profiles with Abel-inverted profiles obtained from the integrals along central chords only. (author) 5 refs., 5 figs

  15. Plasma-wall interaction

    International Nuclear Information System (INIS)

    Reichle, R.

    2004-01-01

    This document gathers the 43 slides presented in the framework of the week long lecture 'hot plasmas 2004' and dedicated to plasma-wall interaction in a tokamak. This document is divided into 4 parts: 1) thermal load on the wall, power extraction and particle recovery, 2) basic edge plasma physics, 3) processes that drive the plasma-solid interaction, and 4) material conditioning (surface treatment...) for ITER

  16. Edge stability and pedestal profile sensitivity of snowflake diverted equilibria in the TCV Tokamak

    International Nuclear Information System (INIS)

    Medvedev, S.Yu.; Ivanov, A.A.; Martynov, A.A.; Poshekhonov, Yu.Yu.; Behn, R.; Martin, Y.R.; Moret, J.M.; Piras, F.; Pitzschke, A.; Pochelon, A.; Sauter, O.; Villard, L.

    2010-01-01

    A second order null divertor (snowflake) has been successfully created and controlled in the TCV tokamak[1] (F. Piras et al., Plasma Phys. Control. Fusion, 2009). The results of ideal MHD edge stability computations show an enhancement of the edge stability properties of the snowflake equilibria compared to standard x-point configurations[2] (S. Yu. Medvedev et al., 36th EPS Conference on Plasma Physics, 2009). However, a sensitivity study of the stability limits to variations of the pedestal profiles is essential for making conclusions about possibilities of ELM control in snowflake plasmas. Variations of the edge stability and beta limits for several types of snowflake equilibria, different values of triangularity and various pedestal profiles are investigated (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. 2. IAEA research co-ordination meeting on 'Data for molecular processes in edge plasmas'. Summary report

    International Nuclear Information System (INIS)

    Clark, R.E.H.

    2004-05-01

    This report briefly describes the proceedings, conclusions and recommendations of the 2nd Research Co-ordination Meeting (RCM) of the Co-ordinated Research Project (CRP) on 'Data for Molecular Processes in Edge Plasmas' held on 12-14 May 2003 at IAEA Headquarters, Vienna. During the course of the meeting the progress achieved to data was thoroughly reviewed. During the course of the meeting many areas in need of further research were noted. In addition there are specific important processes with lingering discrepancies between theory and experiment. Strong collaborations built during the course of this CRP have the potential to address these issues. Therefore, one outcome of the RCM was a detailed proposal to extend the CRP for an additional year with a final RCM in 2004. (author)

  18. Measurements and 2-D Modeling of Recycling and Edge Transport in Discharges with Lithium-coated PFCs in NSTX

    International Nuclear Information System (INIS)

    Canik, John; Maingi, R.; Soukhanovskii, V.A.; Bell, R.E.; Kugel, H.; LeBlanc, B.; Osborne, T.H.

    2011-01-01

    The application of lithium coatings on plasma facing components has been shown to profoundly affect plasma performance in the National Spherical Torus Experiment, improving energy confinement and eliminating edge-localized modes. The edge particle balance during these ELM-free discharges has been studied through 2-D plasma-neutrals modeling, constrained by measurements of the upstream plasma density and temperature profiles and the divertor heat flux and D-alpha emission. The calculations indicate that the reduction in divertor D-alpha emission with lithium coatings applied is consistent with a drop in recycling coefficient from R similar to 0.98 to R similar to 0.9. The change in recycling is not sufficient to account for the change in edge density profiles: interpretive modeling indicates similar transport coefficients within the edge transport barrier (D/chi(e) similar to 0.2/1.0 m(2)/s), but a widening of the barrier with lithium.

  19. Experimental and computational evaluation of neutrals in the Alcator C-Mod edge pedestal

    Science.gov (United States)

    Hughes, J. W.; Mossessian, D.; Labombard, B.; Terry, J.

    2004-11-01

    Pedestal-forming edge transport barriers (ETBs) in tokamak plasmas and the physics governing them are linked to the enhancement of confinement obtained in H-mode plasmas. Studies on Alcator C-Mod employ experimental measurements and simple 1-D transport models in order to better understand ETB physics. We examine the influences of ionization and charge exchange on the pedestals in electron density and temperature. Routine measurements from edge Thomson scattering (ETS) give pedestal scalings with global plasma parameters, while individual ETS profiles are combined with scanning Langmuir probe data and optical D_α emissivity measurements to give atomic density profiles and the associated radial distribution of the ionization source rate. From H-mode profiles of these quantities a well in effective plasma diffusivity is calculated, and is shown to systematically vary as the confinement regime is varied from ELM-free to EDA. Experimental work is supplemented with modeling and computation of edge neutral transport via KN1D, a kinetic solver for atomic and molecular distribution functions in slab geometry. The level of agreement between experiment and model is encouraging.

  20. The Effect of Bias Voltage and Gas Pressure on the Structure, Adhesion and Wear Behavior of Diamond Like Carbon (DLC Coatings With Si Interlayers

    Directory of Open Access Journals (Sweden)

    Liam Ward

    2014-04-01

    Full Text Available In this study diamond like carbon (DLC coatings with Si interlayers were deposited on 316L stainless steel with varying gas pressure and substrate bias voltage using plasma enhanced chemical vapor deposition (PECVD technology. Coating and interlayer thickness values were determined using X-ray photoelectron spectroscopy (XPS which also revealed the presence of a gradient layer at the coating substrate interface. Coatings were evaluated in terms of the hardness, elastic modulus, wear behavior and adhesion. Deposition rate generally increased with increasing bias voltage and increasing gas pressure. At low working gas pressures, hardness and modulus of elasticity increased with increasing bias voltage. Reduced hardness and modulus of elasticity were observed at higher gas pressures. Increased adhesion was generally observed at lower bias voltages and higher gas pressures. All DLC coatings significantly improved the overall wear resistance of the base material. Lower wear rates were observed for coatings deposited with lower bias voltages. For coatings that showed wear tracks considerably deeper than the coating thickness but without spallation, the wear behavior was largely attributed to deformation of both the coating and substrate with some cracks at the wear track edges. This suggests that coatings deposited under certain conditions can exhibit ultra high flexible properties.

  1. The impact of boundary plasma conditions on the plasma performance of the Wendelstein 7-AS stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Grigull, P; Behrisch, R; Brakel, R; Burhenn, R; Elsner, A; Hacker, H; Hartfuss, H J; Herre, G; Hildebrandt, D; Jaenicke, R; Kisslinger, J; Maassberg, H; Mahn, C; Niedermeyer, H; Pech, P; Renner, H; Ringler, H; Rau, F; Roth, J; Sardei, F; Schneider, U; Wagner, F; Weller, A; Wobig, H; Wolff, H [Max-Planck-Inst. fuer Plasmaphysik, Garching (Germany); W7-AS Team; NBI Team; ECRH Group

    1992-12-01

    In the modular advanced stellarator W7-AS, the plasma performance and the main characteristics of the plasma-wall interaction are strongly affected by the three-dimensional edge topology. Both limiter- and separatrix-dominated configurations are possible. TiC and bulk-boronized limiter materials have been used. The impurity behaviour and the accessible plasma parameter ranges are compared for different limiter and wall conditions. With limiters, optimum plasma performance in currentless ECRF- or NBI-heated discharges was achieved with bulk-boronized graphite limiter material and boronized walls. Solid target sputter boronization, however, was found to be ineffective in comparison with boronization by He/B[sub 2]H[sub 6] glow discharge. For separatrix-dominated discharges, conditioning by wall coating has short-term effects only. Enhanced, localized plasma outflow to the wall due to islands at the boundary quickly erodes the layers. The possibility to develop a divertor concept is discussed. Basic properties of the plasma edge as derived from Langmuir probes and limiter calorimetry are described. Modeling is complicated by three-dimensionality. In a first approach, a 1D edge transport model on the basis of distinct flux bundles is applied. (orig.).

  2. Edge pixel response studies of edgeless silicon sensor technology for pixellated imaging detectors

    Science.gov (United States)

    Maneuski, D.; Bates, R.; Blue, A.; Buttar, C.; Doonan, K.; Eklund, L.; Gimenez, E. N.; Hynds, D.; Kachkanov, S.; Kalliopuska, J.; McMullen, T.; O'Shea, V.; Tartoni, N.; Plackett, R.; Vahanen, S.; Wraight, K.

    2015-03-01

    Silicon sensor technologies with reduced dead area at the sensor's perimeter are under development at a number of institutes. Several fabrication methods for sensors which are sensitive close to the physical edge of the device are under investigation utilising techniques such as active-edges, passivated edges and current-terminating rings. Such technologies offer the goal of a seamlessly tiled detection surface with minimum dead space between the individual modules. In order to quantify the performance of different geometries and different bulk and implant types, characterisation of several sensors fabricated using active-edge technology were performed at the B16 beam line of the Diamond Light Source. The sensors were fabricated by VTT and bump-bonded to Timepix ROICs. They were 100 and 200 μ m thick sensors, with the last pixel-to-edge distance of either 50 or 100 μ m. The sensors were fabricated as either n-on-n or n-on-p type devices. Using 15 keV monochromatic X-rays with a beam spot of 2.5 μ m, the performance at the outer edge and corners pixels of the sensors was evaluated at three bias voltages. The results indicate a significant change in the charge collection properties between the edge and 5th (up to 275 μ m) from edge pixel for the 200 μ m thick n-on-n sensor. The edge pixel performance of the 100 μ m thick n-on-p sensors is affected only for the last two pixels (up to 110 μ m) subject to biasing conditions. Imaging characteristics of all sensor types investigated are stable over time and the non-uniformities can be minimised by flat-field corrections. The results from the synchrotron tests combined with lab measurements are presented along with an explanation of the observed effects.

  3. X-point effect on edge stability

    International Nuclear Information System (INIS)

    Saarelma, S; Kirk, A; Kwon, O J

    2011-01-01

    We study the effects of the X-point configuration on edge localized mode (ELM) triggering peeling and ballooning modes using fixed boundary equilibria and modifying the plasma shape to approach the limit of a true X-point. The current driven pure peeling modes are asymptotically stabilized by the X-point while the stabilizing effect on ballooning modes depends on the poloidal location of the X-point. The coupled peeling-ballooning modes experience the elimination of the peeling component as the X-point is introduced. This can significantly affect the edge stability diagrams used to analyse the ELM triggering mechanisms.

  4. Global numerical modeling of magnetized plasma in a linear device

    DEFF Research Database (Denmark)

    Magnussen, Michael Løiten

    Understanding the turbulent transport in the plasma-edge in fusion devices is of utmost importance in order to make precise predictions for future fusion devices. The plasma turbulence observed in linear devices shares many important features with the turbulence observed in the edge of fusion dev...... with simulations performed at different ionization levels, using a simple model for plasma interaction with neutrals. It is found that the steady state and the saturated state of the system bifurcates when the neutral interaction dominates the electron-ion collisions.......Understanding the turbulent transport in the plasma-edge in fusion devices is of utmost importance in order to make precise predictions for future fusion devices. The plasma turbulence observed in linear devices shares many important features with the turbulence observed in the edge of fusion...... devices, and are easier to diagnose due to lower temperatures and a better access to the plasma. In order to gain greater insight into this complex turbulent behavior, numerical simulations of plasma in a linear device are performed in this thesis. Here, a three-dimensional drift-fluid model is derived...

  5. Cutoff effects of electron velocity distribution to the properties of plasma parameters near the plasma-sheath boundary

    International Nuclear Information System (INIS)

    Jelic, N.

    2011-01-01

    The plasma properties under high thermodynamic non-equilibrium condition, established due to the presence of electrically biased electrode, are investigated. Assumption of electron cut-off velocity distribution function (VDF), as done by Andrews and Varey in their investigations of the sheath region [J. Phys. A 3, 413 (1970)], has been extended here to both plasma and sheath regions. Analytic expressions for the moments of electron VDF, as well as for the electron screening temperature function dependence on the plasma-sheath local potential are derived. In deriving the ion velocity distribution the ''standard'' assumption of strict plasma quasineutrality, or equivalently vanishing of the plasma Debye length, is employed, whereas the ions are assumed to be generated at rest over the plasma region. However, unlike the standard approach of solving the plasma equation, where pure Boltzmann electron density profile is used, here we employ modified Boltzmann's electron density profile, due to cutoff effect of the electron velocity distribution. It is shown that under these conditions the quasineutrality equation solution is characterised by the electric field singularity for any negative value of the electrode bias potential as measured with respect to the plasma potential. The point of singularity i.e., the plasma length and its dependence on the electrode bias and sheath potential is established for the particular case of ionization profile mechanism proportional to the local electron density. Relevant parameters for the kinetic Bohm criterion are explicitly calculated for both ions and electrons, for arbitrary electrode bias.

  6. Current control for magnetized plasma in direct-current plasma-immersion ion implantation

    International Nuclear Information System (INIS)

    Tang Deli; Chu, Paul K.

    2003-01-01

    A method to control the ion current in direct-current plasma-immersion ion implantation (PIII) is reported for low-pressure magnetized inductively coupled plasma. The ion current can be conveniently adjusted by applying bias voltage to the conducting grid that separates plasma formation and implantation (ion acceleration) zones without the need to alter the rf input power, gas flux, or other operating conditions. The ion current that diminishes with an increase in grid bias in magnetized plasmas can be varied from 48 to 1 mA by increasing the grid voltage from 0 to 70 V at -50 kV sample bias and 0.5 mTorr hydrogen pressure. High implantation voltage and monoenergetic immersion implantation can now be achieved by controlling the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this letter. This technique is very attractive for PIII of planar samples that require on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications such as hydrogen PIII-ion cut, it may obviate the need for complicated sample cooling devices that must work at high voltage

  7. Knife-edge thin film field emission cathodes

    International Nuclear Information System (INIS)

    Lee, B.; Demroff, H.P.; Drew, M.M.; Elliott, T.S.; Mazumdar, T.K.; McIntyre, P.M.; Pang, Y.; Smith, D.D.; Trost, H.J.

    1993-01-01

    Cathodes made of thin-film field emission arrays (FEA) have the advantages of high current density, pulsed emission, and low bias voltage operation. The authors have developed a technology to fabricate knife-edge field emission cathodes on (110) silicon wafers. The emitter geometry is optimized for efficient modulation at high frequency. Cathode fabrication progress and preliminary analysis of their applications in RF power sources are presented

  8. Quantized edge modes in atomic-scale point contacts in graphene

    Science.gov (United States)

    Kinikar, Amogh; Phanindra Sai, T.; Bhattacharyya, Semonti; Agarwala, Adhip; Biswas, Tathagata; Sarker, Sanjoy K.; Krishnamurthy, H. R.; Jain, Manish; Shenoy, Vijay B.; Ghosh, Arindam

    2017-07-01

    The zigzag edges of single- or few-layer graphene are perfect one-dimensional conductors owing to a set of gapless states that are topologically protected against backscattering. Direct experimental evidence of these states has been limited so far to their local thermodynamic and magnetic properties, determined by the competing effects of edge topology and electron-electron interaction. However, experimental signatures of edge-bound electrical conduction have remained elusive, primarily due to the lack of graphitic nanostructures with low structural and/or chemical edge disorder. Here, we report the experimental detection of edge-mode electrical transport in suspended atomic-scale constrictions of single and multilayer graphene created during nanomechanical exfoliation of highly oriented pyrolytic graphite. The edge-mode transport leads to the observed quantization of conductance close to multiples of G0 = 2e2/h. At the same time, conductance plateaux at G0/2 and a split zero-bias anomaly in non-equilibrium transport suggest conduction via spin-polarized states in the presence of an electron-electron interaction.

  9. Low-temperature conductivity of weakly interacting quantum spin Hall edges in strained-layer InAs/GaInSb

    Science.gov (United States)

    Li, Tingxin; Wang, Pengjie; Sullivan, Gerard; Lin, Xi; Du, Rui-Rui

    2017-12-01

    We report low-temperature transport measurements in strained InAs /G a0.68I n0.32Sb quantum wells, which supports time-reversal symmetry-protected helical edge states. The temperature and bias voltage dependence of the helical edge conductance for devices of various sizes are consistent with the theoretical expectation of a weakly interacting helical edge state. Moreover, we found that the magnetoresistance of the helical edge states is related to the edge interaction effect and the disorder strength.

  10. Estimation of edge electron temperature profiles via forward modelling of the electron cyclotron radiation transport at ASDEX Upgrade

    International Nuclear Information System (INIS)

    Rathgeber, S K; Barrera, L; Eich, T; Fischer, R; Suttrop, W; Wolfrum, E; Nold, B; Willensdorfer, M

    2013-01-01

    We present a method to obtain reliable edge profiles of the electron temperature by forward modelling of the electron cyclotron radiation transport. While for the core of ASDEX Upgrade plasmas, straightforward analysis of electron cyclotron intensity measurements based on the optically thick plasma approximation is usually justified, reasonable analysis of the steep and optically thin plasma edge needs to consider broadened emission and absorption profiles and radiation transport processes. This is carried out in the framework of integrated data analysis which applies Bayesian probability theory for joint analysis of the electron density and temperature with data of different interdependent and complementary diagnostics. By this means, electron cyclotron radiation intensity delivers highly spatially resolved electron temperature data for the plasma edge. In H-mode, the edge gradient of the electron temperature can be several times higher than the one of the radiation temperature. Furthermore, we are able to reproduce the ‘shine-through’ peak—the observation of increased radiation temperatures at frequencies resonant in the optically thin scrape-off layer. This phenomenon is caused by strongly down-shifted radiation of Maxwellian tail electrons located in the H-mode edge region and, therefore, contains valuable information about the electron temperature edge gradient. (paper)

  11. Simultaneous realization of high density edge transport barrier and improved L-mode on CHS

    International Nuclear Information System (INIS)

    Minami, Takashi; Okamura, Shoichi; Suzuki, Chihiro

    2008-10-01

    An edge transport barrier (ETB) formation and an improved L-mode (IL mode) have been simultaneously realized in high density region (n-bar e - 1.2x10 20 m -3 ) on Compact Helical System (CHS). When the ETB is formed during the IL mode, the density reduction in the edge region is suppressed by the barrier formation. As a result of the continuous increasing of the temperature by the IL mode, the stored energy during the combined mode increased up to the maximum stored energy (W p - 9.4 kJ) recorded in CHS experiments. The plasma pressure in the peripheral region increases up to three times larger than that of the L-mode, and the large edge plasma pressure gradient is formed accompanying the pedestal structure. That is caused by the anomalous transport reduction that is confirmed from the sharp drop of the density fluctuation in the edge region. The neutral particle reduction in the peripheral region and the metallic impurity accumulation in the core plasma are simultaneously observed during the high density ETB formation. (author)

  12. Measurements and 2-D modeling of recycling and edge transport in discharges with lithium-coated PFCs in NSTX

    Energy Technology Data Exchange (ETDEWEB)

    Canik, J.M., E-mail: canikjm@ornl.gov [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Maingi, R. [Oak Ridge National Laboratory, Oak Ridge, TN (United States); Soukhanovskii, V.A. [Lawrence Livermore National Laboratory, Livermore, CA (United States); Bell, R.E.; Kugel, H.W.; LeBlanc, B.P. [Princeton Plasma Physics Laboratory, Princeton, NJ (United States); Osborne, T.H. [General Atomics, San Diego, CA (United States)

    2011-08-01

    The application of lithium coatings on plasma facing components has been shown to profoundly affect plasma performance in the National Spherical Torus Experiment, improving energy confinement and eliminating edge-localized modes. The edge particle balance during these ELM-free discharges has been studied through 2-D plasma-neutrals modeling, constrained by measurements of the upstream plasma density and temperature profiles and the divertor heat flux and D{sub {alpha}} emission. The calculations indicate that the reduction in divertor D{sub {alpha}} emission with lithium coatings applied is consistent with a drop in recycling coefficient from R {approx} 0.98 to R {approx} 0.9. The change in recycling is not sufficient to account for the change in edge density profiles: interpretive modeling indicates similar transport coefficients within the edge transport barrier (D/{chi}{sub e} {approx} 0.2/1.0 m{sup 2}/s), but a widening of the barrier with lithium.

  13. Negative edge plasma currents in the SINP tokamak

    Indian Academy of Sciences (India)

    RAE is the maximum runaway energy emitted during a burst period of tdur. HXR. There being no plasma control feedback system in the SINP tokamak, the dynamics of the plasma equilibrium is time-dependent and the column shift is now made by the discharge dynamics itself. We measured DRAE for the two discharges ...

  14. EMC3-Eirene simulations of gas puff effects on edge density and ICRF coupling in ASDEX Upgrade

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Wei; Noterdaeme, Jean-Marie [Max Planck Institute for Plasma Physics, Garching (Germany); University of Ghent, Ghent (Belgium); Coster, David; Lunt, Tilmann; Bobkov, Volodymyr; Feng, Yuehe [Max Planck Institute for Plasma Physics, Garching (Germany); Collaboration: ASDEX Upgrade team

    2015-05-01

    Ion cyclotron range of frequency (ICRF) heating relies on the Fast Wave (FW) to transport the power from the edge (the antenna) to the plasma center. Since the FW is evanescent below a critical density (typically in the 10{sup 18} m{sup -3} range), the wave does not propagate in the region where the density is below this value in the very edge of the plasma. The coupling depends strongly on the width of this region. The distance between the ICRF antenna and the FW cut-off layer can be made smaller by increasing the edge density in front of the ICRF antenna. Previous experiments in many tokamaks and preliminary simulation results for AUG and JET with EDGE2D-EIRENE show that the edge density could indeed be increased with gas puffing at the top of the vessel or in the midplane. But the 2D code cannot quantitatively reproduce the experimental results, mainly due to the assumptions of toroidal axisymmetry. EMC3-EIRENE is a 3D Edge Monte Carlo plasma fluid transport code. By including the toroidal nonaxisymmetric plasma facing components and 3D positions of gas valves in the code, the simulations can be made more realistic. We will show first simulation results of the code and a comparison to experiments.

  15. Operational conditions and characteristics of ELM-events during H-mode plasmas in the stellarator W7-AS

    International Nuclear Information System (INIS)

    Hirsch, M.; Grigull, P.; Wobig, H.; Kisslinger, J.; McCormick, K.; Anton, M.; Baldzuhn, J.; Fiedler, S.; Fuchs, Ch.; Geiger, J.; Giannone, L.; Hartfuss, H.-J.; Holzhauer, E.; Hirsch, M.; Jaenicke, R.; Kick, M.; Maassberg, H.; Wagner, F.; Weller, A.

    2000-01-01

    H-mode operation in the low-shear stellarator W7-AS is achieved for specific plasma edge topologies characterized by three 'operational windows' of the edge rotational transform. An explanation for this strong influence of the magnetic configuration could be the increase of viscous damping if rational surfaces and thus island structures occur within the relevant plasma edge layer, thereby impeding the development of an edge transport barrier. Prior to the final transition to a quiescent state, the plasma edge passes a rich phenomenology of dynamic behaviour such as dithering and ELMs. Plasma edge parameters indicate that a quiescent H-mode occurs if a certain edge pressure is achieved. (author)

  16. Boundary Plasma Turbulence Simulations for Tokamaks

    International Nuclear Information System (INIS)

    Xu, X.; Umansky, M.; Dudson, B.; Snyder, P.

    2008-05-01

    The boundary plasma turbulence code BOUT models tokamak boundary-plasma turbulence in a realistic divertor geometry using modified Braginskii equations for plasma vorticity, density (ni), electron and ion temperature (T e ; T i ) and parallel momenta. The BOUT code solves for the plasma fluid equations in a three dimensional (3D) toroidal segment (or a toroidal wedge), including the region somewhat inside the separatrix and extending into the scrape-off layer; the private flux region is also included. In this paper, a description is given of the sophisticated physical models, innovative numerical algorithms, and modern software design used to simulate edge-plasmas in magnetic fusion energy devices. The BOUT code's unique capabilities and functionality are exemplified via simulations of the impact of plasma density on tokamak edge turbulence and blob dynamics

  17. ELM suppression in low edge collisionality H-mode discharges using n = 3 magnetic perturbations

    Energy Technology Data Exchange (ETDEWEB)

    Burrell, K H [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Evans, T E [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Doyle, E J [University of California, Los Angeles, California (United States); Fenstermacher, M E [Lawrence Livermore National Laboratory, Livermore, California (United States); Groebner, R J [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Leonard, A W [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Moyer, R A [University of California, San Diego, California (United States); Osborne, T H; Schaffer, M J; Snyder, P B [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Thomas, P R [CEA Cadarache EURATOM Association, Cadarache (France); West, W P [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Boedo, J A [University of California, San Diego, California (United States); Garofalo, A M [Columbia University, New York, New York (United States); Gohil, P; Jackson, G L; La Haye, R J [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Lasnier, C J [Lawrence Livermore National Laboratory, Livermore, California (United States); Reimerdes, H [Columbia University, New York, New York (United States); Rhodes, T L [University of California, Los Angeles, California (United States); Scoville, J T [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Solomon, W M [Princeton Plasma Physics Laboratory, Princeton, New Jersey (United States); Thomas, D M [General Atomics, PO Box 85608, San Diego, CA 92186-9784 (United States); Wang, G [University of California, Los Angeles, California (United States); Watkins, J G [Sandia National Laboratories, Albuquerque, New Mexico (United States); Zeng, L [University of California, Los Angeles, California (United States)

    2005-12-15

    Using resonant magnetic perturbations with toroidal mode number n = 3, we have produced H-mode discharges without edge localized modes (ELMs) which run with constant density and radiated power for periods up to about 2550 ms (17 energy confinement times). These ELM suppression results are achieved at pedestal collisionalities close to those desired for next step burning plasma experiments such as ITER and provide a means of eliminating the rapid erosion of divertor components in such machines which could be caused by giant ELMs. The ELM suppression is due to an enhancement in the edge particle transport which reduces pedestal current density and maximum edge pressure gradient below the threshold for peeling-ballooning modes. These n = 3 magnetic perturbations provide a means of active control of edge plasma transport.

  18. ELM suppression in low edge collisionality H-mode discharges using n = 3 magnetic perturbations

    International Nuclear Information System (INIS)

    Burrell, K H; Evans, T E; Doyle, E J; Fenstermacher, M E; Groebner, R J; Leonard, A W; Moyer, R A; Osborne, T H; Schaffer, M J; Snyder, P B; Thomas, P R; West, W P; Boedo, J A; Garofalo, A M; Gohil, P; Jackson, G L; La Haye, R J; Lasnier, C J; Reimerdes, H; Rhodes, T L; Scoville, J T; Solomon, W M; Thomas, D M; Wang, G; Watkins, J G; Zeng, L

    2005-01-01

    Using resonant magnetic perturbations with toroidal mode number n = 3, we have produced H-mode discharges without edge localized modes (ELMs) which run with constant density and radiated power for periods up to about 2550 ms (17 energy confinement times). These ELM suppression results are achieved at pedestal collisionalities close to those desired for next step burning plasma experiments such as ITER and provide a means of eliminating the rapid erosion of divertor components in such machines which could be caused by giant ELMs. The ELM suppression is due to an enhancement in the edge particle transport which reduces pedestal current density and maximum edge pressure gradient below the threshold for peeling-ballooning modes. These n = 3 magnetic perturbations provide a means of active control of edge plasma transport

  19. Discrete focusing effect of positive ions by a plasma-sheath lens

    International Nuclear Information System (INIS)

    Stamate, E.; Sugai, H.

    2005-01-01

    We demonstrate that the sheath created adjacent to the surface of a negatively biased electrode that interfaces an insulator acts as a lens that focuses the positive ions to distinct regions on the surface. Thus, the positive ion flux is discrete, leading to the formation of a passive surface, of no ion impact, near the edge and an active surface at the center. Trajectories of positive ions within the sheath are obtained by solving in three dimensions the Poisson equation for electrodes of different geometry. Simulations are confirmed by developing the ion flux profile on the electrode surface as the sputtering pattern produced by ion impact. Measurements are performed in a dc plasma produced in Ar gas

  20. Two-dimensional numerical study of ELMs-induced erosion of tungsten divertor target tiles with different edge shapes

    International Nuclear Information System (INIS)

    Huang, Yan; Sun, Jizhong; Hu, Wanpeng; Sang, Chaofeng; Wang, Dezhen

    2016-01-01

    Highlights: • Thermal performance of three edge-shaped divertor tiles was assessed numerically. • All the divertor tiles exposed to type-I ELMs like ITER's will melt. • The rounded edge tile thermally performs the best in all tiles of interest. • The incident energy flux density was evaluated with structural effects considered. - Abstract: Thermal performance of the divertor tile with different edge shapes was assessed numerically along the poloidal direction by a two-dimensional heat conduction model with considering the geometrical effects of castellated divertor tiles on the properties of its adjacent plasma. The energy flux density distribution arriving at the castellated divertor tile surface was evaluated by a two-dimension-in-space and three-dimension-in-velocity particle-in-cell plus Monte Carlo Collisions code and then the obtained energy flux distribution was used as input for the heat conduction model. The simulation results showed that the divertor tiles with any edge shape of interest (rectangular edge, slanted edge, and rounded edge) would melt, especially, in the edge surface region of facing plasma poloidally under typical heat flux density of a transient event of type-I ELMs for ITER, deposition energy of 1 MJ/m"2 in a duration of 600 μs. In comparison with uniform energy deposition, the vaporizing erosion was reduced greatly but the melting erosion was aggravated noticeably in the edge area of plasma facing diveror tile. Of three studied edge shapes, the simulation results indicated that the divertor plate with rounded edge was the most resistant to the thermal erosion.