WorldWideScience

Sample records for ecr plasma source

  1. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  2. ECR plasma photographs as a plasma diagnostic

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R; Biri, S; Palinkas, J [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2011-04-15

    Low, medium or highly charged ions delivered by electron cyclotron resonance (ECR) ion sources all are produced in the ECR plasma. In order to study such plasmas, high-resolution visible light plasma photographs were taken at the ATOMKI ECR ion source. An 8 megapixel digital camera was used to photograph plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The analysis of the photo series gave many qualitative and some valuable physical information on the nature of ECR plasmas. A comparison was made between the plasma photos and computer simulations, and conclusions were drawn regarding the cold electron component of the plasma. The warm electron component of similar simulation was compared with x-ray photos emitted by plasma ions. While the simulations are in good agreement with the photos, a significant difference was found between the spatial distribution of the cold and warm electrons.

  3. ECR Plasma Photos

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2009-01-01

    Complete text of publication follows. In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The effects of the main external setting parameters (gas pressure, gas composition, magnetic field, microwave power, microwave frequency) were studied to the shape, color and structure of the plasma. The double frequency mode (9+14 GHz) was also realized and photos of this special 'star-in-star' shape plasma were recorded. A study was performed to analyze and understand the color of the ECR plasmas. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas. To our best knowledge our work is the first systematic study of ECR plasmas in the visible light region. When looking in the plasma chamber of an ECRIS we can see an axial image of the plasma (figure 1) in conformity with experimental setup. Most of the quantitative information was obtained through the summarised values of the Analogue Digital Unit (ADU) of pixels. By decreasing the strength of the magnetic trap we clearly observed that the brightness of the central part of the plasma gradually decreases, i.e. the plasma becomes more and more 'empty'. Figure 2 shows a photo series of ECR plasma at decreasing axial magnetic field. The radial size of the plasma increased because of the ascendant resonant zone. By increasing the power of the injected microwave an optimum (or at least saturation) was found in the brightness of the plasma. We found correlation between the gas dosing rates and plasma intensities. When sweeping the frequency of the microwave in a wide region

  4. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  5. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  6. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  7. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  8. Proceedings of the 10th international workshop on ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F W; Kirkpatrick, M I [eds.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H{sup {minus}} Source; The H{sup +} ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research.

  9. Proceedings of the 10th international workshop on ECR ion sources

    International Nuclear Information System (INIS)

    Meyer, F.W.; Kirkpatrick, M.I.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ''ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A ampersand M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H - Source; The H + ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research

  10. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  11. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  12. Future prospects for ECR ion sources with improved charge state distributions

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    Despite the steady advance in the technology of the ECR ion source, present art forms have not yet reached their full potential in terms of charge state and intensity within a particular charge state, in part, because of the narrow band width. single-frequency microwave radiation used to heat the plasma electrons. This article identifies fundamentally important methods which may enhance the performances of ECR ion sources through the use of: (1) a tailored magnetic field configuration (spatial domain) in combination with single-frequency microwave radiation to create a large uniformly distributed ECR ''volume'' or (2) the use of broadband frequency domain techniques (variable-frequency, broad-band frequency, or multiple-discrete-frequency microwave radiation), derived from standard TWT technology, to transform the resonant plasma ''surfaces'' of traditional ECR ion sources into resonant plasma ''volume''. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, thereby producing higher charge state ions and much higher intensities within a particular charge state than possible in present forms of' the source. The ECR ion source concepts described in this article offer exciting opportunities to significantly advance the-state-of-the-art of ECR technology and as a consequence, open new opportunities in fundamental and applied research and for a variety of industrial applications

  13. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  14. Characteristics of 6.5 GHz ECR ion source for polarized H- ion source

    International Nuclear Information System (INIS)

    Ikegami, Kiyoshi; Mori, Yoshiharu; Takagi, Akira; Fukumoto, Sadayoshi.

    1983-04-01

    A 6.5 GHz ECR (electron cyclotron resonance) ion source has been developed for optically pumped polarized H - ion source at KEK. The properties of this ECR ion source such as beam intensities, proton ratios, plasma electron temperatures and beam emittances were measured. (author)

  15. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  16. Commissioning of the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steve R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde; Lyneis, Claude M.

    2003-01-01

    VENUS (Versatile ECR ion source for NUclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The magnetic confinement configuration consists of three superconducting axial coils and six superconducting radial coils in a sextupole configuration. The nominal design fields of the axial magnets are 4T at injection and 3T at extraction; the nominal radial design field strength at the plasma chamber wall is 2T, making VENUS the world most powerful ECR plasma confinement structure. The magnetic field strength has been designed for optimum operation at 28 GHz. The four-year VENUS project has recently achieved two major milestones: The first plasma was ignited in June, the first mass-analyzed high charge state ion beam was extracted in September of 2002. The pa per describes the ongoing commissioning. Initial results including first emittance measurements are presented

  17. Solid material evaporation into an ECR source by laser ablation

    International Nuclear Information System (INIS)

    Harkewicz, R.; Stacy, J.; Greene, J.; Pardo, R.C.

    1993-01-01

    In an effort to explore new methods of producing ion beams from solid materials, we are attempting to develop a laser-ablation technique for evaporating materials directly into an ECR ion source plasma. A pulsed NdYaG laser with approximately 25 watts average power and peak power density on the order of 10 7 W/cm 2 has been used off-line to measure ablation rates of various materials as a function of peak laser power. The benefits anticipated from the successful demonstration of this technique include the ability to use very small quantities of materials efficiently, improved material efficiency of incorporation into the ECR plasma, and decoupling of the material evaporation process from the ECR source tuning operation. Here we report on the results of these tests and describe the design for incorporating such a system directly with the ATLAS PII-ECR ion source

  18. Simulation study on ion extraction from ECR ion sources

    International Nuclear Information System (INIS)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author)

  19. Simulation study on ion extraction from ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author).

  20. High Intensity High Charge State ECR Ion Sources

    CERN Document Server

    Leitner, Daniela

    2005-01-01

    The next-generation heavy ion beam accelerators such as the proposed Rare Isotope Accelerator (RIA), the Radioactive Ion Beam Factory at RIKEN, the GSI upgrade project, the LHC-upgrade, and IMP in Lanzhou require a great variety of high charge state ion beams with a magnitude higher beam intensity than currently achievable. High performance Electron Cyclotron Resonance (ECR) ion sources can provide the flexibility since they can routinely produce beams from hydrogen to uranium. Over the last three decades, ECR ion sources have continued improving the available ion beam intensities by increasing the magnetic fields and ECR heating frequencies to enhance the confinement and the plasma density. With advances in superconducting magnet technology, a new generation of high field superconducting sources is now emerging, designed to meet the requirements of these next generation accelerator projects. The talk will briefly review the field of high performance ECR ion sources and the latest developments for high intens...

  1. Particle flux at the outlet of an Ecr plasma source; Flujos de particulas a la salida de una fuente de plasma ECR

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez T, C.; Gonzalez D, J. [ININ, Departamento de Fisica, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2000-07-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  2. Mean energy of ions at outlet of a type Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1998-01-01

    In this work it is described the calculations to mean energy of the ions in the extraction zone of a type Ecr plasma source considering the presence of a metallic substrate. This zone is characterized by the existence of a divergent magnetic field. It is showed that mean energy is function as the distance between the outlet and substrate as the value of the external magnetic field. (Author)

  3. Study of hot electrons in a ECR ion source

    International Nuclear Information System (INIS)

    Barue, C.

    1992-12-01

    The perfecting of diagnosis connected with hot electrons of plasma, and then the behaviour of measured parameters of plasma according to parameters of source working are the purpose of this thesis. The experimental results obtained give new information on hot electrons of an ECR ion source. This thesis is divided in 4 parts: the first part presents an ECR source and the experimental configuration (ECRIS physics, minimafios GHz, diagnosis used); the second part, the diagnosis (computer code of cyclotron emission and calibration); the third part gives experimental results in continuous regime (emission cyclotron diagnosis, bremsstrahlung); the fourth part, experimental results in pulsed regime (emission cyclotron diagnosis, diamagnetism) calibration)

  4. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  5. Proceedings of the 'INS workshop on ECR ion sources for multiply-charged heavy ions'

    International Nuclear Information System (INIS)

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ''NANOGAN'' ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.)

  6. Proceedings of the `INS workshop on ECR ion sources for multiply-charged heavy ions`

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ``NANOGAN`` ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.).

  7. Design studies for an advanced ECR ion source for multiply charged ion beam generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    An innovative technique: for increasing ion source intensity is described which, in principle, could lead to significant advances in ECR ion source technology for multiply charged ion beam formation. The advanced concept design uses a minimum-B magnetic mirror geometry which consists of a multi-cusp, magnetic field, to assist in confining the plasma radially, a flat central field for tuning to the ECR resonant condition, and specially tailored min-or fields in the end zones to confine the plasma in the axial direction. The magnetic field is designed to achieve an axially symmetric plasma ''volume'' with constant mod-B, which extends over the length of the central field region. This design, which strongly contrasts w h the ECR ''surfaces'' characteristic of conventional ECR ion sources, results in dramatic increases in the absorption of RF power, thereby increasing the electron temperature and ''hot'' electron population within the ionization volume of the source

  8. Superconducting ECR ion source system

    International Nuclear Information System (INIS)

    Sharma, S.C.; Gore, J.A.; Gupta, A.K.; Saxena, A.

    2017-01-01

    In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator programme, consisting of a superconducting ECR (Electron Cyclotron Resonance) source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting resonator cavities has been proposed. The 18 GHz superconducting ECR ion source system has already been commissioned and being operated periodically at FOTIA beam hall. This source is capable of delivering ion beams right from proton to uranium with high currents and high charge states over a wide mass range (1/7 ≤ q/m ≤ 1/2) across the periodic table, including U"3"4"+ (q/m∼1/7) with 100 pna yield. The normalized transverse beam emittance from ECR source is expected to be <1.0 pi mm mrad. ECR ion sources are quite robust, making them suitable for operating for weeks continuously without any interruption

  9. 11th ECR ion source workshop

    International Nuclear Information System (INIS)

    1993-05-01

    This report contains four articles concerning the commissioning of the 14 GHz ECR at the new Unilac injector, the status of the PuMa-ECR, the redesigned 14 GHz ECR ion source and test bench, and the simulation of ion beam extraction from an ECR source. See hints under the relevant topics. (HSI)

  10. Development of the 3rd Generation ECR ion source

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Xie, Z.Q.; Taylor, C.E.

    1997-09-01

    The LBNL 3rd Generation ECR ion source has progressed from a concept to the fabrication of a full scale prototype superconducting magnet structure. This new ECR ion source will combine the recent ECR ion source techniques that significantly enhance the production of high charge state ions. The design includes a plasma chamber made from aluminum to provide additional cold electrons, three separate microwave feeds to allow multiple-frequency plasma heating (at 10, 14 and 18 GHz or at 6, 10 and 14 GHz) and very high magnetic mirror fields. The design calls for mirror fields of 4 T at injection and 3 T at extraction and for a radial field strength at the wall of 2.4 T. The prototype superconducting magnet structure which consists of three solenoid coils and six race track coils with iron poles forming the sextupole has been tested in a vertical dewar. After training, the sextupole magnet reached 105% of its design current with the solenoids off. With the solenoids operating at approximately 70% of their full design field, the sextuple coils operated at 95% of the design value which corresponds to a sextupole field strength at the plasma wall of more than 2.1 T

  11. Production of highly charged ion beams from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-09-01

    Electron Cyclotron Resonance (ECR) ion source development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECR ion sources. So far at cw mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ and U 34+ have been produced from ECR ion sources. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I ≥ 60 enA) also has been achieved. This article will review the ECR ion source progress and discuss key requirement for ECR ion sources to produce the highly charged ion beams

  12. An enhanced production of highly charged ions in the ECR ion sources

    International Nuclear Information System (INIS)

    Schaechter, L.; Dobrescu, S.; Badescu- Singureanu, Al.I.; Stiebing, K.E.; Runkel, S.; Hohn, O.; Schmidt, L.; Schempp, A.; Schmidt - Boecking, H.

    2000-01-01

    The electron cyclotron resonance (ECR) ion source (ECRIS) are the ideal sources of highly charged heavy ions. Highly charged heavy ions are widely used in atomic physics research where they constitute a very efficient tool due to their very high electric potential of collision. The highly charged ions are also used in fusion plasma physics studies, in solid state surface physics investigations and are very efficient when injected in particle accelerators. More than 50 ECR ion sources are presently working in the whole world. Stable and intense highly charged heavy ions beams are extracted from ECR ion sources, in a wide range of ion species. RECRIS, the Romanian 14 GHz ECR Ion Source, developed in IFIN-HH, designed as a facility for atomic physics and materials studies, has been recently completed. The research field concerning the development of advanced ECRIS and the study of the physical processes of the ECR plasma are presently very dynamical , a fact well proved by the great number of scientific published works and the numerous dedicated international conferences and workshops. It is well established that the performance of ECRIS can substantially be enhanced if special techniques like a 'biased disk' or a special wall coating of the plasma chamber are employed. In the frame of a cooperation project between IFIN-HH ,Bucharest, Romania and the Institut fuer Kernphysik of the J. W. Goethe University, Frankfurt/Main, Germany we developed, on the basis of previous research carried out in IFIN-HH, a new method to strongly increase the intensity of the ion beams extracted from the 14.4 GHz ECRIS in Frankfurt. In our method a special metal-dielectric structure (MD cylinder) was introduced in the ECRIS plasma chamber. In the experiment analyzed beams of Ar 16+ ions were increased in intensity by a factor of 50 as compared to the standard set up with stainless steel chamber. These results have been communicated at the International Conference on Ion Sources held at

  13. Electron cyclotron resonance (ECR) ion sources

    International Nuclear Information System (INIS)

    Jongen, Y.

    1984-05-01

    Starting with the pioneering work of R. Geller and his group in Grenoble (France), at least 14 ECR sources have been built and tested during the last five years. Most of those sources have been extremely successful, providing intense, stable and reliable beams of highly charged ions for cyclotron injection or atomic physics research. However, some of the operational features of those sources disagreed with commonly accepted theories on ECR source operation. To explain the observed behavior of actual sources, it was found necessary to refine some of the crude ideas we had about ECR sources. Some of those new propositions are explained, and used to make some extrapolations on the possible future developments in ECR sources

  14. Ion mixing and numerical simulation of different ions produced in the ECR ion source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    This paper is to continue theoretical investigations and numerical simulations in the physics of ECR ion sources within the CERN program on heavy ion acceleration. The gas (ion) mixing effect in ECR sources is considered here. It is shown that the addition of light ions to the ECR plasma has three different mechanisms to improve highly charged ion production: the increase of confinement time and charge state of highly ions as the result of ion cooling; the concentration of highly charged ions in the central region of the source with high energy and density of electrons; the increase of electron production rate and density of plasma. The numerical simulations of lead ion production in the mixture with different light ions and different heavy and intermediate ions in the mixture with oxygen, are carried out to predict the principal ECR source possibilities for LHC applications. 18 refs., 23 refs

  15. State of the Art ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-01-01

    Electron Cyclotron Resonance (ECR) ion source which produces highly-charged ions is used in heavy ion accelerators worldwide. Applications also found in atomic physics research and industry ion implantation. ECR ion source performance continues to improve, especially in the last few years with new techniques, such as multiple-frequency plasma heating and better methods to provide extra cold electrons, combined with higher magnetic mirror fields. So far more than 1 emA of multiply-charged ions such as He 2+ and O 6+ , and 30 eμA of Au 32+ , 1.1 eμA of 238 U 48+ , and epA currents of very high charge states such as 86 Kr 35+ and 238 U 60+ have been produced

  16. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  17. ECR plasma diagnostics with Langmuir probe

    International Nuclear Information System (INIS)

    Kenez, L.; Biri, S.; Valek, A.

    2000-01-01

    Complete text of publication follows. An Electron Cyclotron Resonance (ECR) Ion Source is a tool to generate highly charged ions. The ion beam is extracted from the plasma chamber of the ECRIS. Higher charge states and beam intensities are the main objectives of ECR research. The heart of an ion source is the confined plasma which should be well known to reach those objectives. Information about the plasma can be obtained by plasma diagnostics methods. Langmuir probes were successfully used in case of other plasmas, e.g. TOKAMAK. Until last year plasma diagnostics at the ATOMKI ECRIS was performed by X-ray and visible light measurements. While X-ray measurements give global information, the Langmuir probe method can give information on the local plasma parameters. This is an advantage because the local parameters are not known in detail. By Langmuir probe measurements it is possible to get information on plasma density, plasma potential and partly on the electron temperature. From the experimental point of view a Langmuir probe is very simple. However, the precise positioning of the probe in the plasma chamber (HV platform, strong magnetic field, RF waves) is a difficult task. Also the theory of probes is complicated: the ECR plasma is a special one because the confining magnetic field is inhomogeneous, beside hot electrons it contains cold ions with different charge states and it is heated with high frequency EM waves. What can be measured with a probe is a voltage-current (U-I) characteristics. Figure 1 shows a typical U-I curve measured in our lab. As it can be seen in the figure the diagram has three main parts. An ion saturation current region (I.), an electron saturation current region (III.) and a transition region (II.) between them. These measurements were performed using two different power supplies to bias the probe to positive and negative voltage. To perform more precise U-I measurements we need a special power supply which is presently being built in

  18. Effects of magnetic configuration on hot electrons in highly charged ECR plasma

    International Nuclear Information System (INIS)

    Zhao, H Y; Zhao, H W; Sun, L T; Wang, H; Ma, B H; Zhang, X Zh; Li, X X; Ma, X W; Zhu, Y H; Lu, W; Shang, Y; Xie, D Z

    2009-01-01

    To investigate the hot electrons in highly charged electron cyclotron resonance (ECR) plasma, Bremsstrahlung radiations were measured on two ECR ion sources at the Institute of Modern Physics. Used as a comparative index of the mean energy of the hot electrons, a spectral temperature, T spe , is derived through a linear fitting of the spectra in a semi-logarithmic representation. The influences of the external source parameters, especially the magnetic configuration, on the hot electrons are studied systematically. This study has experimentally demonstrated the importance of high microwave frequency and high magnetic field in the electron resonance heating to produce a high density of hot electrons, which is consistent with the empirical ECR scaling laws. The experimental results have again shown that a good compromise is needed between the ion extraction and the plasma confinement for an efficient production of highly charged ion beams. In addition, this investigation has shown that the correlation between the mean energy of the hot electrons and the magnetic field gradient at the ECR is well in agreement with the theoretical models.

  19. Measurements of bremsstrahlung spectra of Lanzhou ECR Ion Source No. 3 (LECR3)

    International Nuclear Information System (INIS)

    Zhao, H.Y.; Zhao, H.W.; Ma, X.W.; Zhang, S.F.; Feng, W.T.; Zhu, X.L.; Zhang, Z.M.; He, W.; Sun, L.T.; Feng, Y.C.; Cao, Y.; Li, J.Y.; Li, X.X.; Wang, H.; Ma, B.H.

    2006-01-01

    In order to diagnose the electron cyclotron resonance (ECR) plasma, electron bremsstrahlung spectra were measured by a HPGe detector on Lanzhou ECR Ion Source No. 3 at IMP. The ion source was operated with argon under various working conditions, including different microwave power, mixing gas, extraction high voltage (HV), and so on. Some of the measured spectra are presented in this article. The dependence of energetic electron population on mixing gas and extraction HV is also described. Additionally, we are looking forward to further measurements on SECRAL (Superconducting ECR Ion Source with Advanced design at Lanzhou)

  20. Electromagnetic diagnostics of ECR-Ion Sources plasmas: optical/X-ray imaging and spectroscopy

    Science.gov (United States)

    Mascali, D.; Castro, G.; Altana, C.; Caliri, C.; Mazzaglia, M.; Romano, F. P.; Leone, F.; Musumarra, A.; Naselli, E.; Reitano, R.; Torrisi, G.; Celona, L.; Cosentino, L. G.; Giarrusso, M.; Gammino, S.

    2017-12-01

    Magnetoplasmas in ECR-Ion Sources are excited from gaseous elements or vapours by microwaves in the range 2.45-28 GHz via Electron Cyclotron Resonance. A B-minimum, magnetohydrodynamic stable configuration is used for trapping the plasma. The values of plasma density, temperature and confinement times are typically ne= 1011-1013 cm-3, 01 eVSilicon Drift detectors with high energy resolution of 125 eV at 5.9 keV have been used for the characterization of plasma emission at 02plasmas have been measured for different values of neutral pressure, microwave power and magnetic field profile (they are critical for high-power proton sources).

  1. Commissioning of the superconducting ECR ion source VENUS at 18 GHz

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde E.; Lyneis, Claude M.

    2004-01-01

    During the last year, the VENUS ECR ion source was commissioned at 18 GHz and preparations for 28 GHz operation are now underway. During the commissioning phase with 18 GHz, tests with various gases and metals have been performed with up to 2000 W RF power. The ion source performance is very promising [1,2]. VENUS (Versatile ECR ion source for Nuclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The goal of the VENUS ECR ion source project as the RIA R and D injector is the production of 240e(micro)A of U 30+ , a high current medium charge state beam. On the other hand, as an injector ion source for the 88-Inch Cyclotron the design objective is the production of 5e(micro)A of U 48+ , a low current, very high charge state beam. To meet these ambitious goals, VENUS has been designed for optimum operation at 28 GHz. This frequency choice has several design consequences. To achieve the required magnetic confinement, superconducting magnets have to be used. The size of the superconducting magnet structure implies a relatively large plasma volume. Consequently, high power microwave coupling becomes necessary to achieve sufficient plasma heating power densities. The 28 GHz power supply has been delivered in April 2004

  2. Development of 16.5 GHz ECR ion source in KEK

    International Nuclear Information System (INIS)

    Mori, Yoshiharu; Kinsho, Michikazu; Ikegami, Kiyoshi; Takagi, Akira

    1992-01-01

    An electron cyclotron resonance (ECR) ion source is useful for generating not only highly charged heavy ions but intense protons. We have developed the 16.5 GHz ECR ion source for the optically pumped polarized ion source (OPPIS). Recently, we have modified it to extract highly charged heavy ions and succeeded in producting highly charged argon ions of which charge-states were from 2 to 8. When we introduced electrons into the plasma with a LaB 6 filament, the argon ion beam whose charge-state up to 11 could be extracted. The intensity was also enhanced in factor 2 to 6 for each charge-state ions. (author)

  3. Electron cyclotron resonance (E.C.R.) multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1978-01-01

    High charge state ions can be produced by electron bombardment inside targets when the target electron density n (cm -3 ) multiplied by the ion transit time through the target tau (sec) is: n tau > 5.10 9 cm -3 sec. The relative velocity between electrons and ions determines the balance between stripping and capture i.e. the final ion charge state. (In a stripper foil fast ions interact with slow electrons involving typically n approximately 10 24 cm -3 , tau approximately 10 -14 sec). In the E.C.R. source a cold ion plasma created in a first stage diffuses slowly through a second stage containing a hot E.C.R. plasma with n > 3.10 11 cm -3 and tau > 10 -2 sec. Continuous beams of several μA of C 6+ N 7+ Ne 9+ A 11+ are extracted from the second stage with normalized emittances of approximately 0.5 π mm mrad. The absence of cathodes and plasma arcs makes the source very robust, reliable and well-fitted for cyclotron injection. A super conducting source is under development

  4. Superconducting ECR ion source: From 24-28 GHz SECRAL to 45 GHz fourth generation ECR

    Science.gov (United States)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Zhang, W. H.; Lu, W.; Wu, W.; Wu, B. M.; Sabbi, G.; Juchno, M.; Hafalia, A.; Ravaioli, E.; Xie, D. Z.

    2018-05-01

    The development of superconducting ECR source with higher magnetic fields and higher microwave frequency is the most straight forward path to achieve higher beam intensity and higher charge state performance. SECRAL, a superconducting third generation ECR ion source, is designed for 24-28 GHz microwave frequency operation with an innovative magnet configuration of sextupole coils located outside the three solenoids. SECRAL at 24 GHz has already produced a number of record beam intensities, such as 40Ar12+ 1.4 emA, 129Xe26+ 1.1 emA, 129Xe30+ 0.36 emA, and 209Bi31+ 0.68 emA. SECRAL-II, an upgraded version of SECRAL, was built successfully in less than 3 years and has recently been commissioned at full power of a 28 GHz gyrotron and three-frequency heating (28 + 45 + 18 GHz). New record beam intensities for highly charged ion production have been achieved, such as 620 eμA 40Ar16+, 15 eμA 40Ar18+, 146 eμA 86Kr28+, 0.5 eμA 86Kr33+, 53 eμA 129Xe38+, and 17 eμA 129Xe42+. Recent beam test results at SECRAL and SECRAL II have demonstrated that the production of more intense highly charged heavy ion beams needs higher microwave power and higher frequency, as the scaling law predicted. A 45 GHz superconducting ECR ion source FECR (a first fourth generation ECR ion source) is being built at IMP. FECR will be the world's first Nb3Sn superconducting-magnet-based ECR ion source with 6.5 T axial mirror field, 3.5 T sextupole field on the plasma chamber inner wall, and 20 kW at a 45 GHz microwave coupling system. This paper will focus on SECRAL performance studies at 24-28 GHz and technical design of 45 GHz FECR, which demonstrates a technical path for highly charged ion beam production from 24 to 28 GHz SECRAL to 45 GHz FECR.

  5. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro [Accelerator Engineering Corp., Chiba (Japan); Kitagawa, Atsushi; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Biri, Sandor [Institute of Nuclear Research (ATOMKI), Debrecen (Hungary)

    2000-11-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 e{mu}A for Ar{sup 11+}. (J.P.N.)

  6. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    International Nuclear Information System (INIS)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro; Kitagawa, Atsushi; Muramatsu, Masayuki; Biri, Sandor

    2000-01-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 eμA for Ar 11+ . (J.P.N.)

  7. Prospect for a 60 GHz multicharged ECR ion source

    Science.gov (United States)

    Thuillier, T.; Bondoux, D.; Angot, J.; Baylac, M.; Froidefond, E.; Jacob, J.; Lamy, T.; Leduc, A.; Sole, P.; Debray, F.; Trophime, C.; Skalyga, V.; Izotov, I.

    2018-05-01

    The conceptual design of a fourth generation hybrid electron cyclotron resonance (ECR) ion source operated at 60 GHz is proposed. The axial magnetic mirror is generated with a set of three Nb3Sn coils, while the hexapole is made with room temperature (RT) copper coils. The motivations for such a hybrid development are to study further the ECR plasma physics and the intense multicharged ion beams' production and transport at a time when a superconducting (SC) hexapole appears unrealistic at 60 GHz. The RT hexapole coil designed is an evolution of the polyhelix technology developed at the French High Magnetic Field Facility. The axial magnetic field is generated by means of 3 Nb3Sn SC coils operated with a maximum current density of 350 A/mm2 and a maximum coil load line factor of 81%. The ECR plasma chamber resulting from the design features an inner radius of 94 mm and a length of 500 mm. The radial magnetic intensity is 4.1 T at the wall. Characteristic axial mirror peaks are 8 and 4.5 T, with 1.45 T minimum in between.

  8. Spectroscopic Investigation of Nitrogen Loaded ECR Plasmas

    CERN Document Server

    Ullmann, F; Zschornack, G; Küchler, D; Ovsyannikov, V P

    1999-01-01

    Energy dispersive X-ray spectroscopy on ions in the plasma and magnetic q/A-analysis of the extracted ions were used to determine the plasmaproperties of nitrogen loaded ECR plasmas.As the beam expands from a limited plasma region and the ion extraction process alters the plasma properties in the extraction meniscus thebeam composition does not correspond to the bulk plasma composition. The analysis of measured spectra of characteristic X-rays delivers a method to determine the ion charge state distribution and the electron energy distribution inside the plasma and does not alter the plasma anddoes not depend on the extraction and transmission properties of the ion extraction and transport system. Hence this method seems to be moreaccurate than the traditional magnetic analysis and allows to analyse different plasma regions.A comparison between ion charge state distributions determined from X-ray spectra and such from q/A-analysis shows significant differencesfor the mean ion charge states in the source plasm...

  9. Design of the compact permanent-magnet ECR ion source

    International Nuclear Information System (INIS)

    Park, J. Y.; Ahn, J. K.; Lee, H. S.; Won, M. S.; Lee, B. S.; Bae, J. S.; Bang, J. K.

    2009-01-01

    The Electron Cyclotron Resonance Ion Sources (ECRIS) for multiply charged ion beams keep regularly improving and expanding since the pioneer time of R. Geller and his coworkers about twenty years age. It has been widely utilized in a variety of research areas ranging from atomic and nuclear physics to material sciences. Because of the unique capability of producing highly charged ion beams, the ECR ion source has become increasingly popular in heavy-ion accelerators where the principle of acceleration sensitively depends on the charge-to-mass ratio (q=M) of the injected positive ion beam. The potential usages of beam based research development is still developing and there are plenty of rooms to be part of it. On the basis of ECR ion source technology, we will explore possible applications in the field of plasma technology, radiation technology, plastic deformation, adding more and new functionality by implantation, MEMS applications, developing new generation mass analysis system, fast neutron radiography system, etc

  10. Neutron generator for BNCT based on high current ECR ion source with gyrotron plasma heating.

    Science.gov (United States)

    Skalyga, V; Izotov, I; Golubev, S; Razin, S; Sidorov, A; Maslennikova, A; Volovecky, A; Kalvas, T; Koivisto, H; Tarvainen, O

    2015-12-01

    BNCT development nowadays is constrained by a progress in neutron sources design. Creation of a cheap and compact intense neutron source would significantly simplify trial treatments avoiding use of expensive and complicated nuclear reactors and accelerators. D-D or D-T neutron generator is one of alternative types of such sources for. A so-called high current quasi-gasdynamic ECR ion source with plasma heating by millimeter wave gyrotron radiation is suggested to be used in a scheme of D-D neutron generator in the present work. Ion source of that type was developed in the Institute of Applied Physics of Russian Academy of Sciences (Nizhny Novgorod, Russia). It can produce deuteron ion beams with current density up to 700-800 mA/cm(2). Generation of the neutron flux with density at the level of 7-8·10(10) s(-1) cm(-2) at the target surface could be obtained in case of TiD2 target bombardment with deuteron beam accelerated to 100 keV. Estimations show that it is enough for formation of epithermal neutron flux with density higher than 10(9) s(-1) cm(-2) suitable for BNCT. Important advantage of described approach is absence of Tritium in the scheme. First experiments performed in pulsed regime with 300 mA, 45 kV deuteron beam directed to D2O target demonstrated 10(9) s(-1) neutron flux. This value corresponds to theoretical estimations and proofs prospects of neutron generator development based on high current quasi-gasdynamic ECR ion source. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Electron Cyclotron Resonance (ECR) Ion Source Development at the Holified Radioactive Ion Beam Facility

    Science.gov (United States)

    Bilheux, Hassina; Liu, Yuan; Alton, Gerald; Cole, John; Williams, Cecil; Reed, Charles

    2004-11-01

    Performance of ECR ion sources can be significantly enhanced by increasing the physical size of their ECR zones in relation to the size of their plasma volumes (spatial and frequency domain methods).^3-5 A 6 GHz, all-permanent magnet ECR ion source with a large resonant plasma volume has been tested at ORNL.^6 The magnetic circuit can be configured for creating both flat-β (volume) and conventional minimum-β (surface) resonance conditions. Direct comparisons of the performance of the two source types can be made under similar operating conditions. In this paper, we clearly demonstrate that the flat-β source outperforms its minimum-β counterpart in terms of charge state distribution and intensity within a particular charge state. ^1bilheuxhn@ornl.gov ^2Managed by UT-Battelle, LLC, for the U.S. Department of Energy under contract DE-AC05-00OR22725. ^3G.D. Alton, D.N. Smithe, Rev. Sci. Instrum. 65 (1994) 775. ^4G.D. Alton et al., Rev. Sci. Instrum. 69 (1998) 2305. ^5Z.Q. Xie, C.M. Lyneis, Rev. Sci. Instrum. 66 (1995) 4218. ^6Y. Liu et al., Rev. Sci. Instrum. 69 (1998) 1311.

  12. Characteristics of MINI ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Yuichi; Yokota, Watalu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    A very compact electron cyclotron resonance ion source (MINI ECR) was manufactured to extend available energy ranges of ion beams by applying multiply charged ions to electrostatic accelerators. The magnetic field to confine a plasma is formed only by small permanent magnets and the microwave power up to 15 W is generated by a compact transistor amplifier in order to install the ion source at a narrow high-voltage terminal where the electrical power feed is restricted. The magnet assembly is 12 cm in length and 11 cm in diameter, and forms a mirror field with the maximum strength of 0.55 T. The total power consumption of the source is below 160 W. The performance of the source was tested in a bench stand. The results of Ar, Xe, O, and N ion generation are reported in this paper. (author)

  13. Introduction to ECR [electron cyclotron resonance] sources in electrostatic machines

    International Nuclear Information System (INIS)

    Olsen, D.K.

    1989-01-01

    Electron Cyclotron Resonance (ECR) ion source technology has developed rapidly since the original pioneering work of R. Geller and his group at Grenoble in the early 1970s. These ion sources are capable of producing intense beams of highly charged positive ions and are used extensively for cyclotron injection, linac injection, and atomic physics research. In this paper, the possible use of ECR heavy-ion sources in the terminals of electrostatic machines is discussed. The basic concepts of ECR sources are reviewed in the next section using the ORNL source as a model. The possible advantages of ECR sources over conventional negative ion injection and foil stripping are discussed in Section III. The last section describes the possible installation of an ECR source in a large machine such as the HHIRF 25-MV Pelletron. 6 refs., 4 figs., 1 tab

  14. Application of ECR ion source beams in atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F.W.

    1987-01-01

    The availability of intense, high charge state ion beams from ECR ion sources has had significant impact not only on the upgrading of cyclotron and synchrotron facilities, but also on multicharged ion collision research, as evidenced by the increasing number of ECR source facilities used at least on a part time basis for atomic physics research. In this paper one such facility, located at the ORNL ECR source, and dedicated full time to the study of multicharged ion collisions, is described. Examples of applications of ECR ion source beams are given, based on multicharged ion collision physics studies performed at Oak Ridge over the last few years. 21 refs., 18 figs., 2 tabs.

  15. Improvement of highly charged ion output from an ECR source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1995-01-01

    The physical limitations of the highly charged ion production in the ECR source is analyzed in this report. General methods to increase the output ion current and the attainable charged states of heavy ions are discussed. Some new ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the mathematical simulation of heavy ion production in the ECR ion source is used for numerical experiments to test these ways for improving the operation of the ECR source. (orig.)

  16. Testing methods of ECR ion source experimental platform

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2006-12-01

    The principle and structure of ECR ion source experimental platform were introduce. The testing methods of the parameters of single main component and the comprehensive parameters under the condition of certain beam current and beam spot diameter were summarized in process of manufacturing. Some appropriate testing dates were given. The existent questions (the parameters of plasma density in discharge chamber and accurate hydrogen flow, etc. can not be measured in operation) and resolutions were also put forward. (authors)

  17. Manufacture of an experimental platform with ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2007-12-01

    The working principle and basal configuration and fabricative process of ECR ion source are introdced. Regarding as an experimental and test device, the experimental platform of ECR ion source may expediently regulate every parameter of ion source, and achieve good character of beam current. Through improving on the components, ECR ion source can is modulated in best state. Above results may be used in the running and debugging of neutron generator. Therefore, the experimental platform of ECR ion source is the necessary equipment of large beam current neutron generator. Comparing the experimental platform of ECR ion source with domestic ones and the overseas ones, it mainly be used in the simulation experiments about neutron generator. It is compact and experimental platform mode in structure. It can focus the beam current and measure many parameters on line in function. The problem of lower beam current to discover is resolved in debugging of the device. The measurement results indicate that the technology character of the device have achieved design requirements. (authors)

  18. Design of a 'two-ion-source' charge breeder with a dual frequency ECR ion source

    International Nuclear Information System (INIS)

    Naik, D.; Naik, V.; Chakrabarti, A.; Dechoudhury, S.; Nayak, S.K.; Pandey, H.K.; Nakagawa, T.

    2005-01-01

    A charge breeder, 'two-ion-source' has been designed which consists of a surface ionisation source followed by an ECR ion source working in two-frequency mode. In this system low charge state ion beam (1+)of radioactive atoms are obtained from the first ion source close to the target chamber and landed into the ECR where those are captured and become high charged state after undergoing a multi ionisation process. This beam dynamics design has been done to optimise the maximum possible transfer of 1 + beam from the first ion source into the ECR, its full capture within the ECR zone and design of an efficient dual frequency ECR. The results shows that 1 + beam of 100 nA and 1μA (A=100) are successfully transmitted and it's beam size at the centre of ECR zone are 12 mm and 21 mm respectively, which are very less than 65 mm width ECR zone of dual frequency ECR heating at 14 GHz and 10 GHz. (author)

  19. Experiments on a 14.5 GHz ECR source

    International Nuclear Information System (INIS)

    Hill, C.E.; Langbein, K.

    1996-01-01

    The 14.5 GHz ECR4 source supplied to CERN in the framework of the Heavy Ion Facility collaboration provided Pb 27+ operational beams to a new custom built linac in 1994. This source, which operates in the pulsed 'afterglow' mode, quickly met its design specification of 80 eμA and now provides currents >100 eμA regularly. Early source tests showed the existence of extremely stable modes of operation. In the search for higher intensities a number of experiments have been performed on plasma gas composition, RF power matching, extraction, beam pulse compression and a biased dynode. The results of these tests will be presented along with further ideas to improve source performance. (author)

  20. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  1. Plasma heating due to X-B mode conversion in a cylindrical ECR plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, V.K.; Bora, D. [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India)

    2004-07-01

    Extra Ordinary (X) mode conversion to Bernstein wave near Upper Hybrid Resonance (UHR) layer plays an important role in plasma heating through cyclotron resonance. Wave generation at UHR and parametric decay at high power has been observed during Electron Cyclotron Resonance (ECR) heating experiments in toroidal magnetic fusion devices. A small linear system with ECR and UHR layer within the system has been used to conduct experiments on X-B conversion and parametric decay process as a function of system parameters. Direct probing in situ is conducted and plasma heating is evidenced by soft x-ray emission measurement. Experiments are performed with hydrogen plasma produced with 160-800 W microwave power at 2.45 GHz of operating frequency at 10{sup -3} mbar pressure. The axial magnetic field required for ECR is such that the resonant surface (B = 875 G) is situated at the geometrical axis of the plasma system. Experimental results will be presented in the paper. (authors)

  2. Present status of FLNR (JINR) ECR ion sources

    International Nuclear Information System (INIS)

    Bogomolov, S.; Efremov, A.; Loginov, V.; Lebedev, A.; Yazvitsy, N.; Bekhterev, V.; Kostukhov, Y.; Gulbekian, G.; Gikal, B.; Drobin, V.; Seleznev, V.; Seleznev, V.

    2012-01-01

    Six ECR ion sources have been operated in the Flerov Laboratory of Nuclear Reactions (JINR). Two 14 GHz ECR ion sources (ECR4M and DECRIS-2) supply various ion species for the U400 and U400M cyclotrons correspondingly for experiments on the synthesis of heavy and exotic nuclei using ion beams of stable and radioactive isotopes. The 18 GHz DECRIS-SC ion source with superconducting magnet system produces ions from Ar up to W for solid state physics experiments and polymer membrane fabrication at the IC-100 cyclotron. The third 14 GHz ion source DECRIS-4 with 'flat' minimum of the axial magnetic field is used as a stand alone machine for test experiments and also for experiments on ion modification of materials. The other two compact ECR ion sources with all permanent magnet configuration have been developed for the production of single charged ions and are used at the DRIBs installation and at the MASHA mass-spectrometer. In this paper, present status of the ion sources, recent developments and plans for modernization are reported. The paper is followed by the slides of the presentation. (authors)

  3. A New ECR Ion Source for Nuclear Astrophysics Studies

    Science.gov (United States)

    Cesaratto, John M.

    2008-10-01

    The Laboratory for Experimental Nuclear Astrophysics (LENA) is a low energy facility designed to study nuclear reactions of astrophysical interest at energies which are important for nucleosysthesis. In general, these reactions have extremely small cross sections, requiring intense beams and efficient detection systems. Recently, a new, high intensity electron-cyclotron-resonance (ECR) ion source has been constructed (based on a design by Wills et al.[1]), which represents a substantial improvement in the capabilities of LENA. Beam is extracted from an ECR plasma excited at 2.45 GHz and confined by an array of permanent magnets. It has produced H^+ beams in excess of 1 mA on target over the energy range 100 - 200 keV, which greatly increases our ability to measure small cross sections. Initial measurements will focus on the ^23Na(p,γ)^24Mg reaction, which is of interest in a variety of astrophysical scenarios. The present uncertainty in the rate of this reaction is the result of an unobserved resonance expected at Elab =144 keV, which should be detectable using beams from the new ECR source. In collaboration with Arthur E. Champagne and Thomas B. Clegg, University of North Carolina, Chapel Hill and TUNL. [3pt] [1] J. S. C. Wills et al., Rev. Sci. Instrum. 69, 65 (1999).

  4. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  5. Comparison analysis of superconducting solenoid magnet systems for ECR ion source based on the evolution strategy optimization

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Shao Qing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of)

    2015-06-15

    Electron cyclotron resonance (ECR) ion source is an essential component of heavy-ion accelerator. For a given design, the intensities of the highly charged ion beams extracted from the source can be increased by enlarging the physical volume of ECR zone. Several models for ECR ion source were and will be constructed depending on their operating conditions. In this paper three simulation models with 3, 4 and 6 solenoid system were built, but it's not considered anything else except the number of coils. Two groups of optimization analysis are presented, and the evolution strategy (ES) is adopted as an optimization tool which is a technique based on the ideas of mutation, adaptation and annealing. In this research, the volume of ECR zone was calculated approximately, and optimized designs for ECR solenoid magnet system were presented. Firstly it is better to make the volume of ECR zone large to increase the intensity of ion beam under the specific confinement field conditions. At the same time the total volume of superconducting solenoids must be decreased to save material. By considering the volume of ECR zone and the total length of solenoids in each model with different number of coils, the 6 solenoid system represented the highest coil performance. By the way, a certain case, ECR zone volume itself can be essential than the cost. So the maximum ECR zone volume for each solenoid magnet system was calculated respectively with the same size of the plasma chamber and the total magnet space. By comparing the volume of ECR zone, the 6 solenoid system can be also made with the maximum ECR zone volume.

  6. Status and special features of the Atomki ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); Racz, R. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Palinkas, J. [University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary)

    2012-02-15

    The ECR ion source has been operating in ATOMKI (Debrecen) since 1996. During the past 15 years lots of minor and numerous major technical modifications have been carried out on the ECRIS. Many of these changes aimed the increasing of beams charge, intensity, and the widening of the ion choice. Another group of the modifications were performed to develop special, non-standard operation modes or to produce peculiar plasmas and beams.

  7. The producing of an ECR plasma using 2450MHz Whistler Wave and the investigating of its parameters

    International Nuclear Information System (INIS)

    Fang Yude; Zhang Jiande; Fu Keming; Lu Xiangyu; Liu Dengcheng; Wang Xianyu; Xie Weidong; Bao Dinghua; Yin Xiejin

    1988-12-01

    A stable ECR plasma was produced and sustained in HER mirror using 2450MHz Whistler wave. The parameters of the ECR plasma and their chaining characters were studied in detail and were compared with those of the DC discharge plasmas. The conclusion is that the ECR plasma is a high ionizability, low temperature, middle density plasma, its peak density may much exceed the cutoff density of the pump wave (when ω = ω pe ) and arrive at the order of 10 12 cm -3 . The ECR plasma includes some high energy hot electrons (20Kev-200Kev) and middle energy warm electrons (< 20Kev). Those two kinds of electron created some strong X-ray emissions in a wide frequency range. The ECR plasma has higher edge density and can strongly interact with the wall. (author). 9 refs, 17 figs

  8. ECR plasma cleaning for superconducting cavities

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Suehiro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2000-02-01

    A superconducting linac has been operating well as a heavy ion energy booster of the tandem accelerator at JAERI since 1994. Forty superconducting quarter wave resonators are used in the linac. They have high performances in average. Some of them are, however, suffering from 'Q-disease' that has been caused by hydrogen absorption into niobium during electro-polishing and the precipitation of niobium-hydrides on the surface at the vicinity of about 120K during precooling. A method of electron cyclotron resonance (ECR) plasma cleaning was applied to spare resonator in order to investigate if it is useful as a curing method of Q-disease. ECR plasma was excited in the resonator by 2.45 GHz microwave in a magnetic field of about 87.5 mT. In the first preliminary experiments, hydrogen, helium, water and oxigen gases were investigated. Every case was done at a pressure of about 3x10{sup -3} Pa. The results show that apparent recovery from Q-disease was found with helium and oxigen gases. (author)

  9. The ECR heavy-ion source for ATLAS

    International Nuclear Information System (INIS)

    Pardo, R.C.; Billquist, P.J.

    1989-01-01

    The ATLAS PII-ECR ion source is the first ECR ion source to be designed for operation in a high voltage platform. The source system is required to provide beams of heavy ions with a velocity of 0.01c for subsequent acceleration by the superconducting ATLAS Positive Ion Injector Linac. At present, the ability of the system to provide high charge state ions with velocities up to .01c is probably unique and as such has generated significant interest in the atomic physics community. A beamline for atomic physics has been installed and is now in use. The source began operation in October, 1987. The source capabilities and operating experiences to date will be discussed. 6 refs., 3 figs., 3 tabs

  10. Status of ECR ion sources at JAERI

    CERN Document Server

    Yokota, W; Nara, T; Ishi, Y; Arakawa, K; Ohkoshi, K

    1999-01-01

    At the Takasaki site of Japan Atomic Energy Research Institute, four ECR ion sources were purchased or developed so far. This paper will report their performance, modification and status. The outlines for each source are as follows; 1. OCTOPUS purchased from IBA s.a. has been in use with a cyclotron since 1990. The gas feed system was modified to change gas species within 10 minutes to avoid impurity ions in the cocktail beam acceleration technique of the cyclotron. 2. ECR-18 with 18-GHz microwave has a solenoid coil between a pair of mirror coils to change mirror ratio in a wide range. A bump between mirror peaks in the original axial field distribution was removed by halving the solenoid length. The performance in generating high charge state ions was significantly improved as a result. 3. HYPERNANOGAN was purchased from PANTECHNIK s.a. and installed in the cyclotron system this year. Test operation was successfully made with generation of Ar, Pb and Ta ions. 4. MINI ECR is a full permanent magnet source wi...

  11. Development of ECR ion source for the HIMAC medical accelerator

    International Nuclear Information System (INIS)

    Kitagawa, A.; Yamada, S.; Sekiguchi, M.

    1992-01-01

    The development of the ECR ion source for the HIMAC injector is reported. The HIMAC facility has two types of the ion source, one is the PIG ion source and the other is the ECR ion source. The ECR ion source is especially expected long lifetime, easy operation, and easy maintenance for the medical use. Now, the system of the ion source is under construction. However, the tests of fundamental performances have been started. In the present tests, the output electrical currents of Ions are 1300 eμA of He 1+ , 210 eμA of Ne 3+ , and 100 eμA of Ar 6+ . And the good stability of the extracted beam is acquired. These performances satisfied the requirements for the radiotherapy. (author)

  12. Valorization of ECR sources

    CERN Document Server

    2003-01-01

    One way to limit the size of particle accelerators is to use intense multicharged ion beams. Thus, compact, low cost and reliable sources have been developed. These sources are based on the electron cyclotron resonance (ECR) principle and need no cathode nor filament. A prototype named Nanogan has been developed for the Spiral project of the Ganil accelerator (Caen, France). Then, this technology has been transferred toward other research domains and industrial applications, like the ion implantation in micro-electronics components. (J.S.)

  13. Improvement of highly charged ion production in the ECR source of heavy ions

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    Some physical limitations of the highly charged ion production in the ECR source are analyzed in this report. A few possible ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the numerical simulation of heavy ion production in the ECR ion source is used to examine these ways to improve the ECR source operation according to the CERN program of heavy ion acceleration. copyright 1996 American Institute of Physics

  14. On-line measurement of microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang; Li Xiaoyun

    2005-01-01

    It is a new technology to apply an ECR ion source to the neutron generator. Because of the structure limitation, working state of the ECR ion source could not be judged by the color of gas discharging in discharge chamber. Therefore, it was hard to estimate if the ECR ion source was working properly in the neutron generator. The method to resolve the problem was described in this paper. The microwave power was measured on-line by a directional coupler and a small microwave power meter. The ion beam current could be educed from the measured incidence microwave power, and discharge state in discharge chamber could be determined. (authors)

  15. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu; Biri, S.; Pálinkás, J. [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/C, H-4026 Debrecen (Hungary); Mascali, D.; Castro, G.; Caliri, C.; Gammino, S. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Romano, F. P. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy)

    2016-02-15

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  16. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics.

    Science.gov (United States)

    Rácz, R; Biri, S; Pálinkás, J; Mascali, D; Castro, G; Caliri, C; Romano, F P; Gammino, S

    2016-02-01

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  17. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu [Accelerator Engineering Corporation, Chiba (Japan)

    2001-11-19

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  18. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    International Nuclear Information System (INIS)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki; Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu

    2001-01-01

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  19. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible Electron Cyclotron Resonance (ECR) Plasma Methane Pyrolysis Reactor is proposed to recover hydrogen which is...

  20. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  1. 400 kV injector compact ECR ion source

    International Nuclear Information System (INIS)

    Constantin, F.; Catana, D.; Macovei, M.; Ivanov, E.

    1997-01-01

    Obtaining multiple ionised ions is a fundamental problem for some applications and research. Multiple ionised ions can be produced from electronic bombardment, when n·τ≥5·10 9 cm -3 · s, where n is the density of electrons (in cm -3 ) and τ is the time of interaction between electrons and ions . The relative speed of electrons and ions determines the equilibrium between the stripping process of the atom's electrons and their capture. An ion source with high ionisation efficiency and large output current is the ECR source (Electron Cyclotron Resonance). Using an ECR source with permanent magnets as ion source for the injector will lead to following advantages: - the possibility to obtain multiple ionised particles; - an increase of ion beam intensities; - the expanding of accelerator activities; - a longer working time, due to magnetron lifetime. The ECR ion source is robust, compact and capable of high intensities of extracted ion current. The large functional domain for the residual gas pressure allows the production of multiple charged ions. The source can be easily integrated in the TRILAC's injection structure. We realised a compact microwave ion source which has an axial magnetic field generated by a permanent magnet of Co-Sm. 1200 G magnetic field is greater than the 875 G magnetic field corresponding to the electron-cyclotron frequency of 2.45 GHz. The microwave generator is a magnetron (2.45 GHz and 200 W in continuos wave). The microwave is fed through a coaxial connector on the top of flange. The test was made on He gas at a pressure between 8· 10 -4 and 5·10 -2 torr. The ion beam current was measured vs. extracted potential from 3 kV to 10 kV and has a dependence according to U 3/2 law. A maximal ion current of 300 μA at 10 kV extraction potential was measured. Dimension of ECR ion source, including Einzel lens are φ=12 cm and h=16 cm. (authors)

  2. Developments of ECR Sources and Associated Equipment

    International Nuclear Information System (INIS)

    Bieth, C.; Kantas, S.; Sortais, P.

    2002-01-01

    PANTECHNIK s.a. has improved and created ECR using room temperature coils, superconducting coils at 30K or permanent magnets ECR. Some of these sources are devoted to particular applications: ion implantation, nuclear and atomic physic, Proton and Hadrontherapy, radioactive beam. Stability and reproducibility have been particularly 1.0 Operational Improvements 1.1 High charge state for nuclear physics, atomic physic and RIB beam The HYPERNANOGAN [1] source (fig1) has been modified to run at 18GHz, and improved at 14.5GHz. The XENON spectrum (fig2) at 14.5GHz shows a Beam current of 3 μAe; for the charge state 30 + . At 18 GHz, the preliminary results indicate an improvement of 18% for Ar 8+ and 50% on Ar 9+ . In the atomic physics field our sources have been used to study the effect of extremely charged ions on a semiconductor surface ( Coulomb explosion)

  3. On-line measurement of the microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang

    2005-01-01

    It is a new technology that ECR ion source is applied in the neutron generator. Because of effect of the structure, working state of ECR ion source could not be judged by the color of gas discharging in discharging chamber as doing in high frequency ion source. Therefore, state adjusting of ECR ion source was difficult in running of the neutron generator. The method to resolve the question is described in this paper. The micro-wave power was measured in case of running by using the method of directional coupler adding small microwave power meter. Because both were in the direct proportion, the ion beam current could be educed from microwave incidence power measured, and discharge state in discharge chamber could be judged. Finally, the neutron generator might be operated in best running state. (authors)

  4. ECR heavy-ion source for the LBL 88-inch cyclotron

    International Nuclear Information System (INIS)

    Clark, D.J.; Kalnins, J.G.; Lyneis, C.M.

    1983-03-01

    An Electron Cyclotron Resonance (ECR) heavy-ion source is under construction at the LBL 88-Inch Cyclotron. This source will produce very-high-charge-state heavy ions, such as 0 8 + and Ar 12 + , which will increase cyclotron energies by a factor of 2-4, up to A = 80. It is a two-stage source using room-temperature coils, a permanent-magnet sextupole, and a 6-9 GHz microwave system. Design features include adjustable first-to-second-stage plasma coupling, a variable second-stage mirror ratio, high-conductance radial pumping of the second stage, and a beam-diagnostic system. A remotely movable extraction electrode will optimize extraction efficiency. The project includes construction of a transport line and improvements to the cyclotron axial-injection system. The construction period is expected to be two years

  5. Electron cloud simulation of the ECR plasma

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2011-01-01

    Complete text of publication follows. The plasma of the Electron Cyclotron Resonance Ion Source (ECRIS) of ATOMKI is being continuously investigated by different diagnostic methods: using small-sized probes or taking X-ray and visible light photographs. In 2011 three articles were published by our team in a special edition of the IEEE Transactions on Plasma Science (Special Issue on Images in Plasma Science) describing our X-ray and visible light measurements and plasma modeling and simulating studies. Simulation is in many cases the base for the analysis of the photographs. The outcomes of the X-ray and visible light experiments were presented already in earlier issues of the Atomki Annual Report, therefore in this year we concentrate on the results of the simulating studies. The spatial distribution of the three main electron components (cold, warm and hot electron clouds) of the ECR plasmas was simulated by TrapCAD code. TrapCAD is a 'limited' plasma simulation code. The spatial and energy evolution of a large number of electrons can be realistically followed; however, these particles are independent, and no particle interactions are included. In ECRISs, the magnetic trap confines the electrons which keep together the ion component by their space charge. The electrons gain high energies while the ions remain very cold throughout the whole process. Thus, the spatial and energy simulation of the electron component gives much important and numerical information even for the ions. The electron components of ECRISs can artificially be grouped into three populations: cold, warm, and hot electrons. Cold electrons (1-200 eV) have not been heated by the microwave; they are mainly responsible for the visible light emission of the plasma. The energized warm electrons (several kiloelectronvolts) are able to ionize atoms and ions and they are mainly responsible for the characteristic Xray photons emitted by the plasma. Electrons having much higher energy than necessary for

  6. Charge state distribution studies of pure and oxygen mixed krypton ECR plasma - signature of isotope anomaly and gas mixing effect.

    Science.gov (United States)

    Kumar, Pravin; Mal, Kedar; Rodrigues, G

    2016-11-01

    We report the charge state distributions of the pure, 25% and 50% oxygen mixed krypton plasma to shed more light on the understanding of the gas mixing and the isotope anomaly [A. G. Drentje, Rev. Sci. Instrum. 63 (1992) 2875 and Y Kawai, D Meyer, A Nadzeyka, U Wolters and K Wiesemann, Plasma Sources Sci. Technol. 10 (2001) 451] in the electron cyclotron resonance (ECR) plasmas. The krypton plasma was produced using a 10 GHz all-permanent-magnet ECR ion source. The intensities of the highly abundant four isotopes, viz. 82 Kr (~11.58%), 83 Kr (~11.49%), 84 Kr (~57%) and 86 Kr (17.3%) up to ~ +14 charge state have been measured by extracting the ions from the plasma and analysing them in the mass and the energy using a large acceptance analyzer-cum-switching dipole magnet. The influence of the oxygen gas mixing on the isotopic krypton ion intensities is clearly evidenced beyond +9 charge state. With and without oxygen mixing, the charge state distribution of the krypton ECR plasma shows the isotope anomaly with unusual trends. The anomaly in the intensities of the isotopes having quite closer natural abundance, viz. 82 Kr, 86 Kr and 83 Kr, 86 Kr is prominent, whereas the intensity ratio of 86 Kr to 84 Kr shows a weak signature of it. The isotope anomaly tends to disappear with increasing oxygen mixing in the plasma. The observed trends in the intensities of the krypton isotopes do not follow the prediction of linear Landau wave damping in the plasma. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  7. Results of a new ''OCTOPUS'' ECR ion source at 6.4 GHz

    International Nuclear Information System (INIS)

    Dupont, C.; Jongen, Y.; Arakawa, K.; Yokota, W.; Satoh, T.; Tachikawa, T.

    1990-01-01

    The first OCTOPUS electron cyclstron resonance (ECR) multicharged heavy ion source was built in 1985 at the Centre de Recherches du Cyclotron of the University of Louvain (Belgium). This first source used an ECR frequency of 14.3 GHz in the injector stage and 8.5 GHz in the main confinement stage. A new OCTOPUS source has now been built for a new cyclotron to be installed at the Japan Atomic Energy Research Institute (JAERI). The design of this new OCTOPUS source is identical to the first OCTOPUS source, but uses an ECR frequency of 6.4 GHz in the main confinement stage. The experimental results are described, and a comparison is made between the two sources. However, the available data does not allow any clear conclusion to be drawn on frequency scaling

  8. Study and development of a new ECR source creating an intense light ions beam

    International Nuclear Information System (INIS)

    Nyckees, S.

    2012-01-01

    This thesis is in the context of study and design of a new ECR light ion source on LEDA (Laboratory of Research and Development of Accelerators - CEA Saclay), named ALISES (Advanced Light Ions Source Extraction System). As a first step, the magnetic, electrical and mechanical design of the new source is described. Then, simulations were performed to determine the reduction of emittance growth taking into account the reduction of the length of the LBE (Low Energy Beam Line) provided by the source ALISES. With this source, it's also possible to realize a study on the dimensions of the cylindrical plasma chamber. Simulations were performed to better understand the interaction between radiofrequency wave and plasma. Subsequently, experiments on the source ALISES helped highlight, understand and solve problems in the Penning discharges inside the accelerator column. Measurements performed on the plasma have yielded the assumption that the electrons are heated at the entrance of the plasma chamber and thermalized along its entire length to achieve an energy corresponding to the maximum of the ionization cross section for hydrogen. (author) [fr

  9. Development of superconducting magnets for RAON 28 GHz ECR ion source.

    Science.gov (United States)

    Heo, Jeongil; Choi, Sukjin; Kim, Yonghwan; Hong, In-Seok

    2016-02-01

    RAON, a 28 GHz electron cyclotron resonance ion source (ECR IS), was designed and tested as a Rare Isotope Science Project. It is expected that RAON would provide not only rare-isotope beams but also stable heavy ions ranging from protons to uranium. In order to obtain the steady heavy-ion beam required for ECR IS, we must use a 28 GHz microwave source as well as a high magnetic field. A superconducting magnet using a NbTi wire was designed and manufactured for producing the ECR IS and a test was conducted. In this paper, the design and fabrication of the superconducting magnet for the ECR IS are presented. Experimental results show that the quench current increases whenever quenching occurs, but it has not yet reached the designed current. The experiment is expected to reveal the ideal conditions required to reach the designed current.

  10. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  11. Design and field configuration for a 14.4 GHz ECR ion source in Kolkata

    International Nuclear Information System (INIS)

    Rashid, M.H.; Bose, D.K.; Mallik, C.; Bhandari, R.K.

    2001-01-01

    The K500 cyclotron under construction will be capable of accelerating ions like O 6+ , Ne 4+ , Ar 16+ , Kr 27+ etc. We aim to get ∼200 euA maximum intensity of the extracted beam of O 6+ from the ion source and decided to have >2B ECR magnetic field on the cylindrical surface and the injection ends of the plasma chamber (P Ch) and slightly less than this at the extraction end. The success of the high field operation of ECRs at other places (U-AECR at LBL) suggests generation of proper magnetic field configuration for the 14.4 GHz microwave heating. The absolute composite magnetic field have been evaluated due to the coils (C1,C2) at the two ends and a -ve coil (NC) at the mid-length and a Halbach type sextupole (PM-Hex)

  12. Compact permanent magnet H⁺ ECR ion source with pulse gas valve.

    Science.gov (United States)

    Iwashita, Y; Tongu, H; Fuwa, Y; Ichikawa, M

    2016-02-01

    Compact H(+) ECR ion source using permanent magnets is under development. Switching the hydrogen gas flow in pulse operations can reduce the gas loads to vacuum evacuation systems. A specially designed piezo gas valve chops the gas flow quickly. A 6 GHz ECR ion source equipped with the piezo gas valve is tested. The gas flow was measured by a fast ion gauge and a few ms response time is obtained.

  13. [Optical emission analyses of N2/TMG ECR plasma for deposition of GaN film].

    Science.gov (United States)

    Fu, Si-Lie; Wang, Chun-An; Chen, Jun-Fang

    2013-04-01

    The optical emission spectroscopy of hybrid N2/trimethylgallium (TMG) plasma in an ECR-PECVD system was investigated. The results indicate that the TMG gas is strongly dissociated into Ga*, CH and H even under self-heating condition. Ga species and nitrogen molecule in metastable state are dominant in hybrid ECR plasma. The concentration of metastable nitrogen molecule increases with the microwave power. On the other hand, the concentration of excited nitrogen molecules and of nitrogen ion decreases when the microwave power is higher than 400 W.

  14. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    Science.gov (United States)

    Alton, G. D.; Bilheux, H.

    2004-05-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j+ext, and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j+ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects.

  15. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    International Nuclear Information System (INIS)

    Alton, G.D.; Bilheux, H.

    2004-01-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j +ext , and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j +ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects

  16. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  17. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  18. Design of small ECR ion source for neutron generator

    International Nuclear Information System (INIS)

    Zhou Changgeng; Lou Benchao; Zu Xiulan; Yang Haisu; Xiong Riheng

    2003-01-01

    The principles, structures and characteristics of small ECR (Electron Cyclotron Resonance) ion source used in the neutron generator are introduced. The processes of the design and key technique and innovations are described. (authors)

  19. ECR ion source for variable energy cyclotron

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Dasgupta, B; Mallik, C; Das, S K; Bandopadhaya, D K; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1995-09-01

    Some performance characteristics of 6.4 GHz two stage ECR ion source which was under development at this centre is presented. The present ion source will facilitate acceleration of light heavy ions with the existing k=130 variable energy cyclotron. Multiply charged heavy ion (MCHI) beam from the source will also be utilized for atomic physics studies. Oxygen beam has already been used for ion implantation studies. The external injection system under development is nearing completion. Heavy ion beam from cyclotron is expected by end of 1995. (author).

  20. Statistical properties of turbulence in a toroidal magnetized ECR plasma

    International Nuclear Information System (INIS)

    Yu Yi; Lu Ronghua; Wang Zhijiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu, Wandong

    2008-01-01

    The statistical analyses of fluctuation data measured by electrostatic-probe arrays clearly show that the self-organized criticality (SOC) avalanches are not the dominant behaviors in a toroidal ECR plasma in the SMT (Simple Magnetic Torus) mode of KT-5D device. The f -1 index region in the auto-correlation spectra of the floating potential V f and the ion saturation current I s , which is a fingerprint of a SOC system, ranges only in a narrow frequency band. By investigating the Hurst exponents at increasingly coarse grained time series, we find that at a time scale of τ>100 μs, there exists no or a very weak long-range correlation over two decades in τ. The difference between the PDFs of I s and V f clearly shows a more global nature of the latter. The transport flux induced by the turbulence suggests that the natural intermittency of turbulent transport maybe independent of the avalanche induced by near criticality. The drift instability is dominant in a SMT plasma generated by means of ECR discharges

  1. 1+-n+ ECR ION SOURCE DEVELOPMENT TEST STAND

    International Nuclear Information System (INIS)

    Donald P. May

    2006-01-01

    A test stand for the investigation of 1+-n+ charge boosting using an ECR ion sources is currently being assembled at the Texas A and M Cyclotron Institute. The ultimate goal is to relate the charge-boosting of ions of stable species to possible charge-boosting of ions of radioactive species extracted from the diverse, low-charge-state ion sources developed for radioactive ion beams

  2. Electron cyclotron resonance plasma photos

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R.; Palinkas, J. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2010-02-15

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  3. Electron cyclotron resonance plasma photos

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Biri, S.

    2010-01-01

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  4. Characterization of surface hardening in a nitrated chromium steel by microwave plasma type ECR (Electron Cyclotron Resonance); Caracterizacion del endurecimiento superficial del acero H-12 nitrurado con plasma de microondas tipo ECR

    Energy Technology Data Exchange (ETDEWEB)

    La O C, G de

    1996-12-31

    With this work it is demonstrated the possibility of performing the nitriding process by using a CVD-ECR source, based on the results obtained after treating several samples of AISI H-12 steel. Also, the main operating parameters (time of treatment, sample temperatures and gas mixture) are determined during nitriding of this steel with the mentioned source. Samples used before nitriding were quenched and tempered at 580 Centigrade degrees. Several experiments were done by using a pure nitrogen plasma with exposure times of the samples of 20 minutes at temperatures from 450 to 550 Centigrade degrees, and by using a N{sub 2} - H{sub 2} plasma with exposure times of the samples of 20, 30 and 40 minutes at temperatures from 350 to 550 Centigrade degrees. Metallography, microhardness, EDS and Auger analysis were done to observe changes suffered for the samples after treatment. (Author).

  5. Fullerene-rare gas mixed plasmas in an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Asaji, T., E-mail: asaji@oshima-k.ac.jp; Ohba, T. [Oshima National College of Maritime Technology, 1091-1 Komatsu, Suo-oshima, Oshima, Yamaguchi 742-2193 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Minezaki, H.; Ishihara, S. [Graduate School of Engineering, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Racz, R.; Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem Tér 18/c (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan)

    2014-02-15

    A synthesis technology of endohedral fullerenes such as Fe@C{sub 60} has developed with an electron cyclotron resonance (ECR) ion source. The production of N@C{sub 60} was reported. However, the yield was quite low, since most fullerene molecules were broken in the ECR plasma. We have adopted gas-mixing techniques in order to cool the plasma and then reduce fullerene dissociation. Mass spectra of ion beams extracted from fullerene-He, Ar or Xe mixed plasmas were observed with a Faraday cup. From the results, the He gas mixing technique is effective against fullerene destruction.

  6. Production of a large diameter ECR plasma with low electron temperature

    International Nuclear Information System (INIS)

    Koga, Mayuko; Hishikawa, Yasuhiro; Tsuchiya, Hayato; Kawai, Yoshinobu

    2006-01-01

    A large diameter plasma over 300 mm in diameter is produced by electron cyclotron resonance (ECR) discharges using a cylindrical vacuum chamber of 400 mm in inner diameter. It is found that the plasma uniformity is improved by adding the nitrogen gas to pure Ar plasma. The electron temperature is decreased by adding the nitrogen gas. It is considered that the electron energy is absorbed in the vibrational energy of nitrogen molecules and the electron temperature decreases. Therefore, the adjunction of the nitrogen gas is considered to be effective for producing uniform and low electron temperature plasma

  7. Present status of the NIRS-ECR ion source for the HIMAC

    International Nuclear Information System (INIS)

    Kitagawa, A.; Matsushita, H.; Shibuya, S.

    1995-01-01

    The present status of NIRS-ECR ion source for the Heavy Ion Medical Accelerator in Chiba (HIMAC) at National Institute of Radiological Sciences (NIRS) is reported. The beam intensity of the NIRS-ECR was increased by modifications on the magnetic field structure, chamber cooling system, vacuum conductance and the extraction configuration. The output current of Ar 6+ reached 365 eμA after improvements. The good stability, easy operation, and good reproducibility were realized. (author)

  8. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  9. Plasma polarization spectroscopy on the ECR helium plasma in a cusp magnetic field

    International Nuclear Information System (INIS)

    Sato, T.; Iwamae, A.; Fujimoto, T.; Uchida, M.; Maekawa, T.

    2004-01-01

    Helium emission lines have been observed on the ECR plasma in a cusp field with the polarized components resolved. The polarization map is constructed for the 501.6 nm (2 1 S-3 1 P) line emission. Lines from n 1 P and n 1 D levels are strongly polarized and those from n 3 D levels are weakly polarized. As the helium pressure increases the polarization degree decreases. (author)

  10. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Muguira, L., E-mail: lmuguira@essbilbao.org [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Portilla, J. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Etxebarria, V. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain)

    2014-03-21

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  11. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    International Nuclear Information System (INIS)

    Muguira, L.; Portilla, J.; Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J.; Etxebarria, V.; Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D.

    2014-01-01

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  12. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  13. Preriminary operation results of JAERI ECR ion source OCTOPUS

    International Nuclear Information System (INIS)

    Yokota, W.; Arakawa, K.; Tachikawa, T.; Satoh, T.; Dupont, C.; Jongen, Y.

    1990-01-01

    An ECR ion source, new OCTOPUS, was built for and AVF cyclotron of the Japan Atomic Energy Research Institute, Takasaki. The design of this source is almost identical to the first built OCTOPUS, except for the RF frequency for the 2nd stage. The first operation of the new OCTOPUS was performed. High intensity of X-ray leakage was measured outside the lead shield wall of the source. (author)

  14. Design of the compact ECR ion source for heavy-ion therapy

    International Nuclear Information System (INIS)

    Muramatsu, M.; Kitagawa, A.; Sato, S.; Sato, Y.; Yamada, S.; Hattori, T.; Shibuya, S.

    1999-01-01

    Heavy ion cancer treatment is successfully being done at the Heavy Ion Medical Accelerator in Chiba (HIMAC). Design philosophy for the ion sources for medical facilities are as follows: sufficient beam intensity, a few hundred eμA; long lifetime with good stability; easy operation and easy maintenance; and compactness. In order to develop such source for future heavy-ion facilities, we have tested compact electron cyclotron resonance (ECR) ion sources using permanent magnets both for axial and radial confinement of hot electrons. Since the yield of C 2+ ion in the firstly-developed source (2.45 GHz ECR) was 15 eμA and far below the medical requirement (-150 eμA for the HIMAC), a new source has been proposed, having the frequency of 10 GHz. The extracted intensity of C 4+ (and C 2+ ) ions is expected to be higher than 200 eμA. (author)

  15. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  16. A study on the design of hexapole in an 18-GHz ECR ion source for heavy ion accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhan; Wei, Shaoqing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of); Choi, Suk Jin [Rare Isotope Science Project, Institute for Basic Science, Daejeon (Korea, Republic of)

    2016-06-15

    High charge state electron cyclotron resonance (ECR) ion source is important on the performance of heavy ion accelerators. In this paper, a low temperature superconductor (LTS) was used to make a hexapole coil for an 18-GHz ECR ion source. Several hexapole structures, including racetrack, graded racetrack, and saddle were implemented and analyzed for the hexapole-in-solenoid ECR ion source system. Under the appropriate radial confinement field, the smaller outer radius of hexapole can be better for the solenoid design. Saddle hexapole was selected by comparing the wire length, maximum outer radius of the hexapole, the Lorentz force at the end part of the hexapole and the maximum magnetic field in the coil. Based on saddle hexapole, a new design for hexapoles, the snake hexapole, was developed in this paper. By comparative analysis of the Lorentz force at the end part of the saddle and snake hexapoles, the snake hexapole is much better in the ECR ion source system. The suggested design for the ECR ion source with the snake hexapole is presented in this paper.

  17. Observation of spatial resolution of ECR plasma on the MM-2 magnetic mirror

    International Nuclear Information System (INIS)

    Duan Shuyun; Gu Biao; Guan Weishu; Cheng Shiqing; Liu Rong; Chen Kangwei; Shang Zhenkui

    1991-04-01

    The measuring method and results of the ECR plasma properties taken from hard X-ray pinhole camera on the MM-2 magnetic mirror are presented. This non-destructive imaging method can directly display the spatial distribution of hot electron plasma. A frame of clear picture could be taken at one shot of discharge. The relationships between emission intensity and discharge parameters are also shown by experimental pictures

  18. Enhancement of ECR performances by means of carbon nano-tubes based electron guns

    International Nuclear Information System (INIS)

    Odorici, F.; Cuffiani, M.; Malferrari, L.; Rizzoli, R.; Veronese, G.P.; Celona, L.; Gammino, S.; Mascali, D.; Miracoli, R.; Romano, F.P.; Gambino, N.; Castro, G.; Ciavola, G.; Serafino, T.

    2012-01-01

    The CANTES experiment at INFN-LNS tested the use of carbon nano-tubes (CNTs) to emit electrons by field emission effect, in order to provide additional electrons to the plasma core of an ECR ion source. This technique was used with the Caesar source, demonstrating that the total extracted ion current is increased and that a relevant reduction of the number of 'high energy' electrons (above 100 keV) may be observed. The injection of additional electrons inside the plasma increases the amount of cold and warm electrons, and then the number of ionizing collisions. Details of the construction of CNTs based electron gun and of the improvement of performances of the Caesar ECR ion source will be presented. The paper is followed by the associated poster. (authors)

  19. 14 MV pelletron accelerator and superconducting ECR ion source

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR 14UD Pelletron Accelerator at Mumbai has completed more than two and a half decade of successful operation. The accelerator is primarily used for basic research in the fields of nuclear, atomic and molecular, condensed matter physics and material science. The application areas include accelerator mass spectrometry, production of track-etch membranes, radioisotopes production, radiation damage studies and secondary neutron production for cross section measurement etc. Over the years, numerous developmental activities have been carried out in-house that have resulted in improving the overall performance and uptime of the accelerator and has also made possible to initiate variety of application oriented programmes. Since the SF 6 pressure vessels have been in operation for about 29 years, a comprehensive refurbishment and retrofitting work is carried out to comply with the safety recommendations. Recently, the beam trials were conducted with 18 GHz superconducting ECR (Electron Cyclotron Resonance) Ion Source system at Van-de-Graaff as per BARC Safety Council permission. Various ion beams with different charge states were extracted and mass analyzed and the beam quality was measured by recording their transverse emittance in situ. Experimental measurements pertaining to projectile X-rays Spectroscopy were carried out using variety of ion beams at variable energies. The superconducting Linac booster provides additional acceleration to the ions from Pelletron injector up to A ∼60 region with E∼5 MeV/A. In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator was initiated under plan project. This heavy ion accelerator essentially comprises of a superconducting ECR ion source, room temperature RFQ (Radio Frequency Quadrupole) followed by superconducting Niobium resonators as accelerating elements. This talk will provide an overview of the developmental activities and the safety features

  20. Experimental studies of 2.45 GHz ECR ion sources for the production of high intensity currents

    International Nuclear Information System (INIS)

    Coly, A.

    2010-12-01

    This thesis is the result of a collaboration between the Pantechnik company and the LPSC (Laboratory of subatomic physics and cosmology of Grenoble). It consisted in the development of a new test bench dedicated to the characterization of a 2.45 GHz ECR ion sources with the aim of the production of high currents beams for industrial purposes. Two ECR ions sources with different magnetic structures have been tested around the same RF injection system. A new 2.45 GHz ECRIS, named SPEED, featuring a dipolar magnetic field at the extraction has been designed and tested. A study of the beam extraction in the dipolar magnetic field is proposed. First tests have shown a total ionic current density of about 10 mA/cm 2 with a 900 W RF power. Tests with hydrogen plasma have shown a maximum of current on the H 2 + species. Recommendations are given to modify the magnetic structure to improve the H + production yield. The MONO1000 ion source has been tested at high RF power with a wave guide type injection system. Intense total ionic current densities have been measured up to about 95 mA/cm 2 with a diode extraction system. First results using an improved 5 electrode extraction system are presented. (author)

  1. Studies of the ECR plasma in the visible light range

    International Nuclear Information System (INIS)

    Biri, S.; Racz, R.; Palinkas, J.

    2012-01-01

    High resolution visible light (VL) plasma photographs were taken at the ATOMKI-ECRIS by an 8 mega-pixel digital camera. Plasmas were generated from gases of He, methane, N, O, Ne, Ar, Kr, Xe and from their mixtures. The analysis of the photo series gave many qualitative and numerous valuable physical information on the nature of ECR plasmas. VL photos convey information mainly on the cold electron component of the plasma. Cold electrons are confined in the central part of the plasma. It is a further challenging task to understand the colors of this special type of plasmas. The colors can be determined by the VL electron transitions of the plasma atoms and ions combined with the human eye sensitivity. There is a good visual agreement between the calculated normalized color and the real color of the plasmas. Through the examples of He and Xe we analyze the physical processes which affect the characteristic colors of these plasmas. The paper is followed by the slides of the presentation. (authors)

  2. Magnetic properties of Co-N films deposited by ECR nitrogen/argon plasma with DC negative-biased Co target

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.; Zhang, Y.C.; Yang, K.; Liu, H.X.; Zhu, X.D., E-mail: xdzhu@ustc.edu.cn; Zhou, H.Y.

    2017-06-01

    Highlights: • A new method of synthesizing Co-N films containing Co{sub 4}N phase. • Tunable magnetic properties achieved in ECR plasma CVD. • The change of magnetic properties is related to atoms mobility on substrate and the concentration of active species in plasma vapor. - Abstract: By introducing DC negative-biased Co target in the Electron Cyclotron Resonance (ECR) nitrogen/argon plasma, the Co-N films containing Co{sub 4}N phase were synthesized on Si(100) substrate. Effects of processing parameters on magnetic properties of the films are investigated. It is found that magnetic properties of Co-N films vary with N{sub 2}/Ar flow ratio, substrate temperature, and target biasing voltage. The saturation magnetization M{sub s} decreased by increasing the N{sub 2}/Ar gas flow ratio or decreasing target biasing voltage, while the coercive field H{sub c} increased, which is ascribed to the variation of relative concentration for N or Co active species in plasma vapor. The magnetic properties present complex dependency with growth temperature, which is related to the atom mobility on the substrate affected by the growth temperature. This study exhibits a potential of ECR plasma chemical vapor deposition to synthesize the interstitial compounds and tune magnetic properties of films.

  3. ECR ion source and some improvements

    International Nuclear Information System (INIS)

    Liu Zhanwen; Zhang Wen; Zhao Hongwei; Zhang Xuezhen; Yuan Ping; Guo Xiaohong; Zhou Sixin; Ye Feng; Wei Baowen; Efremov, A.

    1994-01-01

    The structure, the principle of a CAPRICE-type ECR ion source and the necessary condition of the source for providing high charged ions are presented. CAPRICE was tested first at the test bench with a newly shaped configuration of the magnetic mirror throat at the injection side. The ion currents of Ar and Ne ions were increased remarkably. Later, CAPRICE was coupled to the injector SFC of HIRFL, and other modifications were made to improve the magnetic field and decrease the electric power consumption in the solenoids of the source. Meanwhile a simple electron gun with cold cathode was tested preliminarily. The result was satisfactory. Last year, some successful changes in the construction of the insulation cover for the hexapole of CAPRICE were achieved also. The new cover is aimed to endure higher extraction voltage, and avoid the condensation of humid air on the exterior of the insulation covers

  4. Preliminary Ionization Efficiencies of 11C and 14O with the LBNL ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Cerny, J.; Guo, F.Q.; Joosten, R.; Larimer, R.M.; Lyneis, C.M.; McMahan, P.; Norman, E.B.; O'Neil, J.P.; Powell, J.; Rowe, M.W.; VanBrocklin, H.F.; Wutte, D.; Xu, X.J.; Haustein, P.

    1998-01-01

    High charge states, up to fully stripped 11 C and 14 O ion, beams have been produced with the electron cyclotron resonance ion sources (LBNL, ECR and AECR-U) at Lawrence Berkeley National Laboratory. The radioactive atoms of 11 C and 14 O were collected in batch mode with an LN 2 trap and then bled into the ECR ion sources. Ionization efficiency as high as 11% for 11 C 4+ was achieved

  5. Characterization of surface hardening in a nitrated chromium steel by microwave plasma type ECR (Electron Cyclotron Resonance)

    International Nuclear Information System (INIS)

    La O C, G. de.

    1995-01-01

    With this work it is demonstrated the possibility of performing the nitriding process by using a CVD-ECR source, based on the results obtained after treating several samples of AISI H-12 steel. Also, the main operating parameters (time of treatment, sample temperatures and gas mixture) are determined during nitriding of this steel with the mentioned source. Samples used before nitriding were quenched and tempered at 580 Centigrade degrees. Several experiments were done by using a pure nitrogen plasma with exposure times of the samples of 20 minutes at temperatures from 450 to 550 Centigrade degrees, and by using a N 2 - H 2 plasma with exposure times of the samples of 20, 30 and 40 minutes at temperatures from 350 to 550 Centigrade degrees. Metallography, microhardness, EDS and Auger analysis were done to observe changes suffered for the samples after treatment. (Author)

  6. Hydrogen and deuterium pellet injection into ohmically and additionally ECR-heated TFR plasmas

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1987-01-01

    The ablation clouds of hydrogen and deuterium pellets injected into ohmically and electron cyclotron resonance heated (ECRH) plasmas of the Fontenay-aux-Roses tokamak TFR have been photographed, their emission has been measured photoelectrically. Without ECRH the pellets penetrate deeply into the plasma, the clouds are striated. Injection during ECRH leads to ablation in the outer plasma region. The position of the ECR layer has no influence on the penetration depth which is only a few centimeters. The ablation clouds show no particular structure when ECRH is applied

  7. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters

    International Nuclear Information System (INIS)

    Adrouche, N.

    2006-09-01

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne 9+- argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne 9+ with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne 9+ beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  8. Developmental activities of the 18 GHz high temperature superconducting ECR ion source, PKDELIS, for the high current injector at IUAC

    International Nuclear Information System (INIS)

    Rodrigues, G.; Lakshmy, P.S.; Mathur, Y.; Ahuja, R.; Dutt, R.N.; Rao, U.K.; Mandal, A.; Kanjilal, D.; Roy, A.

    2011-01-01

    Various developmental activities of the 18 GHz High Temperature Superconducting ECR Ion Source, PKDELIS have been carried out as a part of the High Current Injector programme. Emittance measurements using a simple technique has given important inputs for the design of downstream accelerators like RFQ, DTL and low beta cavities. The techniques allows for emittance matching by varying the emittance parameters to match with the acceptance of the accelerators. X-ray Beamstrahlung measurements from ECR plasma has shown that it is a diagnostic tool to optimize the production of highly charged ions. The ion optics through the low energy beam transport section has been benchmarked with various codes and given a handle to optimize the transmission. New techniques to improve the extraction efficiency of highly charged ions has been developed. (author)

  9. A new approach for calculation of volume confined by ECR surface and its area in ECR ion source

    International Nuclear Information System (INIS)

    Filippov, A.V.

    2007-01-01

    The volume confined by the resonance surface and its area are important parameters of the balance equations model for calculation of ion charge-state distribution (CSD) in the electron-cyclotron resonance (ECR) ion source. A new approach for calculation of these parameters is given. This approach allows one to reduce the number of parameters in the balance equations model

  10. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.

    1996-01-01

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl 4 ), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl 4 in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author)

  11. Modelling RF-plasma interaction in ECR ion sources

    Directory of Open Access Journals (Sweden)

    Mascali David

    2017-01-01

    Full Text Available This paper describes three-dimensional self-consistent numerical simulations of wave propagation in magnetoplasmas of Electron cyclotron resonance ion sources (ECRIS. Numerical results can give useful information on the distribution of the absorbed RF power and/or efficiency of RF heating, especially in the case of alternative schemes such as mode-conversion based heating scenarios. Ray-tracing approximation is allowed only for small wavelength compared to the system scale lengths: as a consequence, full-wave solutions of Maxwell-Vlasov equation must be taken into account in compact and strongly inhomogeneous ECRIS plasmas. This contribution presents a multi-scale temporal domains approach for simultaneously including RF dynamics and plasma kinetics in a “cold-plasma”, and some perspectives for “hot-plasma” implementation. The presented results rely with the attempt to establish a modal-conversion scenario of OXB-type in double frequency heating inside an ECRIS testbench.

  12. Development of KU-band waveguide break for ECR-3 ion source

    International Nuclear Information System (INIS)

    Misra, Anuraag; Prasad, R.K.; Nabhiraj, P.Y.; Mallik, C.

    2011-01-01

    This article describes the analytical design, simulation results, engineering design and testing of WR-62 waveguide break for ECR-3 ion source and it also emphasizes on the estimation of far-field radiation with the use of advanced 3D codes. (author)

  13. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters; Diagnostic du plasma de la source d'ions ECR SIMPA par spectroscopie X, Collision d'ions neon hydrogenoides avec des agregats d'argon

    Energy Technology Data Exchange (ETDEWEB)

    Adrouche, N

    2006-09-15

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne{sup 9+-} argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne{sup 9+} with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne{sup 9+} beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  14. ECR [electron cyclotron resonance] discharges maintained by radiation in the millimeter wavelength range

    International Nuclear Information System (INIS)

    Bykov, Yu.V.; Golubev, S.V.; Eremeev, A.G.; Zorin, V.G.

    1990-01-01

    It is well known that plasmas formed by microwave breakdown of gases under electron cyclotron resonance (ECR) conditions can serve as an efficient source for ion beams. The major disadvantage of this type of source is relatively low ion beam currents which generally do not exceed 1 A (for an electron density of ∼10 12 cm -3 in the discharge). Raising the current density in the ion beams requires a higher plasma density, which can be obtained by using higher frequencies. Thus, a study has recently been made of the parameters of the plasma formed by ECR breakdown in a linear confinement system employing pulsed radiation at a frequency of 60 GHz. The maximum electron densities obtained in the experiment were 2·10 13 cm -3 at a gas pressure of 3·10 -4 torr. In this paper the authors describe some experiments on the creation of plasmas by means of quasi-cw electromagnetic radiation at a frequency of 100 GHz under electron cyclotron resonance conditions

  15. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  16. Operational results and development of the E.C.R. sources and the injector into CYCLONE

    International Nuclear Information System (INIS)

    Bol, J.L.; Jongen, Y.; Lacroix, M.; Mathy, F.; Ryckewaert, G.

    1985-01-01

    A large superconducting ECR-source (ECREVIS) has been producing high charge state ions up to Xe 27+ for injection into CYCLONE and as a stand alone source for atomic physics for over two years now. An improved analysing system has been installed increasing greatly the acceptance without harming the resolution. Operational results of transmission and realistic charge state distributions are reported. The design of a more compact ECR-source, to be called OCTOPUS and using water cooled copper solenoids, an open permanent magnet octupole structure and an iron yoke is presented. This source will replace ECREVIS and it is expected to have better performance and to be more reliable and economical to operate

  17. VUV emission spectroscopy diagnostics of a 14 GHz ECR negative hydrogen ion source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, R., E-mail: duo0364@mail4.doshisha.ac.jp; Ichikawa, T.; Kasuya, T.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0394 (Japan); Nishiura, M. [Graduate School of Frontier Sciences The University of Tokyo, Kashiwara, Chiba 277-8561 (Japan); Shimozuma, T. [National lnstitute for Fusion Science, Toki, Gifu 509-5292 (Japan)

    2015-04-08

    Vacuum Ultra Violet(VUV) emission from a 4 cm diameter 2 cm long compact ion source excited by 14 GHz microwave has been investigated. Intensity ratio of band spectrum emission near Ly-α to Ly-α line spectrum is determined from the measured spectrum. which shows preferential excitation of molecules near the entrance of microwave input power. The ratio does not depend strongly upon pressure nor the input microwave power when the intensity is integrated over the volume of the plasma. The spatial distribution of the spectrum intensity ratio exhibits concentrations near microwave inlet and the opposite side where the microwave matching structure is located. The ratio at these peripheral regions is about two times as high as that of the central region. The ratio increased in proportion to the ion source pressure up to about 3.0 Pa, indicating efficient production of high energy electrons by ECR up to this pressure.

  18. Status of the high current permanent magnet 2.45 GHz ECR ion source at Peking University

    International Nuclear Information System (INIS)

    Peng, S.X.; Song, Z.Z.; Yu, J.X.; Ren, H.T.; Zhang, M.; Yuan, Z.X.; Lu, P.N.; Zhao, J.; Chen, J.E.; Guo, Z.Y.; Lu, Y.R.

    2012-01-01

    Several compact 2.45 GHz Electron Cyclotron Resonance Ion Sources (ECRIS) have been developed at Peking University for ion implantation, for the Separated Function Radio Frequency Quadrupole project (SFRFQ) and for the Peking University Neutron Imaging Facility project (PKUNIFTY). Studies on 2.45 GHz ECR ion sources are concentrated on methods of microwave coupling and microwave window design, magnetic field generation and configuration, as well as the extraction electrodes structure. Investigation also covers the influence of the size of plasma chamber on the discharge efficiency and species factor. Up to now, our sources have produced 25 mA of O + ions, 40 mA of He + ions, 10 mA of N + ions, 100 mA of H + ions and 83 mA of D + ions, respectively. The paper is followed by the slides of the presentation. (authors)

  19. REVIEW OF THE 11TH INTERNATIONAL WORKSHOP ON ECR ION SOURCES

    NARCIS (Netherlands)

    DRENTJE, AG

    At the Workshop, the operation of various new and existing ECR ion sources was reported, with most of the emphasis on new methods to improve the performance and extend the variety of species. Much attention was paid to theoretical aspects, in particular to the basic question of electron heating; a

  20. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  1. Development of 2.45GHz compact ECR ion sources with permanent magnets

    International Nuclear Information System (INIS)

    Tojyo, E.; Ohshiro, Y.; Oyaizu, M.; Shirakabe, Y.

    1993-05-01

    Two kinds of new compact ECR ion sources have been developed by use of permanent magnets only, for the purpose of acceleration tests of the 25.5MHz INS split coaxial RFQ linac and the 50MHz one. Confined magnetic fields of sources are constructed by permanent magnets only. In this paper design parameters, structures, magnetic field distributions and extracted beam properties of these sources are described briefly. (author)

  2. Ca-48 handling for a cyclotron ECR ion source to produce highly intense ion beams

    International Nuclear Information System (INIS)

    Lebedev, V.Ya.; Bogomolov, S.L.; Dmitriev, S.N.; Kutner, V.B.; Shamanin, A.N.; Yakushev, A.B.

    2002-01-01

    Production of highly intense ion beams of 48 Ca is one of the main tasks in experiments carried out within the framework of the synthesis of new superheavy elements. 48 Ca is very rare and expensive isotope, therefore there is necessity to reach the high intensity of ion beams of the isotope at a low consumption rate. Analysis and our preliminary experiments have showed that the best way of producing highly intense calcium ion beams is evaporation of metallic calcium in an ECR ion source. So we have developed a technique of metallic 48 Ca production by reducing CaO (this chemical form is available at the market with 40-80% of 48 Ca ) with aluminium powder. We used two tantalum crucibles: a larger, with a mixture of CaO + Al heated up to 1250 deg C, which was connected to the smaller (2 mm I.D. and 30 mm long) in which calcium vapour condensed. The temperature distribution in the small crucible was about 50 deg C at the bottom and about 500 deg C in the middle of the crucible. The pressure inside of the set-up was between 0.1 and 1 Pa. The production rate of metallic 48 Ca was 10-20 mg/h. The crucible with the condensed metallic Ca in argon atmosphere was transferred to the ECR-4M ion source, where it was inserted in a wired tubular oven and the calcium evaporation was controlled through the oven power supply. The application of metallic 48 Ca as the working substance for the ECR-4M ion source of the U-400 cyclotron of allowed us to approach a stable high intensity of 48 Ca ion beams: the intensities for the internal and external beams were 10 13 c -1 and 3.10 12 c -1 , respectively, at a consumption rate about 0.4 mg/h. A technique was developed for the reclamation of 48 Ca from the residue inside of the large crucible and from the inner parts of the ECR ion source. Extracting Ca from the inner parts of the ion source enabled us to save up to some 25% of the calcium used in the ECR ion source, so that the actual consumption rate was about 0.3 mg/h at the highest 48

  3. AMS of heavy elements with an ECR ion source and the ATLAS linear accelerator

    CERN Document Server

    Paul, M; Ahmad, I; Borasi, F; Caggiano, J; Davids, C N; Greene, J P; Harss, B; Heinz, A; Henderson, D J; Henning, W F; Jiang, C L; Pardo, R C; Rehm, K E; Rejoub, R; Seweryniak, D; Sonzogni, A; Uusitalo, J; Vondrasek, R C

    2000-01-01

    Detection of heavy elements by accelerator mass spectrometry with the electron cyclotron resonance ion source, Argonne linear accelerator and fragment mass analyzer (ECRIS-ATLAS-FMA) system has been developed. The use of the ECR-ATLAS system for AMS of heavy elements has two interesting features: (i) the efficient production of high-charge state ions in the ECR source ensures the elimination of molecular ions at the source stage, a highly attractive feature for any mass-spectrometric use not exploited so far; (ii) the linear acceleration based on velocity matching and the beam transport system act as a powerful mass filter for background suppression. We have shown that our system reaches an abundance sensitivity of 1x10 sup - sup 1 sup 4 for Pb isotopes. The sup 2 sup 3 sup 6 U detection sensitivity is sup 2 sup 3 sup 6 U/U > or approx. 1x10 sup - sup 1 sup 2 , limited mainly by the ion source output.

  4. Development of the balance equations model for calculation of ion charge-state distribution in ECR ion sources

    International Nuclear Information System (INIS)

    Filippov, A.V.; Shirkov, G.D.; Consoli, F.; Gammino, S.; Ciavola, G.; Celona, L.; Barbarino, S.

    2008-01-01

    The investigation of the widespread model for the calculation of ion charge-state distributions (CSD) in electron cyclotron-resonance ion source based on the set of balance equations is given. The modification of this model that allows one to describe the confinement and accumulation processes of highly charged ions in ECR plasma for gas mixing case more precisely is discussed. The new approach for the time confinement calculation (ions and electrons) based on the theory of Pastukhov is offered, viz. - calculation of confinement times during two step minimization of special type functionals. The results obtained by this approach have been compared with available experimental data

  5. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  6. PK-ISIS: a new superconducting ECR ion source at Pantechnik

    International Nuclear Information System (INIS)

    Villari, A.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Gaubert, G.; Leroy, R.; Sineau, A.; Tasset, O.; Vallerand, C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PK-ISIS was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration while the radial magnetic field is done with multi-layer permanent magnets. Special care was devoted in the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using Low Temperature Superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability, easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 C) installed with an angle of 5 degrees with respect to the source axis or a sputtering system, mounted in the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PK-ISIS delivers 5 to 10 times more beam intensity than the original PK-DELIS and/or shifting the charge state distribution to higher values. PK-ISIS is built with Low Temperature Superconducting wire technology (LTS), but keeps the He-free concept, extremely important for a reliable and easy operation. The radial field circuit is permanent magnet made. Finally, PK-ISIS is also conceived for using in a High-Voltage platform with minor power consumption. The paper is followed by the slides of the presentation. (A.C.)

  7. Simulation of the electromagnetic field in a cylindrical cavity of an ECR ions source

    Science.gov (United States)

    Estupiñán, A.; Orozco, E. A.; Dugar-Zhabon, V. D.; Murillo Acevedo, M. T.

    2017-12-01

    Now there are numerous sources for multicharged ions production, each being designed for certain science or technological objectives. Electron cyclotron resonance ion sources (ECRIS) are best suited for designing heavy ion accelerators of very high energies, because they can generate multicharged ion beams at relatively great intensities. In these sources, plasma heating and its confinement are effected predominantly in minimum-B magnetic traps, this type of magnetic trap consist of two current coils used for the longitudinal magnetic confinement and a hexapole system around the cavity to generate a transversal confinement of the plasma. In an ECRIS, the electron cyclotron frequency and the microwave frequency are maintained equal on a quasi-ellipsoidal surface localized in the trap volume. It is crucial to heat electrons to energies sufficient to ionize K- and L-levels of heavy atoms. In this work, we present the preliminary numerical results concerning the space distribution of TE 111 microwave field in a cylindrical cavity. The 3D microwave field is calculated by solving the Maxwell equations through the Yee’s method. The magnetic field of minimum-B configuration is determined using the Biot-Savart law. The parameters of the magnetic system are that which guarantee the ECR surface location in a zone of a reasonably high microwave tension. Additionally, the accuracy of electric and magnetic fields calculations are checked.

  8. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  9. Advancement of highly charged ion beam production by superconducting ECR ion source SECRAL (invited)

    International Nuclear Information System (INIS)

    Sun, L.; Lu, W.; Zhang, W. H.; Feng, Y. C.; Qian, C.; Ma, H. Y.; Zhang, X. Z.; Zhao, H. W.; Guo, J. W.; Yang, Y.; Fang, X.

    2016-01-01

    At Institute of Modern Physics (IMP), Chinese Academy of Sciences (CAS), the superconducting Electron Cyclotron Resonance (ECR) ion source SECRAL (Superconducting ECR ion source with Advanced design in Lanzhou) has been put into operation for about 10 years now. It has been the main working horse to deliver intense highly charged heavy ion beams for the accelerators. Since its first plasma at 18 GHz, R&D work towards more intense highly charged ion beam production as well as the beam quality investigation has never been stopped. When SECRAL was upgraded to its typical operation frequency 24 GHz, it had already showed its promising capacity of very intense highly charged ion beam production. And it has also provided the strong experimental support for the so called scaling laws of microwave frequency effect. However, compared to the microwave power heating efficiency at 18 GHz, 24 GHz microwave heating does not show the ω 2 scale at the same power level, which indicates that microwave power coupling at gyrotron frequency needs better understanding. In this paper, after a review of the operation status of SECRAL with regard to the beam availability and stability, the recent study of the extracted ion beam transverse coupling issues will be discussed, and the test results of the both TE 01 and HE 11 modes will be presented. A general comparison of the performance working with the two injection modes will be given, and a preliminary analysis will be introduced. The latest results of the production of very intense highly charged ion beams, such as 1.42 emA Ar 12+ , 0.92 emA Xe 27+ , and so on, will be presented

  10. Development and studies on a compact electron cyclotron resonance plasma source

    Science.gov (United States)

    Ganguli, A.; Tarey, R. D.; Arora, N.; Narayanan, R.

    2016-04-01

    It is well known that electron cyclotron resonance (ECR) produced plasmas are efficient, high-density plasma sources and have many industrial applications. The concept of a portable compact ECR plasma source (CEPS) would thus become important from an application point of view. This paper gives details of such a CEPS that is both portable and easily mountable on a chamber of any size. It uses a fully integrated microwave line operating at 2.45 GHz, up to 800 W, cw. The required magnetic field is produced by a set of suitably designed NdFeB ring magnets; the device has an overall length of  ≈60 cm and weighs  ≈14 kg including the permanent magnets. The CEPS was attached to a small experimental chamber to judge its efficacy for plasma production. In the pressure range of 0.5-10 mTorr and microwave power of  ≈400-500 W the experiments indicate that the CEPS is capable of producing high-density plasma (≈9  ×  1011-1012 cm-3) with bulk electron temperature in the range  ≈2-3 eV. In addition, a warm electron population with density and temperature in the range ≈7  ×  108-109 cm-3 and  ≈45-80 eV, respectively has been detected. This warm population plays an important role at high pressures in maintaining the high-density plasma, when plasma flow from the CEPS into the test chamber is strongly affected.

  11. Effects of the plasma-facing materials on the negative ion H ‑ density in an ECR (2.45 GHz) plasma

    Science.gov (United States)

    Bentounes, J.; Béchu, S.; Biggins, F.; Michau, A.; Gavilan, L.; Menu, J.; Bonny, L.; Fombaron, D.; Bès, A.; Lebedev, Yu A.; Shakhatov, V. A.; Svarnas, P.; Hassaine, T.; Lemaire, J. L.; Lacoste, A.

    2018-05-01

    Within the framework of fundamental research, the present work focuses on the role of surface material in the production of H ‑ negative ion, with a potential application of designing cesium-free H ‑ negative ion sources oriented to fusion application. It is widely accepted that the main reaction leading to H ‑ production, in the plasma volume, is the dissociative attachment of low-energy electrons (T e ≤ 1 eV) on highly ro-vibrationally excited hydrogen molecules. In parallel with other mechanisms, the density of these excited molecules may be enhanced by means of the recombinative desorption, i.e. the interaction between surface absorbed atoms with other atoms (surface adsorbed or not) through the path {H}{{ads}}+{H}{{gas}/{{ads}}}\\to {H}2{(v,J)}{{gas}}+{{Δ }}E. Accordingly, a systematic study on the role played by the surface in this reaction, with respect to the production of H ‑ ion in the plasma volume, is here performed. Thus, tantalum and tungsten (already known as H ‑ enhancers) and quartz (inert surface) materials are employed as inner surfaces of a test bench chamber. The plasma inside the chamber is produced by electron cyclotron resonance (ECR) driving and it is characterized with conventional electrostatic probes, laser photodetachment, and emission and absorption spectroscopy. Two different positions (close to and away from the ECR driving zone) are investigated under various conditions of pressure and power. The experimental results are supported by numerical data generated by a 1D model. The latter couples continuity and electron energy balance equations in the presence of magnetic field, and incorporates vibrational kinetics, H2 molecular reactions, H electronically excited states and ground-state species kinetics. In the light of this study, recombinative desorption has been evidenced as the most probable mechanism, among others, responsible for an enhancement by a factor of about 3.4, at 1.6 Pa and 175 W of microwave power, in the

  12. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  13. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  14. Plasma heating by radiofrequency in the electron cyclotron resonance (ECR)

    International Nuclear Information System (INIS)

    Cunha Raposo, C. da; Aihara, S.; Universidade Estadual de Campinas

    1982-01-01

    The characteristics of the experimental set-up mounted in the Physical Institute of UFF (Brazil) to produce the gas ionization by radio-frequency are shown and its behaviour when confined by a mirror-geometry magnetic field is studied. The diagnostic is made by a langmuir probe and a prisme spectrogaph is used in order to verify the nature of the ionized helium gas and the degree of purity through its spectral lines. The argon ionization by R.f. is produced in the 'LISA' machine obtain a plasma column of approximatelly 60 cm length and with the Langmuir probe the study of the profile distribution of the plasma parameters such as: electron temperature and density and floating potencial in function of the magnetic field variation is made. The main focus is given to the fundamental electron cyclotron resonance (ECR). A new expression on the ion saturation current (I sub(is)) produced by radiofrequency is developed. (L.C.) [pt

  15. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    Science.gov (United States)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-02-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.

  16. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-01-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself

  17. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  18. Model of charge-state distributions for electron cyclotron resonance ion source plasmas

    Directory of Open Access Journals (Sweden)

    D. H. Edgell

    1999-12-01

    Full Text Available A computer model for the ion charge-state distribution (CSD in an electron cyclotron resonance ion source (ECRIS plasma is presented that incorporates non-Maxwellian distribution functions, multiple atomic species, and ion confinement due to the ambipolar potential well that arises from confinement of the electron cyclotron resonance (ECR heated electrons. Atomic processes incorporated into the model include multiple ionization and multiple charge exchange with rate coefficients calculated for non-Maxwellian electron distributions. The electron distribution function is calculated using a Fokker-Planck code with an ECR heating term. This eliminates the electron temperature as an arbitrary user input. The model produces results that are a good match to CSD data from the ANL-ECRII ECRIS. Extending the model to 1D axial will also allow the model to determine the plasma and electrostatic potential profiles, further eliminating arbitrary user input to the model.

  19. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B.

    2002-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping

  20. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Science.gov (United States)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S. V.; Mandale, A. B.

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  1. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V. E-mail: svb@physics.unipune.ernet.in; Mandale, A.B

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H{sub 2} and 75% N{sub 2}. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  2. Modification of sensing properties of metallophthalocyanine by an Ecr plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B

    2004-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping. (author)

  3. Accumulation of multicharged ions in plasma with electrostatic well induced by ECR

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovanivsky, K.S.; Schepilov, V.D.

    1978-01-01

    In a magnetic field of mirror configuration supplemented in its central part by a microwave field (lambda=12.6 cm, P=20 W) a steady-state plasma (n=1x10 10 cm -3 , Tsub(ec)=40 eV) was produced. ECR condition was fulfilled in a circular region spaced at 1 cm from the plasma axis. In this 'hot' zone the electron temperature was Tsub(eh) = 1.5 keV. The temperature gradient creates an electrostatic well for ions in the perpendicular plane. The anisotropy of electron temperature in a mirror field caused the formation of an axial electrostatic well for ions. Thus, three-dimensional electrostatic pit was produced and the ion's life-time was as long as their charge was high. With H.F. power absorbed by the plasma 8-10 W the authors obtained comparable quantities of Ar 1+ , Ar 2+ , Ar 3+ , Ar 4+ , Ar 5+ , Ar 6+ and also ions of impurities C + , C 2+ , C 3+ , H + , H + 2 . The total current density of ions extracted from plasma is of 20 mA cm -2 . (Auth.)

  4. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source.

    Science.gov (United States)

    Izotov, I V; Razin, S V; Sidorov, A V; Skalyga, V A; Zorin, V G; Bagryansky, P A; Beklemishev, A D; Prikhodko, V V

    2012-02-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap ("vortex" confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of "vortex" confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  5. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Izotov, I. V.; Razin, S. V.; Sidorov, A. V.; Skalyga, V. A.; Zorin, V. G.; Bagryansky, P. A.; Beklemishev, A. D.; Prikhodko, V. V.

    2012-01-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap (''vortex'' confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of ''vortex'' confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  6. High intensity metallic ion beams from an ecr ion source at GANIL

    International Nuclear Information System (INIS)

    Leherissier, P.; Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Gaubert, G.; Gibouin, S.; Huguet, Y.; Jardin, P.; Lecesne, N.; Lemagnen, F.; Leroy, R.; Pacquet, J.Y.; Pellemoine-Landre, F.; Rataud, J.P.; Jaffres, P.A.

    2001-01-01

    In the recent years, progress concerning the production of high intensity of metallic ions beams ( 58 Ni, 48 Ca, 76 Ge) at Ganil have been performed. The MIV0C method has been successfully used to produce a high intensity nickel beam with the ECR4 ion source: 20 eμA of 58 Ni 11+ at 24 kV extraction voltage. This beam has been maintained for 8 days and accelerated up to 74.5 MeV/u by our cyclotrons with a mean intensity of 0.13 pμA on target. This high intensity, required for experiment, led to the discovery of the doubly magic 48 Ni isotope. The oven method has been first tested with natural metallic calcium on the ECR4 ion source, then used to produce a high power beam (740 W on target i.e. 0.13 pμA accelerated up to 60 MeV/u) of 48 Ca still keeping a low consumption (0.09 mg/h). A germanium beam is now under development, using the oven method with germanium oxide. The ionization efficiencies have been measured and compared. (authors)

  7. The effect of magnetic field strength on the time evolution of high energy bremsstrahlung radiation created by an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)], E-mail: tommi.ropponen@phys.jyu.fi; Tarvainen, O. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Jones, P.; Peura, P.; Kalvas, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland); Suominen, P. [Prizztech Ltd/Magnet Technology Centre, Tiedepuisto 4, FI-28600 Pori (Finland); Koivisto, H.; Arje, J. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)

    2009-03-11

    An electron cyclotron resonance (ECR) ion source is one of the most used ion source types for high charge state heavy ion production. In ECR plasma the electrons are heated by radio frequency microwaves in order to provide ionization of neutral gases. As a consequence, ECR heating also generates very high electron energies (up to MeV region) which can produce a vast amount of bremsstrahlung radiation causing problems with radiation shielding and heating superconducting cryostat of an ECR ion source. To gain information about the time evolution of the electron energies in ECR plasma radial bremsstrahlung measurements were performed. JYFL 14 GHz ECR ion source was operated in pulsed mode and time evolution measurements were done with different axial magnetic field strengths with oxygen and argon plasmas. Bremsstrahlung data were analyzed with a time interval of 2 ms yielding information at unprecedented detail about the time evolution of high energy bremsstrahlung radiation from an ECR ion source. It was observed, for example, that reaching the steady state phase of the plasma bremsstrahlung requires several hundred milliseconds and the steady state time can be different with different gases.

  8. Experiments on cleaning effects of TDC, GDC and ECR-DC in the JFT-2M tokamak

    International Nuclear Information System (INIS)

    Matsuzaki, Y.; Ogawa, H.; Miura, Y.; Ohtsuka, H.; Suzuki, N.; Yamauchi, T.; Tani, T.; Mori, M.

    1987-01-01

    The cleaning effects of Taylor-type discharge cleaning (TDC), glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) were studied in the JFT-2M tokamak by comparing the properties of resulting tokamak plasmas, by observing the surface composition of samples and by residual gas analysis. The operational parameters of the three discharge cleaning techniques were as follows; the plasma current for TDC is 20 kA, the DC current for GDC is 3 A and the RF power for ECR-DC is 2.3 kW. Parameters of the tokamak plasmas such as loop voltages, radiation losses, spectra emission of oxygen, maximum mean electron densities and profiles of electron temperature were improved as the TDC and ECR-DC proceeded. Changes in the surface composition of samples were measured by Auger electron spectrosopy. The results showed that during the TDC and ECR-DC oxygen was reduced, while GDC reduced mainly carbon. Residual gas analysis performed during discharge cleaning corroborated these results. (orig.)

  9. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  10. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mTorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mTorr argon pressures. The modelling of electron trajectories near

  11. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma; Propiedades del a-Si:H depositado utilizando un plasma de microondas

    Energy Technology Data Exchange (ETDEWEB)

    Mejia H, J A

    1997-12-31

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl{sub 4}), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl{sub 4} in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author).

  12. Electron cyclotron resonance ion source plasma characterization by X-ray spectroscopy and X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, David, E-mail: davidmascali@lns.infn.it; Castro, Giuseppe; Celona, Luigi; Neri, Lorenzo; Gammino, Santo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Biri, Sándor; Rácz, Richárd; Pálinkás, József [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/c, H-4026 Debrecen (Hungary); Caliri, Claudia [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università degli Studi di Catania, Dip.to di Fisica e Astronomia, via Santa Sofia 64, 95123 Catania (Italy); Romano, Francesco Paolo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy); Torrisi, Giuseppe [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, DIIES, Via Graziella, I-89100 Reggio Calabria (Italy)

    2016-02-15

    An experimental campaign aiming to investigate electron cyclotron resonance (ECR) plasma X-ray emission has been recently carried out at the ECRISs—Electron Cyclotron Resonance Ion Sources laboratory of Atomki based on a collaboration between the Debrecen and Catania ECR teams. In a first series, the X-ray spectroscopy was performed through silicon drift detectors and high purity germanium detectors, characterizing the volumetric plasma emission. The on-purpose developed collimation system was suitable for direct plasma density evaluation, performed “on-line” during beam extraction and charge state distribution characterization. A campaign for correlating the plasma density and temperature with the output charge states and the beam intensity for different pumping wave frequencies, different magnetic field profiles, and single-gas/gas-mixing configurations was carried out. The results reveal a surprisingly very good agreement between warm-electron density fluctuations, output beam currents, and the calculated electromagnetic modal density of the plasma chamber. A charge-coupled device camera coupled to a small pin-hole allowing X-ray imaging was installed and numerous X-ray photos were taken in order to study the peculiarities of the ECRIS plasma structure.

  13. Magnetic Parameters Of A NB3SN Superconducting Magnet For A 56 HGz ECR Ion Source

    International Nuclear Information System (INIS)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C.M.; Prestemon, S.; Sabbi, G.L.; Todd, D.S.

    2009-01-01

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb 3 Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb 3 Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  14. MAGNETIC PARAMETERS OF A NB3SN SUPERCONDUCTING MAGNET FOR A 56 HGz ECR ION SOURCE

    Energy Technology Data Exchange (ETDEWEB)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C. M.; Prestemon, S.; Sabbi, G. L.; Todd, D. S.

    2009-05-04

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb{sub 3}Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb{sub 3}Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  15. Effective hydrogenation and surface damage induced by MW-ECR plasma of fine-grained polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Madi, D. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria); Prathap, P.; Focsa, A.; Slaoui, A. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Birouk, B. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria)

    2010-06-15

    This work reports the investigations on the effects of the hydrogenation process of thin film polycrystalline n{sup +}pp{sup +} mesa silicon cells using MW-ECR plasma in a conventional PECVD system. Different operating parameters such as MW-ECR power, annealing temperature and the doping level of the emitter region were varied. The n{sup +}-type emitter regions were obtained by phosphorus diffusion in a conventional furnace using an oxide doping source containing phosphorus (P507 or P509 solutions, from Filmtronics Inc.). The MW hydrogenation was carried out at a sample temperature of 400 C for 60 min. Both types of emitters formed from P507 and P509 showed V{sub oc} of 155 mV and 206 mV, which increased linearly to 305 mV and 331 mV, respectively, after hydrogenation when the MW power varied from 200 to 650 W. However, the sheet resistances of the n{sup +} emitter region showed a slight increase depending upon hydrogenation power because of its etching. In a further study, hydrogenated samples were annealed in neutral or forming gas (FG) and we observed interesting results on V{sub oc} in the presence of FG. The FG annealing temperature study revealed a strong dependence of V{sub oc} on MW power, which affected the etching level of emitter and emitter dopant concentration, which controls the diffusion of hydrogen ions during post-hydrogenation step. The results were explained in detail by combining the effects of MW power and dopant level of the emitter. (orig.)

  16. ECR ion source with electron gun

    Science.gov (United States)

    Xie, Zu Q.; Lyneis, Claude M.

    1993-01-01

    An Advanced Electron Cyclotron Resonance ion source (10) having an electron gun (52) for introducing electrons into the plasma chamber (18) of the ion source (10). The ion source (10) has a injection enclosure (12) and a plasma chamber tank (14). The plasma chamber (18) is defined by a plurality of longitudinal magnets (16). The electron gun (52) injects electrons axially into the plasma chamber (18) such that ionization within the plasma chamber (18) occurs in the presence of the additional electrons produced by the electron gun (52). The electron gun (52) has a cathode (116) for emitting electrons therefrom which is heated by current supplied from an AC power supply (96) while bias potential is provided by a bias power supply (118). A concentric inner conductor (60) and Outer conductor (62) carry heating current to a carbon chuck (104) and carbon pusher (114) Which hold the cathode (116) in place and also heat the cathode (16). In the Advanced Electron Cyclotron Resonance ion source (10), the electron gun (52) replaces the conventional first stage used in prior art electron cyclotron resonance ion generators.

  17. Development of ECR ion source and LEBT technology for RIA

    International Nuclear Information System (INIS)

    Leitner, Daniela; Lyneis, Claude M.; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Silver, Charles S.; Taylor, Clyde E.

    2004-01-01

    The Rare Isotope Accelerator (RIA) Linac driver requires a great variety of high charge state ion beams with up to a magnitude higher intensity than currently achievable for the heaviest masses. The goal of the RIA injector R and D program for VENUS is the reliable production of intense medium charge state ion beams, e.g., 8 puA (particle mu A) of U29+. Therefore, the superconducting ECR ion source VENUS has been designed from the beginning for optimum operation at 28 GHz at high power (10 kW). In addition, a high intensity Low Energy Beam Transport, LEBT, that was developed to analyze and transport these multiply-charged, space charge dominated beams. During the last year VENUS was commissioned at 18 GHz and preparations for 28 GHz operation continued. Tests with various gases and recently metals have been performed with up to 2000 W of 18 GHz RF power. Promising performance has been measured in those preliminary beam tests. For example, 180 p mu A of O6+, 15 p mu A of Ar12+, 7.5 puA of X e20+ and 4puA of Bi24+ were produced in the early commissioning phase, ranking VENUS among the currently highest performance 18 GHz ECR ion sources. In FY04 a 10 kW 28 gyrotron system will be added, which will enable VENUS to reach full performance. The emittance of the beams produced at 18 GHz was measured with a two axis emittance scanner developed with earlier RIA R and D funds

  18. Transport and emittance study for 18 GHz superconducting-ECR ion source at RCNP.

    Science.gov (United States)

    Yorita, T; Hatanaka, K; Fukuda, M; Ueda, H; Kibayashi, M; Morinobu, S; Tamii, A

    2012-02-01

    As the upgrade program of the azimuthally varying field (AVF) cyclotron is at the cyclotron facility of the RCNP, Osaka University for the improvement of the quality, stability, and intensity of accelerated beams, an 18 GHz superconducting (SC) ECR ion source has been installed to increase beam currents and to extend the variety of ions, especially for highly charged heavy ions which can be accelerated by RCNP AVF cyclotron. The production development of several ions such as B, O, N, Ne, Ar, Ni, Kr, and Xe has been performed by Yorita et al. [Rev. Sci. Instrum. 79, 02A311(2008); 81, 02A332 (2010)]. Further studies for the beam transport have been done in order to improve the beam current more for injection of cyclotron. The effect of field leakage of AVF main coil is not negligible and additional steering magnet has been installed and then beam transmission has been improved. The emittance monitor has also been developed for the purpose of investigating correlation between emittance of beam from ECR ion sources and injection efficiency. The monitor consists with BPM82 with rotating wire for fast measurement for efficient study.

  19. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  20. Enhanced TiC/SiC Ohmic contacts by ECR hydrogen plasma pretreatment and low-temperature post-annealing

    International Nuclear Information System (INIS)

    Liu, Bingbing; Qin, Fuwen; Wang, Dejun

    2015-01-01

    Highlights: • Low-temperature ECR microwave hydrogen plasma were pretreated for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces. • The relationship among Ohmic properties, the SiC surface properties and TiC/SiC interface properties were established. • Interface band structures were analyzed to elucidate the mechanism by which the Ohmic contacts were formed. - Abstract: We proposed an electronic cyclotron resonance (ECR) microwave hydrogen plasma pretreatment (HPT) for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces and formed ideal TiC/SiC Ohmic contacts with significantly low contact resistivity (1.5 × 10"−"5 Ω cm"2) after low-temperature annealing (600 °C). This is achieved by reducing barrier height at TiC/SiC interface because of the release of pinned Fermi level by surface flattening and SiC surface states reduction after HPT, as well as the generation of donor-type carbon vacancies, which reduced the depletion-layer width for electron tunneling after annealing. Interface band structures were analyzed to elucidate the mechanism of Ohmic contact formations.

  1. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  2. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  3. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    Science.gov (United States)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  4. Design study of electron cyclotron resonance-ion plasma accelerator for heavy ion cancer therapy

    International Nuclear Information System (INIS)

    Inoue, T.; Sugimoto, S.; Sasai, K.; Hattori, T.

    2014-01-01

    Electron Cyclotron Resonance-Ion Plasma Accelerator (ECR-IPAC) device, which theoretically can accelerate multiple charged ions to several hundred MeV with short acceleration length, has been proposed. The acceleration mechanism is based on the combination of two physical principles, plasma electron ion adiabatic ejection (PLEIADE) and Gyromagnetic Autoresonance (GYRAC). In this study, we have designed the proof of principle machine ECR-IPAC device and simulated the electromagnetic field distribution generating in the resonance cavity. ECR-IPAC device consisted of three parts, ECR ion source section, GYRAC section, and PLEIADE section. ECR ion source section and PLEIADE section were designed using several multi-turn solenoid coils and sextupole magnets, and GYRAC section was designed using 10 turns coil. The structure of ECR-IPAC device was the cylindrical shape, and the total length was 1024 mm and the maximum diameter was 580 mm. The magnetic field distribution, which maintains the stable acceleration of plasma, was generated on the acceleration center axis throughout three sections. In addition, the electric field for efficient acceleration of electrons was generated in the resonance cavity by supplying microwave of 2.45 GHz

  5. Design of a 4D emittance measurement device for high charge state ECR ion sources

    International Nuclear Information System (INIS)

    Zhao Yangyang; Yang Yao; Zhao Hongwei; Sun Liangting; Cao Yun; Wang Yun

    2013-01-01

    For the purpose of on-line beam quality diagnostics and transverse emittance coupling investigation of the ion beams delivered by an Electron Cyclotron Resonance (ECR) ion source, a real-time 4D Pepper Pot type emittance scanner is under development at IMP (Institute of Moden Physics, Chinese Academy of Sciences). The high charge state ECR ion source at IMP could produce CW or pulsed heavy ion beam intensities in the range of 1 eμA∼1 emA with the kinetic energy of 10∼35 keV/q, which needs the design of the Pepper Pot scanner to be optimized accordingly. The Pepper Pot scanner has many features, such as very short response time and wide dynamic working range that the device could be applied. Since intense heavy ion beam bombardment is expected for this device, the structure and the material selection for the device is specially considered during the design, and a feasible solution to analyze the pictures acquired after the data acquisition is also made. (authors)

  6. Electron cyclotron resonance multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1975-01-01

    Three ion sources, that deliver multiply charged ion beams are described. All of them are E.C.R. ion sources and are characterized by the fact that the electrons are emitted by the plasma itself and are accelerated to the adequate energy through electron cyclotron resonance (E.C.R.). They can work without interruption during several months in a quasi-continuous regime. (Duty cycle: [fr

  7. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  8. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  9. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  10. ECR Light Ion Sources at CEA/Saclay%CEA/Saclay的ECR轻离子离子源

    Institute of Scientific and Technical Information of China (English)

    R.Gobin; P-A.Leroy; O.Tuske; D.Uriot; P-Y.Beauvais; A.Ben Ismail; D.Bogard; O.Delferriere; D.de Menezes; R.Duperrier; Y.Gauthier; F.Harrault

    2007-01-01

    In the beginning of the 90s,T.Taylor and his collaborators demonstrated ECR sources operating at low frequency (I.e.2.45GHz) are able to produce very intense single charge light ion beams.At CEA/Saclay,the SILHI source developments started in 1995.Since 1997 more than 100mA proton or deuteron beams are routinely produced in pulsed or continuous mode.To comply with ADS reliability constraint,important improvements have been performed to increase the installation reliability.Moreover,to optimize the beam transport in the low energy beam line,the extraction system was carefully designed and space charge compensation studies were undertaken.An important step has been reached in 2005 with the development of a permanent magnet source able to produce a total beam of 109mA at 85kV.A new test bench named BETSI,especially dedicated to permanent magnet source developments,is presently under construction.It will allow analysing positive or negative extracted beams up to 50keV and 100mA.In addition,for several years work has been done to optimize the production of negative hydrogen ion beam with such an ECR source.Recent analysis pushed towards the construction of a new set up based on a multicusp magnetic configuration.After a brief overview of the CEA/Saclay source developments,this article will point out on the recent results and present status.

  11. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  12. Simulation study on ion extraction from electron cyclotron resonance ion sources

    Science.gov (United States)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1994-04-01

    In order to study beam optics of NIRS-ECR ion source used in the HIMAC project, the EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1D and 2D sheath theories are used, respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source are presented in this paper, exhibiting an agreement with the experiment results.

  13. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  14. The influence of ambipolarity on plasma confinement and on the performance of electron cyclotron resonance ion sources.

    Science.gov (United States)

    Schachter, L; Dobrescu, S; Stiebing, K E; Thuillier, T; Lamy, T

    2008-02-01

    Charge diffusion in an electron cyclotron resonance ion source (ECRIS) discharge is usually characterized by nonambipolar behavior. While the ions are transported to the radial walls, electrons are lost axially from the magnetic trap. Global neutrality is maintained via compensating currents in the conducting walls of the vacuum chamber. It is assumed that this behavior reduces the ion breeding times compared to a truly ambipolar plasma. We have carried out a series of dedicated experiments in which the ambipolarity of the ECRIS plasma was influenced by inserting special metal-dielectric structures (MD layers) into the plasma chamber of the Frankfurt 14 GHz ECRIS. The measurements demonstrate the positive influence on the source performance when the ECR plasma is changed toward more ambipolar behavior.

  15. Influence of Microwave Power on the Properties of Hydrogenated Diamond-Like Carbon Films Prepared by ECR Plasma Enhanced DC Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ru Lili; Huang Jianjun; Gao Liang; Qi Bing

    2010-01-01

    Electron cyclotron resonance (ECR) plasma was applied to enhance the direct current magnetron sputtering to prepare hydrogenated diamond-like carbon (H-DLC) films. For different microwave powers, both argon and hydrogen gas are introduced separately as the ECR working gas to investigate the influence of microwave power on the microstructure and electrical property of the H-DLC films deposited on P-type silicon substrates. A series of characterization methods including the Raman spectrum and atomic force microscopy are used. Results show that, within a certain range, the increase in microwave power affects the properties of the thin films, namely the sp 3 ratio, the hardness, the nanoparticle size and the resistivity all increase while the roughness decreases with the increase in microwave power. The maximum of resistivity amounts to 1.1 x 10 9 Ω · cm. At the same time it is found that the influence of microwave power on the properties of H-DLC films is more pronounced when argon gas is applied as the ECR working gas, compared to hydrogen gas.

  16. First results from the new RIKEN superconducting electron cyclotron resonance ion source (invited).

    Science.gov (United States)

    Nakagawa, T; Higurashi, Y; Ohnishi, J; Aihara, T; Tamura, M; Uchiyama, A; Okuno, H; Kusaka, K; Kidera, M; Ikezawa, E; Fujimaki, M; Sato, Y; Watanabe, Y; Komiyama, M; Kase, M; Goto, A; Kamigaito, O; Yano, Y

    2010-02-01

    The next generation heavy ion accelerator facility, such as the RIKEN radio isotope (RI) beam factory, requires an intense beam of high charged heavy ions. In the past decade, performance of the electron cyclotron resonance (ECR) ion sources has been dramatically improved with increasing the magnetic field and rf frequency to enhance the density and confinement time of plasma. Furthermore, the effects of the key parameters (magnetic field configuration, gas pressure, etc.) on the ECR plasma have been revealed. Such basic studies give us how to optimize the ion source structure. Based on these studies and modern superconducting (SC) technology, we successfully constructed the new 28 GHz SC-ECRIS, which has a flexible magnetic field configuration to enlarge the ECR zone and to optimize the field gradient at ECR point. Using it, we investigated the effect of ECR zone size, magnetic field configuration, and biased disk on the beam intensity of the highly charged heavy ions with 18 GHz microwaves. In this article, we present the structure of the ion source and first experimental results with 18 GHz microwave in detail.

  17. Production of hot electrons in mirror systems associated with ECR heating with longitudinal input of microwaves

    International Nuclear Information System (INIS)

    Zhil'tsov, V.A.; Skovoroda, A.A.; Timofeev, A.V.; Kharitonov, K.Yu.; Shcherbakov, A.G.

    1991-01-01

    Almost all experiments on ECR plasma heating are accompanied by the formation of hot electrons (i.e., electrons with energy substantially greater than the average of the bulk population). In mirror systems these electrons may determine the basic energy content (β) of the plasma. In this paper, results are presented from experimental measurements of the hot electron population resulting from ECR heating of the plasma in OGRA-4. A theoretical model is developed which describes the hot electron dynamics and the propagation of electromagnetic oscillations in the plasma self-consistently. The results obtained with this model are in agreement with experimental data

  18. A workstation based spectrometry application for ECR ion source [Paper No.: G5

    International Nuclear Information System (INIS)

    Suresh Babu, R.M.; . PS Div.)

    1993-01-01

    A program for an Electron Cyclotron Resonance (ECR) Ion Source beam diagnostics application in a X-Windows/Motif based workstation environment is discussed. The application program controls the hardware and acquires data via a front end computer across a local area network. The data is subsequently processed for displaying on the workstation console. The timing for data acquisition and control is determined by the particle source timing. The user interface has been implemented using the Motif widget set and the actions have been implemented through call back routines. The equipment interface is through a set of database driven calls across the network. (author). 7 refs., 1 fig

  19. A high intensity Stern-Gerlach polarized hydrogen source for the Munich MP-Tandem laboratory using ECR ionization and charge exchange in cesium vapor

    International Nuclear Information System (INIS)

    Hertenberger, R.; Eisermann, Y.; Metz, A.; Schiemenz, P.; Graw, G.

    2001-01-01

    The 14 year old Lamb-Shift hydrogen source of the Munich Tandem laboratory is presently replaced by a newly developed Stern-Gerlach type atomic beam source (ABS) with electron-cyclotron-resonance (ECR) ionization and subsequent double charge exchange in a supersonic cesium vapor jet target. The atomic beam source provides an intensity of 6.4*10 16 atoms/sec of polarized hydrogen and of about 5*10 16 atoms/sec of polarized deuterium. Beam intensities larger than 100 μA were observed for positive H-vector + and D-vector + ion beams after ECR ionization and intensities larger than 10 μA for negative D-vector - ion beams in three magnetic substates

  20. Microgan ECR ion source in a Van de Graaff accelerator terminal

    International Nuclear Information System (INIS)

    Gaubert, G.; Tasset-Maye, O.; Villari, A.C.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Sineau, A.; Vallerand, C.; Chaves, C.; Gamboni, T.; Geerts, W.; Giorginis, G.; Jaime Tornin, R.; Loevestam, G.; Mondelaers, W.

    2012-01-01

    The Van de Graaff accelerator at IRMM (Institute for Reference Materials and Measurements, Geel - Belgium) works since many years providing proton, deuteron and helium beams for nuclear data measurements. The original ion source was of RF type with quartz bottle. This kind of source, as well known, needs regular maintenance for which the accelerator tank must be completely opened. The heavy usage at high currents of the IRMM accelerator necessitated an opening about once every month. Recently, the full permanent magnet Microgan ECR ion source from PANTECHNIK was installed into a new terminal platform together with a solid state amplifier of 50 W, a dedicated dosing system for 4 gases (with respective gas bottles H 2 , D 2 , He and Ar), and a set of dedicated power supplies and electronic devices for the remote tuning of the source. The new system shows a very stable behaviour of the produced beam allowing running the Van de Graaff without maintenance for several months. The paper is followed by the associated poster. (authors)

  1. Microwave Coupling to ECR and Alternative Heating Methods

    CERN Document Server

    Celona, L.

    2013-12-16

    The Electron Cyclotron Resonance Ion Source (ECRIS) is nowadays the most effective device that can feed particle accelerators in a continuous and reliable way, providing high-current beams of low- and medium-charge-state ions and relatively intense currents for highly charged ions. The ECRIS is an important tool for research with ion beams (in surface, atomic, and nuclear science) while, on the other hand, it implies plasma under extreme conditions and thus constitutes an object of scientific interest in itself. The fundamental aspect of the coupling between the electromagnetic wave and the plasma is hereinafter treated together with some variations to the classical ECR heating mechanism, with particular attention being paid to the frequency tuning effect and two-frequency heating. Considerations of electron and ion dynamics will be presented together with some recent observations connecting the beam shape with the frequency of the electromagnetic wave feeding the cavity. The future challenges of higher-charg...

  2. Atomic physics at the Argonne PII ECR [electron cyclotron resonance] Ion Source

    International Nuclear Information System (INIS)

    Dunford, R.W.; Berry, H.G.; Billquist, P.J.; Pardo, R.C.; Zabransky, B.J.; Bakke, E.; Groeneveld, K.O.; Hass, M.; Raphaelian, M.L.A.

    1987-01-01

    An atomic physics beam line has been set up at the Argonne PII ECR Ion Source. The source is on a 350-kV high-voltage platform which is a unique feature of particular interest in work on atomic collisions. We describe our planned experimental program which includes: measurement of state-selective electron-capture cross sections, studies of doubly-excited states, precision spectroscopy of few-electron ions, tests of quantum electrodynamics, and studies of polarization transfer using optically pumped polarized alkali targets. The first experiments will be measurements of cross sections for electron capture into specific nl subshells in ion-atom collisions. Our method is to observe the characteristic radiation emitted after capture using a VUV spectrometer. Initial data from these experiments are presented. 12 refs., 4 figs

  3. Multicharged and intense heavy ion beam sources

    International Nuclear Information System (INIS)

    Kutner, V.B.

    1981-01-01

    The cyclotron plasma-are source (PIG), duoplasmatron (DP), laser source (LS), electron beam ion source (EBIS) and electron cyclotron resonance source (ECRS) from the viewpoint of generating intense and high charge state beams are considered. It is pointed out that for the last years three types of multicharged ion sources-EBIS, ECR and LS have been essentially developed. In the EBIS source the Xe 48+ ions are produced. The present day level of the development of the electron-beam ionization technique shows that by means of this technique intensive uranium nuclei beams production becomes a reality. On the ECR source Xe 26+ approximately 4x10 10 h/s, Asub(r)sup(12+) approximately 10 12 h/s intensive ion beams are produced. In the laser source a full number of C 6+ ions during one laser pulse constitutes not less than 10 10 from the 5x10mm 2 emission slit. At the present time important results are obtained pointing to the possibility to separate the ion component of laser plasma in the cyclotron central region. On the PIG source the Xe 15+ ion current up to 10μA per pulse is produced. In the duoplasmatron the 11-charge state of xenon ion beams is reached [ru

  4. ECR plasma source in a flaring magnetic field

    International Nuclear Information System (INIS)

    Meis, C.; Compant La Fontaine, A.; Louvet, P.

    1992-01-01

    The propagation and absorption of an electromagnetic wave, near the electron cyclotron zone, of a cold plasma (T e ∼ 1-5 eV) confined in a flaring magnetic field is studied. The case of both extraordinary and ordinary modes has been considered. Temperature effects and electron-neutral collisions have been taken account in the dielectric tensor

  5. Parametric decay instabilities in ECR heated plasmas

    International Nuclear Information System (INIS)

    Porkolab, M.

    1982-01-01

    The possibility of parametric excitation of electron Bernstein waves and low frequency ion oscillations during ECR heating at omega/sub o/ approx. = l omega/sub ce/, l = 1,2 is examined. In particular, the thresholds for such instabilities are calculated. It is found that Bernstein waves and lower hybrid quasi-modes have relatively low homogeneous where T/sub e/ approx. = T/sub i/. Thus, these processes may lead to nonlinear absorption and/or scattering of the incident pump wave. The resulting Bernstein waves may lead to either more effective heating (especially during the start-up phase) or to loss of microwave energy if the decay waves propagate out of the system before their energy is absorbed by particles. While at omega/sub o/ = omega/sub UH/ the threshold is reduced due to the WKB enhancement of the pump wave, (and this instability may be important in tokamaks) in EBT's and tandem mirrors the instability at omega /sub o/ greater than or equal to 2 omega/sub ce/ may be important. The instability may persist even if omega > 2 omega/sub ce/ and this may be the case during finite beta depression of the magnetic field in which case the decay waves may be trapped in the local magnetic well so that convective losses are minimized. The excited fluctuations may lead to additional scattering of the ring electrons and the incident microwave fields. Application of these calculations to ECR heating of tokamaks, tandem mirrors, and EBT's will be examined

  6. Boron ion beam production with the supernanogan ECR ion source for the CERN BIO-LEIR facility

    CERN Document Server

    Stafford-Haworth, J; Scrivens, R; Toivanen, V; Röhrich, J

    2014-01-01

    To deliver B3+ ions for medical research the compounds decaborane and m-carborane were tested using the metal ions from volatile compounds (MIVOC) method with the Supernanogan 14.5 GHz ECR ion source. Using decaborane the source delivered less than 10 A intensity of B3+ and after operation large deposits of material were found inside the source. Using m-carborane 50 A of B3+ were delivered without support gas. For m-carborane, helium and oxygen support gasses were also tested, and the effects of different source tuning parameters are discussed. The average consumption of m-carborane was 0:1 mg/Ah over all operation.

  7. Production and acceleration of Ca-beams with the ECR source in the Jinr-Ganil experiment

    International Nuclear Information System (INIS)

    Lukyanov, S.M.; Artukh, A.G.; Gvozdev, B.A.; Kutner, V.B.; Penionzhkevich, Y.E.; Bex, L.; Bourgarel, M.P.; Ferme, J.

    1989-01-01

    The results of production and acceleration of 48 Ca-beams with the ECR-source are described. For this purpose a special technique has been developed which allows the metal to be extracted from the oxide with the aluminium as an acceptor. The mean rate of consumption of 48 Ca was about 2 mg/h and the beam intensity was about 15μA on charge state 6. The method for recuperation of used calcium is presented

  8. Characteristics of an Electron Cyclotron Resonance Plasma Source for the Production of Active Nitrogen Species in III-V Nitride Epitaxy

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A simple analysis is provided to determine the characteristics of an electron cyclotron resonance (ECR) plasma source for the generation of active nitrogen species in the molecular beam epitaxy of III-V nitrides. The effects of reactor geometry, pressure, power, and flow rate on the dissociation efficiency and ion flux are presented. Pulsing the input power is proposed to reduce the ion flux.

  9. Intense beams from gases generated by a permanent magnet ECR ion source at PKU

    Energy Technology Data Exchange (ETDEWEB)

    Ren, H. T.; Chen, J. E. [College of Physical Sciences, Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); SKLNPT, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China); Peng, S. X.; Lu, P. N.; Yan, S.; Zhou, Q. F.; Zhao, J.; Yuan, Z. X.; Guo, Z. Y. [SKLNPT, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China)

    2012-02-15

    An electron cyclotron resonance (ECR) ion source is designed for the production of high-current ion beams of various gaseous elements. At the Peking University (PKU), the primary study is focused on developing suitable permanent magnet ECR ion sources (PMECRs) for separated function radio frequency quadrupole (SFRFQ) accelerator and for Peking University Neutron Imaging Facility. Recently, other kinds of high-intensity ion beams are required for new acceleration structure demonstration, simulation of fusion reactor material irradiation, aviation bearing modification, and other applications. So we expanded the ion beam category from O{sup +}, H{sup +}, and D{sup +} to N{sup +}, Ar{sup +}, and He{sup +}. Up to now, about 120 mA of H{sup +}, 83 mA of D{sup +}, 50 mA of O{sup +}, 63 mA of N{sup +}, 70 mA of Ar{sup +}, and 65 mA of He{sup +} extracted at 50 kV through a {phi} 6 mm aperture were produced by the PMECRs at PKU. Their rms emittances are less than 0.2 {pi} mm mrad. Tungsten samples were irradiated by H{sup +} or He{sup +} beam extracted from this ion source and H/He holes and bubbles have been observed on the samples. A method to produce a high intensity H/He mixed beam to study synergistic effect is developed for nuclear material irradiation. To design a He{sup +} beam injector for coupled radio frequency quadruple and SFRFQ cavity, He{sup +} beam transmission experiments were carried out on PKU low energy beam transport test bench and the transmission was less than 50%. It indicated that some electrode modifications must be done to decrease the divergence of He{sup +} beam.

  10. Development of a compact ECR ion source for various ion production

    Energy Technology Data Exchange (ETDEWEB)

    Muramatsu, M., E-mail: m-mura@nirs.go.jp; Hojo, S.; Iwata, Y.; Katagiri, K.; Sakamoto, Y.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage, Chiba 263-8555 (Japan); Takahashi, N. [Sumitomo Heavy Industries, Ltd., 19 Natsushima, Yokosuka, Kanagawa 237-8555 (Japan); Sasaki, N.; Fukushima, K.; Takahashi, K.; Suzuki, T.; Sasano, T. [Accelerator Engineering Corporation, 3-8-5 Konakadai, Inage, Chiba 263-0043 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe-shi, Saitama 350-8585 (Japan); Hagino, S.; Nishiokada, T.; Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita-shi, Osaka 565-0871 (Japan)

    2016-02-15

    There is a desire that a carbon-ion radiotherapy facility will produce various ion species for fundamental research. Although the present Kei2-type ion sources are dedicated for the carbon-ion production, a future ion source is expected that could provide: (1) carbon-ion production for medical use, (2) various ions with a charge-to-mass ratio of 1/3 for the existing Linac injector, and (3) low cost for modification. A prototype compact electron cyclotron resonance (ECR) ion source, named Kei3, based on the Kei series has been developed to correspond to the Kei2 type and to produce these various ions at the National Institute of Radiological Sciences (NIRS). The Kei3 has an outer diameter of 280 mm and a length of 1120 mm. The magnetic field is formed by the same permanent magnet as Kei2. The movable extraction electrode has been installed in order to optimize the beam extraction with various current densities. The gas-injection side of the vacuum chamber has enough space for an oven system. We measured dependence of microwave frequency, extraction voltage, and puller position. Charge state distributions of helium, carbon, nitrogen, oxygen, and neon were also measured.

  11. Development of a Permanent Magnet ECR Source to Produce a 5 mA Deuteron Beam at CEA/Saclay

    CERN Document Server

    Gobin, R; Delferrière, O; Ferdinand, R; Harrault, F

    2004-01-01

    The high intensity light ion source, SILHI, is an ECR ion source operating at 2.45 GHz which produces high intensity (over 100 mA) proton or deuteron beams at 95 keV. It has been moved in the IPHI building after a complete dismantling. At the beginning of 2003, after tuning the source parameters at standard values, the first extracted beam reached more than 70 mA within a few minutes. This encouraged us to propose a permanent magnet source based on the SILHI design to fit in with the injector of the Spiral2 project, requesting 5 mA of D+

  12. Measurement of the 60 GHz ECR ion source using megawatt magnets - SEISM magnetic field map

    International Nuclear Information System (INIS)

    Marie-Jeanne, M.; Jacob, J.; Lamy, T.; Latrasse, L.; Debray, F.; Matera, J.; Pfister, R.; Trophine, C.

    2012-01-01

    LPSC has developed a 60 GHz Electron Cyclotron Resonance (ECR) Ion Source prototype called SEISM. The magnetic structure uses resistive poly-helix coils designed in collaboration with the French National High Magnetic Fields Facility (LNCMI) to produce a CUSP magnetic configuration. A dedicated test bench and appropriate electrical and water cooling environments were built to study the validity of the mechanics, the thermal behaviour and magnetic field characteristics obtained at various current intensities. During the last months, measurements were performed for several magnetic configurations, with up to 7000 A applied on the injection and extraction coils sets. The magnetic field achieved at 13000 A is expected to allow 28 GHz ECR condition, so by extrapolation 60 GHz should be possible at about 28000 A. However, cavitation issues that appeared around 7000 A are to be solved before carrying on with the tests. This contribution will recall some of the crucial steps in the prototype fabrication, and show preliminary results from the measurements at 7000 A. Possible explanations for the differences observed between the results and the simulation will be given. The paper is followed by the slides of the presentation. (authors)

  13. High intensity metallic ion beam from an ecr ion source using the Mivoc method

    International Nuclear Information System (INIS)

    Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Leherissier, P.; Lemagnen, F.; Jaffres, P.A.

    2000-01-01

    The MIVOC method has been successfully used at GANIL to produce a high intensity nickel beam with the ECR4 ion source: 20 μA 58 Ni 11+ at 24 kV extraction voltage. This beam has been maintained for 8 days and accelerated up to 74.5 MeV/u by our cyclotrons with a mean intensity of 0.13 pμA on target. This high intensity, required for experiment, led to the discovery of the doubly magic 48 Ni isotope. Experimental setup, handling and off-line preparation using a residual gas analyzer are described in this report. The ion source behavior, performances and limitations are presented in the case of nickel and iron. The ionization efficiencies have been measured and compared to the oven method usually used at GANIL. (author)

  14. Effect of magnetic field gradient on power absorption in compact microwave plasma sources

    International Nuclear Information System (INIS)

    Dey, Indranuj; Shamim, Md.; Bhattacharjee, Sudeep

    2006-01-01

    We study the effect of the change in magnetic field gradient at the electron cyclotron resonance (ECR) point, on the generated plasma for two different cylindrical minimum B-field configurations, viz. the hexapole and the octupole. The plasma parameters such as the electron and ion density, electron temperature including the wave field characteristics (B-field and E-field) in the plasma will be measured and compared for the two configurations. (author)

  15. Electron-cyclotron-resonance ion sources (review)

    International Nuclear Information System (INIS)

    Golovanivskii, K.S.; Dougar-Jabon, V.D.

    1992-01-01

    The physical principles are described and a brief survey of the present state is given of ion sources based on electron-cyclotron heating of plasma in a mirror trap. The characteristics of ECR sources of positive and negative ions used chiefly in accelerator technology are presented. 20 refs., 10 figs., 3 tabs

  16. Status report of pelletron accelerator and ECR based heavy ion accelerator programme

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR Pelletron Accelerator is completing twenty seven years of round-the-clock operation, serving diverse users from institutions within and outside DAE. Over the years, various developmental activities and application oriented programs have been initiated at Pelletron Accelerator Facility, resulting into enhanced utilization of the accelerator. We have also been pursuing an ECR based heavy ion accelerator programme under XII th Plan, consisting of an 18 GHz superconducting ECR (Electron Cyclotron Resonance) ion source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting niobium resonator cavities. This talk will provide the current status of Pelletron Accelerator and the progress made towards the ECR based heavy ion accelerator program at BARC. (author)

  17. Electron cyclotron resonance heating in a short cylindrical plasma ...

    Indian Academy of Sciences (India)

    The power mode conversion efficiency is estimated to be ... has also found application in electron cyclotron current drive (ECCD) in fusion ... (few GHz) of microwave sources, a small linear ECR plasma system can also serve ..... References.

  18. Long-term operation experience with 2 ECR ion sources and planned extensions at HIT

    International Nuclear Information System (INIS)

    Winkelmann, T.; Cee, R.; Haberer, T.; Naas, B.; Peters, A.

    2012-01-01

    The HIT (Heidelberg Ion Beam Therapy Center) is the first treatment facility at a hospital in Europe where patients can be treated with protons and carbon ions. Since the commissioning starting in 2006 two 14.5 GHz electron cyclotron resonance ion sources are routinely used to produce a variety of ion beams from protons up to oxygen. The operating time is 330 days per year, our experience after three years of continuous operation will be presented. In the future a helium beam for patient treatment is requested, therefore a third ion source will be integrated. This third ECR source with a newly designed extraction system and a spectrometer line will be installed at a test-bench to commission and validate this section. Different test settings are foreseen to study helium operation as well as enhanced parameter sets for proton and carbon beams in combination with a modified beam transport line for higher transmission efficiency. An outlook to the possible integration scheme of the new ion source into the production facility will be discussed. The paper is followed by the associated poster. (authors)

  19. Nb3Sn superconducting magnets for electron cyclotron resonance ion sources.

    Science.gov (United States)

    Ferracin, P; Caspi, S; Felice, H; Leitner, D; Lyneis, C M; Prestemon, S; Sabbi, G L; Todd, D S

    2010-02-01

    Electron cyclotron resonance (ECR) ion sources are an essential component of heavy-ion accelerators. Over the past few decades advances in magnet technology and an improved understanding of the ECR ion source plasma physics have led to remarkable performance improvements of ECR ion sources. Currently third generation high field superconducting ECR ion sources operating at frequencies around 28 GHz are the state of the art ion injectors and several devices are either under commissioning or under design around the world. At the same time, the demand for increased intensities of highly charged heavy ions continues to grow, which makes the development of even higher performance ECR ion sources a necessity. To extend ECR ion sources to frequencies well above 28 GHz, new magnet technology will be needed in order to operate at higher field and force levels. The superconducting magnet program at LBNL has been developing high field superconducting magnets for particle accelerators based on Nb(3)Sn superconducting technology for several years. At the moment, Nb(3)Sn is the only practical conductor capable of operating at the 15 T field level in the relevant configurations. Recent design studies have been focused on the possibility of using Nb(3)Sn in the next generation of ECR ion sources. In the past, LBNL has worked on the VENUS ECR, a 28 GHz source with solenoids and a sextupole made with NbTi operating at fields of 6-7 T. VENUS has now been operating since 2004. We present in this paper the design of a Nb(3)Sn ECR ion source optimized to operate at an rf frequency of 56 GHz with conductor peak fields of 13-15 T. Because of the brittleness and strain sensitivity of Nb(3)Sn, particular care is required in the design of the magnet support structure, which must be capable of providing support to the coils without overstressing the conductor. In this paper, we present the main features of the support structure, featuring an external aluminum shell pretensioned with water

  20. Comparison between off-resonance and electron Bernstein waves heating regime in a microwave discharge ion source

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G.; Di Giugno, R.; Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F. P. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Celona, L.; Gammino, S.; Lanaia, D.; Ciavola, G. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Di Bartolo, F. [Universita di Messina, Ctr. da Papardo-Sperone, 98100 Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); IET-Institute of Energy Technology, LEC-Laboratory for Energy Conversion, ETH Zurich, Sonneggstrasse 3, CH-8092 Zurich (Switzerland)

    2012-02-15

    A microwave discharge ion source (MDIS) operating at the Laboratori Nazionali del Sud of INFN, Catania has been used to compare the traditional electron cyclotron resonance (ECR) heating with an innovative mechanisms of plasma ignition based on the electrostatic Bernstein waves (EBW). EBW are obtained via the inner plasma electromagnetic-to-electrostatic wave conversion and they are absorbed by the plasma at cyclotron resonance harmonics. The heating of plasma by means of EBW at particular frequencies enabled us to reach densities much larger than the cutoff ones. Evidences of EBW generation and absorption together with X-ray emissions due to high energy electrons will be shown. A characterization of the discharge heating process in MDISs as a generalization of the ECR heating mechanism by means of ray tracing will be shown in order to highlight the fundamental physical differences between ECR and EBW heating.

  1. The preliminary tests of the superconducting electron cyclotron resonance ion source DECRIS-SC2.

    Science.gov (United States)

    Efremov, A; Bekhterev, V; Bogomolov, S; Drobin, V; Loginov, V; Lebedev, A; Yazvitsky, N; Yakovlev, B

    2012-02-01

    A new compact version of the "liquid He-free" superconducting ECR ion source, to be used as an injector of highly charged heavy ions for the MC-400 cyclotron, is designed and built at the Flerov Laboratory of Nuclear Reactions in collaboration with the Laboratory of High Energy Physics of JINR. The axial magnetic field of the source is created by the superconducting magnet and the NdFeB hexapole is used for the radial plasma confinement. The microwave frequency of 14 GHz is used for ECR plasma heating. During the first tests, the source shows a good enough performance for the production of medium charge state ions. In this paper, we will present the design parameters and the preliminary results with gaseous ions.

  2. Niobium thin film coating on a 500-MHz copper cavity by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Haipeng Wang; Genfa Wu; H. Phillips; Robert Rimmer; Anne-Marie Valente; Andy Wu

    2005-05-16

    A system using an Electron Cyclotron Resonance (ECR) plasma source for the deposition of a thin niobium film inside a copper cavity for superconducting accelerator applications has been designed and is being constructed. The system uses a 500-MHz copper cavity as both substrate and vacuum chamber. The ECR plasma will be created to produce direct niobium ion deposition. The central cylindrical grid is DC biased to control the deposition energy. This paper describes the design of several subcomponents including the vacuum chamber, RF supply, biasing grid and magnet coils. Operational parameters are compared between an operating sample deposition system and this system. Engineering work progress toward the first plasma creation will be reported here.

  3. An inverted cylindrical sputter magnetron as metal vapor supply for electron cyclotron resonance ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Electron Beam and Plasma Technology, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Silze, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2014-05-15

    An inverted cylindrical sputter magnetron device has been developed. The magnetron is acting as a metal vapor supply for an electron cyclotron resonance (ECR) ion source. FEM simulation of magnetic flux density was used to ensure that there is no critical interaction between both magnetic fields of magnetron and ECR ion source. Spatially resolved double Langmuir probe and optical emission spectroscopy measurements show an increase in electron density by one order of magnitude from 1 × 10{sup 10} cm{sup −3} to 1 × 10{sup 11} cm{sup −3}, when the magnetron plasma is exposed to the magnetic mirror field of the ECR ion source. Electron density enhancement is also indicated by magnetron plasma emission photography with a CCD camera. Furthermore, photographs visualize the formation of a localized loss-cone - area, when the magnetron is operated at magnetic mirror field conditions. The inverted cylindrical magnetron supplies a metal atom load rate of R > 1 × 10{sup 18} atoms/s for aluminum, which meets the demand for the production of a milliampere Al{sup +} ion beam.

  4. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  5. ECR-based atomic collision physics research at ORNL

    International Nuclear Information System (INIS)

    Meyer, F.W.; Bannister, M.E.; Hale, J.W.; Havener, C.C.

    1997-01-01

    After a brief summary of the present capability and configuration of the ORNL Multicharged Ion Research Facility (MIRF), and of upcoming upgrades and expansions, the presently on-line atomic collisions experiments are described. In the process, the utility of intense, cw ion beams extracted from ECR ion sources for low-signal rate experiments is illustrated

  6. Studies of plasma breakdown and electron heating on a 14 GHz ECR ion source through measurement of plasma bremsstrahlung

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T; Machicoane, G; Leitner, D [National Superconducting Cyclotron Laboratory, MSU, East Lansing, MI 48824 (United States); Tarvainen, O; Toivanen, V; Koivisto, H; Kalvas, T; Peura, P; Jones, P [University of Jyvaeskylae, Department of Physics, PO Box 35 (YFL), 40500 Jyvaeskylae (Finland); Izotov, I; Skalyga, V; Zorin, V [Institute of Applied Physics, RAS, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Noland, J, E-mail: tommi.ropponen@gmail.com, E-mail: olli.tarvainen@jyu.fi [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States)

    2011-10-15

    Temporal evolution of plasma bremsstrahlung emitted by a 14 GHz electron cyclotron resonance ion source (ECRIS) operated in pulsed mode is presented in the energy range 1.5-400 keV with 100 {mu}s resolution. Such a high temporal resolution together with this energy range has never been measured before with an ECRIS. Data are presented as a function of microwave power, neutral gas pressure, magnetic field configuration and seed electron density. The saturation time of the bremsstrahlung count rate is almost independent of the photon energy up to 100 keV and exhibits similar characteristics with the neutral gas balance. The average photon energy during the plasma breakdown is significantly higher than that during the steady state and depends strongly on the density of seed electrons. The results are consistent with a theoretical model describing the evolution of the electron energy distribution function during the preglow transient.

  7. Low energy ion beam dynamics of NANOGAN ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Sarvesh, E-mail: sarvesh@iuac.res.in; Mandal, A.

    2016-04-01

    A new low energy ion beam facility (LEIBF) has been developed for providing the mass analyzed highly charged intense ion beams of energy ranging from a few tens of keV to a few MeV for atomic, molecular and materials sciences research. The new facility consists of an all permanent magnet 10 GHz electron cyclotron resonance (ECR) ion source (NANOGAN) installed on a high voltage platform (400 kV) which provides large currents of multiply charged ion beams. Higher emittance at low energy of intense ion beam puts a tremendous challenge to the beam optical design of this facility. The beam line consists of mainly the electrostatic quadrupoles, an accelerating section, analyzing cum switching magnet and suitable beam diagnostics including vacuum components. The accelerated ion beam is analyzed for a particular mass to charge (m/q) ratio as well as guided to three different lines along 75°, 90° and 105° using a large acceptance analyzing cum switching magnet. The details of transverse beam optics to all the beam lines with TRANSPORT and GICOSY beam optics codes are being described. Field computation code, OPERA 3D has been utilized to design the magnets and electrostatic quadrupoles. A theoretical estimation of emittance for optimized geometry of ion source is given so as to form the basis of beam optics calculations. The method of quadrupole scan of the beam is used to characterize the emittance of the final beam on the target. The measured beam emittance increases with m/q ratios of various ion beams similar to the trend observed theoretically.

  8. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  9. Tornado type closed magnetic trap for an ECR source

    CERN Document Server

    Abramova, K B; Voronin, A V; Zorin, V G

    1999-01-01

    We propose to use a Tornado type closed magnetic trap for creation of a source of mul-ticharged ions with plasma heating by microwave radiation. Plasma loss in closed traps is deter-mined by diffusion across the magnetic field, which increases substantially plasma confinement time as compared to the classical mirror trap [1]. We propose to extract ions with the aid of additional coils which partially destroy the closed structure of the magnetic lines in the trap, but don not influence the total confinement time. This allows for producing a controlled plasma flux that depends on the magnetic field of the additional coil. The Tornado trap also possesses merits such as an opportunity to produce high magnetic fields up to 3 T, which makes possible heating and confinement of plasma with a high density of electrons; plasma stability to magneto-hydrodynamic perturbations because the magnetic field structure corresponds to the "min B" configuration; and relatively low costs. All estimates and calculations were carrie...

  10. Enhanced ECR ion source performance with an electron gun

    International Nuclear Information System (INIS)

    Xie, Z.; Lyneis, C.M.; Lam, R.S.; Lundgren, S.A.

    1991-01-01

    An electron gun for the advanced electron cyclotron resonance (AECR) source has been developed to increase the production of high charge state ions. The AECR source, which operates at 14 GHz, is being developed for the 88-in. cyclotron at Lawrence Berkeley Laboratory. The electron gun injects 10 to 150 eV electrons into the plasma chamber of the AECR. With the electron gun the AECR has produced at 10 kV extraction voltage 131 e μA of O 7+ , 13 e μA of O 8+ , 17 e μA of Ar 14+ , 2.2 e μA of Kr 25+ , 1 e μA of Xe 31+ , and 0.2 e μA of Bi 38+ . The AECR was also tested as a single stage source with a coating of SiO 2 on the plasma chamber walls. This significantly improved its performance compared to no coating, but direct injection of electrons with the electron gun produced the best results

  11. Suppression of cyclotron instability in Electron Cyclotron Resonance ion sources by two-frequency heating

    International Nuclear Information System (INIS)

    Skalyga, V.; Izotov, I.; Mansfeld, D.; Kalvas, T.; Koivisto, H.; Komppula, J.; Kronholm, R.; Laulainen, J.; Tarvainen, O.

    2015-01-01

    Multiple frequency heating is one of the most effective techniques to improve the performance of Electron Cyclotron Resonance (ECR) ion sources. The method increases the beam current and average charge state of the extracted ions and enhances the temporal stability of the ion beams. It is demonstrated in this paper that the stabilizing effect of two-frequency heating is connected with the suppression of electron cyclotron instability. Experimental data show that the interaction between the secondary microwave radiation and the hot electron component of ECR ion source plasmas plays a crucial role in mitigation of the instabilities

  12. Design of a New Acceleration System for High-Current Pulsed Proton Beams from an ECR Source

    Science.gov (United States)

    Cooper, Andrew L.; Pogrebnyak, Ivan; Surbrook, Jason T.; Kelly, Keegan J.; Carlin, Bret P.; Champagne, Arthur E.; Clegg, Thomas B.

    2014-03-01

    A primary objective for accelerators at TUNL's Laboratory for Experimental Nuclear Astrophysics (LENA) is to maximize target beam intensity to ensure a high rate of nuclear events during each experiment. Average proton target currents of several mA are needed from LENA's electron cyclotron resonance (ECR) ion source because nuclear cross sections decrease substantially at energies of interest tube structures; and provide better heat dissipation by using deionized water to provide the current drain needed to establish the accelerating tube's voltage gradient. Details of beam optical modeling calculations, proposed accelerating tube design, and initial beam pulsing tests will be described. Work supported in part by USDOE Office of HE and Nuclear Physics.

  13. Proposal for a heavy ion ECR-source at the PSI-Philips cyclotron

    International Nuclear Information System (INIS)

    Kern, J.

    1989-10-01

    It is proposed by a large community of PSI- and external scientists to install an electron cyclotron resonance (ECR) source for highly charged heavy ions at the PHILIPS (injector I) cyclotron. Such a facility would then allow to produce high intensity ion beams with energies up to 30 MeV/u. A workshop hold in June 1989 clearly showed that with such a machine a large variety of interesting heavy ion experiments could be performed. While at foreign heavy ion centres the main focus is given to basic research in the field of nuclear physics we propose to concentrate the scientific effort at a PSI heavy ion facility mainly onto applications in the fields of atomic physics, chemistry, accelerator mass spectrometry, radiation biology and solid state physics. This is adequate, in view of the broad infrastructure available at PSI together with the existing know-how in many different fields. The proposed machine will thus be of great potential use for a large community. (author) 19 figs., 3 tabs., 82 refs

  14. Ion sources for MedAustron

    International Nuclear Information System (INIS)

    Lettry, J.; Penescu, L.; Wallner, J.; Sargsyan, E.

    2010-01-01

    The MedAustron Ion therapy center will be constructed in Wiener Neustadt (Austria) in the vicinity of Vienna. Its accelerator complex consists of four ion sources, a linear accelerator, a synchrotron, and a beam delivery system to the three medical treatment rooms and to the research irradiation room. The ion sources shall deliver beams of H 3 1+ , C 4+ , and light ions with utmost reliability and stability. This paper describes the features of the ion sources presently planned for the MedAustron facility, such as ion source main parameters, gas injection, temperature control, and cooling systems. A dedicated beam diagnostics technique is proposed in order to characterize electron cyclotron resonance (ECR) ion beams; in the first drift region after the ion source, a fraction of the mixed beam is selected via moveable aperture. With standard beam diagnostics, we then aim to produce position-dependant observables such as ion-current density, beam energy distribution, and emittance for each charge states to be compared to simulations of ECR e-heating, plasma simulation, beam formation, and transport.

  15. Note: Development of ESS Bilbao's proton ion source: Ion Source Hydrogen Positive

    International Nuclear Information System (INIS)

    Miracoli, R.; Feuchtwanger, J.; Arredondo, I.; Belver, D.; Gonzalez, P. J.; Corres, J.; Djekic, S.; Echevarria, P.; Eguiraun, M.; Garmendia, N.; Muguira, L.

    2014-01-01

    The Ion Source Hydrogen positive is a 2.7 GHz off-resonance microwave discharge ion source. It uses four coils to generate an axial magnetic field in the plasma chamber around 0.1 T that exceeds the ECR resonance field. A new magnetic system was designed as a combination of the four coils and soft iron in order to increase the reliability of the source. The description of the simulations of the magnetic field and the comparison with the magnetic measurements are presented. Moreover, results of the initial commissioning of the source for extraction voltage until 50 kV will be reported

  16. Development of DRAGON electron cyclotron resonance ion source at Institute of Modern Physics

    International Nuclear Information System (INIS)

    Lu, W.; Lin, S. H.; Xie, D. Z.; Zhang, X. Z.; Sha, S.; Zhang, W. H.; Cao, Y.; Guo, J. W.; Fang, X.; Guo, X. H.; Li, X. X.; Ma, H. Y.; Wu, Q.; Zhao, H. Y.; Ma, B. H.; Wang, H.; Zhu, Y. H.; Feng, Y. C.; Li, J. Y.; Li, J. Q.

    2012-01-01

    A new room temperature electron cyclotron resonance (ECR) ion source, DRAGON, is under construction at IMP. DRAGON is designed to operate at microwaves of frequencies of 14.5-18 GHz. Its axial solenoid coils are cooled with evaporative medium to provide an axial magnetic mirror field of 2.5 T at the injection and 1.4 T at the extraction, respectively. In comparison to other conventional room temperature ECR ion sources, DRAGON has so far the largest bore plasma chamber of inner diameter of 126 mm with maximum radial fields of 1.4-1.5 T produced by a non-Halbach permanent sextupole magnet.

  17. Investigation of particle reduction and its transport mechanism in UHF-ECR dielectric etching system

    International Nuclear Information System (INIS)

    Kobayashi, Hiroyuki; Yokogawa, Ken'etsu; Maeda, Kenji; Izawa, Masaru

    2008-01-01

    Control of particle transport was investigated by using a UHF-ECR etching apparatus with a laser particle monitor. The particles, which float at a plasma-sheath boundary, fall on a wafer when the plasma is turned off. These floating particles can be removed from the region above the wafer by changing the plasma distribution. We measured the distribution of the rotational temperature of nitrogen molecules across the wafer to investigate the effect of the thermophoretic force. We found that mechanisms of particle transport in directions parallel to the wafer surface can be explained by the balance between thermophoretic and gas viscous forces

  18. Development of ECR ion source for VEC

    International Nuclear Information System (INIS)

    Bose, D.K.; Taki, G.S.; Nabhiraj, P.Y.; Pal, G.; Mallik, C.; Bhandari, R.K.

    1997-01-01

    A 6.4 GHz Electron Cyclotron Resonance Ion Source (ECRIS) was developed at the VEC centre to enable acceleration of heavy ions with the K=130, Variable Energy Cyclotron (VEC). Heavy ions which will be sufficiently energetic after acceleration from the cyclotron will be utilised to explore new fields of research. VEC ECRIS was first made operational in April 1991. Initially the stability and intensity of high charge state (z) beam were poor. Constant efforts were paid to improve source performance. Finally going to high field operation that is improving the plasma confinement, desired stability and high output current were achieved. At present stable 16 O beam up to 50 eμA maximum is available from VEC ECRIS. Many other high- z ion beam of gaseous species are also available. (author)

  19. Development of ECR ion source for VEC

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Mallik, C; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1997-12-01

    A 6.4 GHz Electron Cyclotron Resonance Ion Source (ECRIS) was developed at the VEC centre to enable acceleration of heavy ions with the K=130, Variable Energy Cyclotron (VEC). Heavy ions which will be sufficiently energetic after acceleration from the cyclotron will be utilised to explore new fields of research. VEC ECRIS was first made operational in April 1991. Initially the stability and intensity of high charge state (z) beam were poor. Constant efforts were paid to improve source performance. Finally going to high field operation that is improving the plasma confinement, desired stability and high output current were achieved. At present stable {sup 16}O beam up to 50 e{mu}A maximum is available from VEC ECRIS. Many other high- z ion beam of gaseous species are also available. (author) 16 refs., 14 figs., 2 tabs.

  20. Commissioning of the ECR ion source of the high intensity proton injector of the Facility for Antiproton and Ion Research (FAIR)

    Science.gov (United States)

    Tuske, O.; Chauvin, N.; Delferriere, O.; Fils, J.; Gauthier, Y.

    2018-05-01

    The CEA at Saclay is in charge of developing and building the ion source and the low energy line of the proton linac of the FAIR (Facility for Antiproton and Ion Research) accelerator complex located at GSI (Darmstadt) in Germany. The FAIR facility will deliver stable and rare isotope beams covering a huge range of intensities and beam energies for experiments in the fields of atomic physics, plasma physics, nuclear physics, hadron physics, nuclear matter physics, material physics, and biophysics. A significant part of the experimental program at FAIR is dedicated to antiproton physics that requires an ultimate number 7 × 1010 cooled pbar/h. The high-intensity proton beam that is necessary for antiproton production will be delivered by a dedicated 75 mA/70 MeV proton linac. A 2.45 GHz microwave ion source will deliver a 100 mA H+ beam pulsed at 4 Hz with an energy of 95 keV. A 2 solenoids low energy beam transport line allows the injection of the proton beam into the radio frequency quadrupole (RFQ) within an acceptance of 0.3π mm mrad (norm. rms). An electrostatic chopper system located between the second solenoid and the RFQ is used to cut the beam macro-pulse from the source to inject 36 μs long beam pulses into the RFQ. At present time, a Ladder-RFQ is under construction at the University of Frankfurt. This article reports the first beam measurements obtained since mid of 2016. Proton beams have been extracted from the ECR ion source and analyzed just after the extraction column on a dedicated diagnostic chamber. Emittance measurements as well as extracted current and species proportion analysis have been performed in different configurations of ion source parameters, such as magnetic field profile, radio frequency power, gas injection, and puller electrode voltage.

  1. Formation of ECR Plasma in a Dielectric Plasma Guide under Self-Excitation of a Standing Ion-Acoustic Wave

    Science.gov (United States)

    Balmashnov, A. A.; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M.

    2018-01-01

    The formation of a spatially localized plasma with a high brightness has been experimentally observed in a dielectric plasma guide under the electron cyclotron resonance discharge at the excitation of a standing ion-acoustic wave. The results obtained show the possibility of designing compact high-intensity radiation sources with a spectrum determined by the working gas or gas mixture type, high-intensity chemically active particle flow sources, and plasma thrusters for correcting orbits of light spacecraft.

  2. Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available In this article, and in a companion paper by Hamrin et al. (2009 [Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in Earth's plasma sheet. From more than 80 Cluster plasma sheet crossings (660 h data at the altitude of about 15–20 RE in the summer and fall of 2001, we have identified 116 Concentrated Load Regions (CLRs and 35 Concentrated Generator Regions (CGRs. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have estimated typical values of the scale size and life time of the CLRs and the CGRs. We find that a majority of the observed ECRs are rather stationary in space, but varying in time. Assuming that the ECRs are cylindrically shaped and equal in size, we conclude that the typical scale size of the ECRs is 2 RE≲ΔSECR≲5 RE. The ECRs hence occupy a significant portion of the mid altitude plasma sheet. Moreover, the CLRs appear to be somewhat larger than the CGRs. The life time of the ECRs are of the order of 1–10 min, consistent with the large scale magnetotail MHD simulations of Birn and Hesse (2005. The life time of the CGRs is somewhat shorter than for the CLRs. On time scales of 1–10 min, we believe that ECRs rise and vanish in significant regions of the plasma sheet, possibly oscillating between load and generator character. It is probable that at least some of the observed ECRs oscillate energy back and forth in the plasma sheet instead of channeling it to the ionosphere.

  3. Physical mechanisms leading to high currents of highly charged ions in laser-driven ion sources

    International Nuclear Information System (INIS)

    Haseroth, Helmut; Hora, Heinrich; Regensburg Inst. of Tech.

    1996-01-01

    Heavy ion sources for the big accelerators, for example, the LHC, require considerably more ions per pulse during a short time than the best developed classical ion source, the electron cyclotron resonance (ECR) provides; thus an alternative ion source is needed. This can be expected from laser-produced plasmas, where dramatically new types of ion generation have been observed. Experiments with rather modest lasers have confirmed operation with one million pulses of 1 Hz, and 10 11 C 4+ ions per pulse reached 2 GeV/u in the Dubna synchrotron. We review here the complexities of laser-plasma interactions to underline the unique and extraordinary possibilities that the laser ion source offers. The complexities are elaborated with respect to keV and MeV ion generation, nonlinear (ponderomotive) forces, self-focusing, resonances and ''hot'' electrons, parametric instabilities, double-layer effects, and the few ps stochastic pulsation (stuttering). Recent experiments with the laser ion source have been analyzed to distinguish between the ps and ns interaction, and it was discovered that one mechanism of highly charged ion generation is the electron impact ionization (EII) mechanism, similar to the ECR, but with so much higher plasma densities that the required very large number of ions per pulse are produced. (author)

  4. Physical mechanisms leading to high currents of highly charged ions in laser-driven ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Haseroth, Helmut [European Organization for Nuclear Research, Geneva (Switzerland); Hora, Heinrich [New South Wales Univ., Kensington, NSW (Australia)]|[Regensburg Inst. of Tech. (Germany). Anwenderzentrum

    1996-12-31

    Heavy ion sources for the big accelerators, for example, the LHC, require considerably more ions per pulse during a short time than the best developed classical ion source, the electron cyclotron resonance (ECR) provides; thus an alternative ion source is needed. This can be expected from laser-produced plasmas, where dramatically new types of ion generation have been observed. Experiments with rather modest lasers have confirmed operation with one million pulses of 1 Hz, and 10{sup 11} C{sup 4+} ions per pulse reached 2 GeV/u in the Dubna synchrotron. We review here the complexities of laser-plasma interactions to underline the unique and extraordinary possibilities that the laser ion source offers. The complexities are elaborated with respect to keV and MeV ion generation, nonlinear (ponderomotive) forces, self-focusing, resonances and ``hot`` electrons, parametric instabilities, double-layer effects, and the few ps stochastic pulsation (stuttering). Recent experiments with the laser ion source have been analyzed to distinguish between the ps and ns interaction, and it was discovered that one mechanism of highly charged ion generation is the electron impact ionization (EII) mechanism, similar to the ECR, but with so much higher plasma densities that the required very large number of ions per pulse are produced. (author).

  5. Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available In this article, and in a companion paper by Hamrin et al. (2009 [Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in Earth's plasma sheet. From more than 80 Cluster plasma sheet crossings (660 h data at the altitude of about 15–20 RE in the summer and fall of 2001, we have identified 116 Concentrated Load Regions (CLRs and 35 Concentrated Generator Regions (CGRs. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have estimated typical values of the scale size and life time of the CLRs and the CGRs. We find that a majority of the observed ECRs are rather stationary in space, but varying in time. Assuming that the ECRs are cylindrically shaped and equal in size, we conclude that the typical scale size of the ECRs is 2 RE≲ΔSECR≲5 RE. The ECRs hence occupy a significant portion of the mid altitude plasma sheet. Moreover, the CLRs appear to be somewhat larger than the CGRs. The life time of the ECRs are of the order of 1–10 min, consistent with the large scale magnetotail MHD simulations of Birn and Hesse (2005. The life time of the CGRs is somewhat shorter than for the CLRs. On time scales of 1–10 min, we believe that ECRs rise and vanish in significant regions of the plasma sheet, possibly oscillating between load and generator character. It is probable that at least some of the observed ECRs oscillate energy back and forth in the plasma sheet instead of channeling it to the ionosphere.

  6. ATLAS 10 GHz electron cyclotron resonance ion source upgrade project

    CERN Document Server

    Moehs, D P; Pardo, R C; Xie, D

    2000-01-01

    A major upgrade of the first ATLAS 10 GHz electron cyclotron resonance (ECR) ion source, which began operations in 1987, is in the planning and procurement phase. The new design will convert the old two-stage source into a single-stage source with an electron donor disk and high gradient magnetic field that preserves radial access for solid material feeds and pumping of the plasma chamber. The new magnetic-field profile allows for the possibility of a second ECR zone at a frequency of 14 GHz. An open hexapole configuration, using a high-energy-product Nd-Fe-B magnet material, having an inner diameter of 8.8 cm and pole gaps of 2.4 cm, has been adopted. Models indicate that the field strengths at the chamber wall, 4 cm in radius, will be 9.3 kG along the magnet poles and 5.6 kG along the pole gaps. The individual magnet bars will be housed in austenitic stainless steel, allowing the magnet housing within the aluminum plasma chamber to be used as a water channel for direct cooling of the magnets. Eight solenoid...

  7. Characterization of electron cyclotron resonance hydrogen plasmas

    International Nuclear Information System (INIS)

    Outten, C.A.

    1990-01-01

    Electron cyclotron resonance (ECR) plasmas yield low energy and high ion density plasmas. The characteristics downstream of an ECR hydrogen plasma were investigated as a function of microwave power and magnetic field. A fast-injection Langmuir probe and a carbon resistance probe were used to determine plasma potential (V p ), electron density (N e ), electron temperature (T e ), ion energy (T i ), and ion fluence. Langmuir probe results showed that at 17 cm downstream from the ECR chamber the plasma characteristics are approximately constant across the center 7 cm of the plasma for 50 Watts of absorbed power. These results gave V p = 30 ± 5 eV, N e = 1 x 10 8 cm -3 , and T e = 10--13 eV. In good agreement with the Langmuir probe results, carbon resistance probes have shown that T i ≤ 50 eV. Also, based on hydrogen chemical sputtering of carbon, the hydrogen (ion and energetic neutrals) fluence rate was determined to be 1 x 10 16 /cm 2 -sec. at a pressure of 1 x 10 -4 Torr and for 50 Watts of absorbed power. 19 refs

  8. Emittance studies of the 2.45 GHz permanent magnet ECR ion source

    Science.gov (United States)

    Zelenak, A.; Bogomolov, S. L.; Yazvitsky, N. Yu.

    2004-05-01

    During the past several years different types of permanent magnet 2.45 GHz (electron cyclotron resonance) ion sources were developed for production of singly charged ions. Ion sources of this type are used in the first stage of DRIBs project, and are planned to be used in the MASHA mass separator. The emittance of the beam provided by the source is one of the important parameters for these applications. An emittance scanner composed from a set of parallel slits and rotary wire beam profile monitor was used for the studying of the beam emittance characteristics. The emittance of helium and argon ion beams was measured with different shapes of the plasma electrode for several ion source parameters: microwave power, source potential, plasma aperture-puller aperture gap distance, gas pressure. The results of measurements are compared with previous simulations of ion optics.

  9. Emittance studies of the 2.45 GHz permanent magnet ECR ion source

    International Nuclear Information System (INIS)

    Zelenak, A.; Bogomolov, S.L.; Yazvitsky, N.Yu.

    2004-01-01

    During the past several years different types of permanent magnet 2.45 GHz (electron cyclotron resonance) ion sources were developed for production of singly charged ions. Ion sources of this type are used in the first stage of DRIBs project, and are planned to be used in the MASHA mass separator. The emittance of the beam provided by the source is one of the important parameters for these applications. An emittance scanner composed from a set of parallel slits and rotary wire beam profile monitor was used for the studying of the beam emittance characteristics. The emittance of helium and argon ion beams was measured with different shapes of the plasma electrode for several ion source parameters: microwave power, source potential, plasma aperture-puller aperture gap distance, gas pressure. The results of measurements are compared with previous simulations of ion optics

  10. High excitation of the species in nitrogen–aluminum plasma generated by electron cyclotron resonance microwave discharge of N2 gas and pulsed laser ablation of Al target

    International Nuclear Information System (INIS)

    Liang, Peipei; Li, Yanli; Cai, Hua; You, Qinghu; Yang, Xu; Huang, Feiling; Sun, Jian; Xu, Ning; Wu, Jiada

    2014-01-01

    A reactive nitrogen–aluminum plasma generated by electron cyclotron resonance (ECR) microwave discharge of N 2 gas and pulsed laser ablation of an Al target is characterized spectroscopically by time-integrated and time-resolved optical emission spectroscopy (OES). The vibrational and rotational temperatures of N 2 species are determined by spectral simulation. The generated plasma strongly emits radiation from a variety of excited species including ambient nitrogen and ablated aluminum and exhibits unique features in optical emission and temperature evolution compared with the plasmas generated by a pure ECR discharge or by the expansion of the ablation plume. The working N 2 gas is first excited by ECR discharge and the excitation of nitrogen is further enhanced due to the fast expansion of the aluminum plume induced by target ablation, while the excitation of the ablated aluminum is prolonged during the plume expansion in the ECR nitrogen plasma, resulting in the formation of strongly reactive nitrogen–aluminum plasma which contains highly excited species with high vibrational and rotational temperatures. The enhanced intensities and the prolonged duration of the optical emissions of the combined plasma would provide an improved analytical capability for spectrochemical analysis. - Highlights: • ECR discharge and pulsed laser ablation generate highly excited ECR–PLA plasma. • The expansion of PLA plasma results in excitation enhancement of ECR plasma species. • The ECR plasma leads to excitation prolongation of PLA plasma species. • The ECR–PLA plasma emits strong emissions from a variety of excited species. • The ECR–PLA plasma maintains high vibrational–rotational temperatures for a long time

  11. A superconducting RFQ for an ECR injector

    International Nuclear Information System (INIS)

    Ben-Zvi, I.

    1988-01-01

    The beam dynamics and resonator properties of a superconducting radio-frequency quadrupole (RFQ) for heavy ions are discussed. The motivation is its use as a very low velocity section following an electron cyclotron resonance (ECR) source for injection into a superconducting heavy-ion linac. The constraints on the design and performance of this accelerating structure are presented. Expressions for a limiting stable phase angle and longitudinal and transverse acceptance are derived. A numerical example is given, using the SUNYLAC linac at Sony Stony Brook. Beam-dynamics calculations with PARMTEQ are reported, verifying the theoretical beam-dynamics calculations. (author) 12 refs., 1 tab

  12. PANDORA, a new facility for interdisciplinary in-plasma physics

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Romano, F. P.; Galatà, A.; Gammino, S.; Massimi, C.

    2017-07-01

    PANDORA, Plasmas for Astrophysics, Nuclear Decays Observation and Radiation for Archaeometry, is planned as a new facility based on a state-of-the-art plasma trap confining energetic plasma for performing interdisciplinary research in the fields of Nuclear Astrophysics, Astrophysics, Plasma Physics and Applications in Material Science and Archaeometry: the plasmas become the environment for measuring, for the first time, nuclear decay rates in stellar-like condition (such as 7Be decay and beta-decay involved in s-process nucleosynthesis), especially as a function of the ionization state of the plasma ions. These studies will give important contributions for addressing several astrophysical issues in both stellar and primordial nucleosynthesis environment ( e.g., determination of solar neutrino flux and 7Li Cosmological Problem), moreover the confined energetic plasma will be a unique light source for high-performance stellar spectroscopy measurements in the visible, UV and X-ray domains, offering advancements in observational astronomy. As to magnetic fields, the experimental validation of theoretical first- and second-order Landé factors will drive the layout of next-generation polarimetric units for the high-resolution spectrograph of the future giant telescopes. In PANDORA new plasma heating methods will be explored, that will push forward the ion beam output, in terms of extracted intensity and charge states. More, advanced and optimized injection methods of ions in an ECR plasma will be experimented, with the aim to optimize its capture efficiency. This will be applied to the ECR-based Charge Breeding technique, that will improve the performances of the SPES ISOL-facility at Laboratori Nazionali di Legnaro-INFN. Finally, PANDORA will be suitable for energy conversion, making the plasma a source of high-intensity electromagnetic radiation, for applications in material science and archaeometry.

  13. PANDORA, a new facility for interdisciplinary in-plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, D.; Gammino, S. [INFN-Laboratori Nazionali del Sud, Catania (Italy); Musumarra, A. [INFN-Laboratori Nazionali del Sud, Catania (Italy); University of Catania, Department of Physics and Astronomy, Catania (Italy); Leone, F. [INFN-Laboratori Nazionali del Sud, Catania (Italy); University of Catania, Department of Physics and Astronomy, Catania (Italy); INAF-OACT, Catania (Italy); Romano, F.P. [INFN-Laboratori Nazionali del Sud, Catania (Italy); CNR-IBAM, Catania (Italy); Galata, A. [INFN-Laboratori Nazionali di Legnaro, Legnaro (Italy); Massimi, C. [University of Bologna, Department of Physics and Astronomy, Bologna (Italy); INFN-Bologna, Bologna (Italy)

    2017-07-15

    PANDORA, Plasmas for Astrophysics, Nuclear Decays Observation and Radiation for Archaeometry, is planned as a new facility based on a state-of-the-art plasma trap confining energetic plasma for performing interdisciplinary research in the fields of Nuclear Astrophysics, Astrophysics, Plasma Physics and Applications in Material Science and Archaeometry: the plasmas become the environment for measuring, for the first time, nuclear decay rates in stellar-like condition (such as {sup 7}Be decay and beta-decay involved in s-process nucleosynthesis), especially as a function of the ionization state of the plasma ions. These studies will give important contributions for addressing several astrophysical issues in both stellar and primordial nucleosynthesis environment (e.g., determination of solar neutrino flux and {sup 7}Li Cosmological Problem), moreover the confined energetic plasma will be a unique light source for high-performance stellar spectroscopy measurements in the visible, UV and X-ray domains, offering advancements in observational astronomy. As to magnetic fields, the experimental validation of theoretical first- and second-order Lande factors will drive the layout of next-generation polarimetric units for the high-resolution spectrograph of the future giant telescopes. In PANDORA new plasma heating methods will be explored, that will push forward the ion beam output, in terms of extracted intensity and charge states. More, advanced and optimized injection methods of ions in an ECR plasma will be experimented, with the aim to optimize its capture efficiency. This will be applied to the ECR-based Charge Breeding technique, that will improve the performances of the SPES ISOL-facility at Laboratori Nazionali di Legnaro-INFN. Finally, PANDORA will be suitable for energy conversion, making the plasma a source of high-intensity electromagnetic radiation, for applications in material science and archaeometry. (orig.)

  14. Status report on ECR stripped ion sources at CEN Grenoble

    International Nuclear Information System (INIS)

    Geller, R.; Jacquot, B.

    1980-12-01

    The MICROMAFIOS source described here is a small-scale version of SUPERMAFIOS; the confinement structure of the magnetic well of the stripper stage results from the superimposition of solenoidal magnetic fields upon a hexapolar magnetic field created by permanent SmCo 5 magnets. This innovation leads to a very compact ion source (approximatly 50 cm in length) which consumes < 100 kW of electric power (30 times less electricity than SUPERMAFIOS) and less than 2 kW of UHF power to create and heat the plasma. In addition, the coupling of the UHF waves to the plasma, has been simplified to the extreme. The incident wave is not polarised and the coupling is done in multimode cavities, whatever their shape. This eliminates the sophisticated technology of the profiled waveguides used in SUPERMAFIOS and the injection of the UHF power is made into the most convenient part of the multimode cavity

  15. Results of measurements of the ion temperature profile of ECR heated plasmas in the L-2M stellarator

    International Nuclear Information System (INIS)

    Voronov, G.S.; Voronova, E.V.; Grebenshchikov, S.E.

    2005-01-01

    After boronization of the vacuum chamber of the L-2M stellarator, the confinement characteristics and the electron temperature profile changed markedly. In this connection, our immediate task was to carry out studies of the behavior of the ion temperature under these conditions. Previous measurements of Ti were performed by analyzing the energy distribution of fast hydrogen ions produced by charge exchange. In recent studies, the ion temperature was determined from Doppler broadening of spectral lines of impurity ions. With the help of a set of mirrors, the plasma radiation was focused on the entrance slit of a VMS-1 monochromator (D/F=1:6.5, F=600 mm, 1200 lines/mm,1.3 nm/mm, 200 - 800 nm). The detector was a CCD plate (1040 1 140 pixels of size 16 1 6 ∝ m) covered in part with an opaque screen. The plasma spectrum produced in the uncovered area was rapidly scanned and copied into the covered region. With this partial exposition method, the rate of recording was successfully increased up to 1000 frames per second. The instrument function of the whole system was 0.04 nm, which corresponds to Ti ∼1 eV for hydrogen and ∼17 eV for boron ions. The plasma ion temperature is considerably higher, so the accuracy of measurements of Ti is limited primarily by a low intensity of signals from the plasma with a low impurity concentration. The results of measurements of the evolution of HeII, BII, and BIV ions temperature during the ECR heating of a helium plasma are shown in the figure. The plasma density in these experiments was ∼2.10 19 m -3 , and the gyrotron pulse power was ∼200 kW. The results of measurements of Ti were compared with the time evolution of the ion temperature calculated by using the TRANSZ code. The latter includes a complete set of neoclassical equations and involves additional anomalous fluxes corresponding to accepted empirical scalings. The calculated values of Ti are in fair agreement with the measured ones

  16. The direct injection of intense ion beams from a high field electron cyclotron resonance ion source into a radio frequency quadrupole.

    Science.gov (United States)

    Rodrigues, G; Becker, R; Hamm, R W; Baskaran, R; Kanjilal, D; Roy, A

    2014-02-01

    The ion current achievable from high intensity ECR sources for highly charged ions is limited by the high space charge. This makes classical extraction systems for the transport and subsequent matching to a radio frequency quadrupole (RFQ) accelerator less efficient. The direct plasma injection (DPI) method developed originally for the laser ion source avoids these problems and uses the combined focusing of the gap between the ion source and the RFQ vanes (or rods) and the focusing of the rf fields from the RFQ penetrating into this gap. For high performance ECR sources that use superconducting solenoids, the stray magnetic field of the source in addition to the DPI scheme provides focusing against the space charge blow-up of the beam. A combined extraction/matching system has been designed for a high performance ECR ion source injecting into an RFQ, allowing a total beam current of 10 mA from the ion source for the production of highly charged (238)U(40+) (1.33 mA) to be injected at an ion source voltage of 60 kV. In this design, the features of IGUN have been used to take into account the rf-focusing of an RFQ channel (without modulation), the electrostatic field between ion source extraction and the RFQ vanes, the magnetic stray field of the ECR superconducting solenoid, and the defocusing space charge of an ion beam. The stray magnetic field is shown to be critical in the case of a matched beam.

  17. The direct injection of intense ion beams from a high field electron cyclotron resonance ion source into a radio frequency quadrupole

    Science.gov (United States)

    Rodrigues, G.; Becker, R.; Hamm, R. W.; Baskaran, R.; Kanjilal, D.; Roy, A.

    2014-02-01

    The ion current achievable from high intensity ECR sources for highly charged ions is limited by the high space charge. This makes classical extraction systems for the transport and subsequent matching to a radio frequency quadrupole (RFQ) accelerator less efficient. The direct plasma injection (DPI) method developed originally for the laser ion source avoids these problems and uses the combined focusing of the gap between the ion source and the RFQ vanes (or rods) and the focusing of the rf fields from the RFQ penetrating into this gap. For high performance ECR sources that use superconducting solenoids, the stray magnetic field of the source in addition to the DPI scheme provides focusing against the space charge blow-up of the beam. A combined extraction/matching system has been designed for a high performance ECR ion source injecting into an RFQ, allowing a total beam current of 10 mA from the ion source for the production of highly charged 238U40+ (1.33 mA) to be injected at an ion source voltage of 60 kV. In this design, the features of IGUN have been used to take into account the rf-focusing of an RFQ channel (without modulation), the electrostatic field between ion source extraction and the RFQ vanes, the magnetic stray field of the ECR superconducting solenoid, and the defocusing space charge of an ion beam. The stray magnetic field is shown to be critical in the case of a matched beam.

  18. Electron cyclotron resonance hydrogen/helium plasma characterization and simulation of pumping in tokamaks

    International Nuclear Information System (INIS)

    Outten, C.A.

    1992-01-01

    Electron Cyclotron Resonance (ECR) plasmas have been employed to simulate the plasma conditions at the edge of a tokamak in order to investigate hydrogen/helium uptake in thin metal films. The process of microwave power absorption, important to characterizing the ECR plasma source, was investigated by measuring the electron density and temperature with a Langmuir probe and optical spectroscopy as a function of the magnetic field gradient and incident microwave power. A novel diagnostic, carbon resistance probe, provided a direct measure of the ion energy and fluence while measurements from a Langmuir probe were used for comparison. The Langmuir probe gave a plasma potential minus floating potential of 30 ± 5 eV, in good agreement with the carbon resistance probe result of ion energy ≤ 40 eV. The measured ion energy was consistent with the ion energy predicted from a model based upon divergent magnetic field extraction. Also, based upon physical sputtering of the carbon, the hydrogen fluence rate was determined to be 1 x 10 16 /cm 2 -sec for 50 Watts of incident microwave power. ECR hydrogen/helium plasmas were used to study preferential pumping of helium in candidate materials for tokamak pump-limiters: nickel, vanadium, aluminum, and nickel/aluminum multi-layers. Nickel and vanadium exhibited similar pumping capacities whereas aluminum showed a reduced capacity due to increased sputtering. A helium retention model based upon ion implantation ranges and sputtering rates agreed with the experimental data. A new multilayer/bilayer pumping concept showed improved pumping above that for single element films

  19. Emittance study of a 28 GHz electron cyclotron resonance ion source for the Rare Isotope Science Project superconducting linear accelerator.

    Science.gov (United States)

    Park, Bum-Sik; Hong, In-Seok; Jang, Ji-Ho; Jin, Hyunchang; Choi, Sukjin; Kim, Yonghwan

    2016-02-01

    A 28 GHz electron cyclotron resonance (ECR) ion source is being developed for use as an injector for the superconducting linear accelerator of the Rare Isotope Science Project. Beam extraction from the ECR ion source has been simulated using the KOBRA3-INP software. The simulation software can calculate charged particle trajectories in three dimensional complex magnetic field structures, which in this case are formed by the arrangement of five superconducting magnets. In this study, the beam emittance is simulated to understand the effects of plasma potential, mass-to-charge ratio, and spatial distribution. The results of these simulations and their comparison to experimental results are presented in this paper.

  20. Efficient Consumer Response (ECR: a survey of the Australian grocery industry

    Directory of Open Access Journals (Sweden)

    Paula Swatman

    1998-05-01

    Full Text Available Efficient consumer response (ECR is a U.S. supply chain management strategy which attempts to address the inefficiencies which have led to excessive inventory and unnecessary costs at all levels within the grocery industry supply chain. This paper discusses the traditional grocery store format, the supermarket, and the ways in which inefficient business practices developed in the U.S. grocery supply chain; and discusses the major business activities needed for successful implementation of ECR. The paper then presents a brief summary of the results of a survey of ECR knowledge and usage within the Australian grocery industry, which is the initial phase of a long term research project whose main purpose is to evaluate ECR as it applies to that industry.

  1. Adaptation of metal arc plasma source to plasma source ion implantation

    International Nuclear Information System (INIS)

    Shamim, M.M.; Fetherston, R.P.; Conrad, J.R.

    1995-01-01

    In Plasma Source Ion Implantation (PSII) a target is immersed in a plasma and a train of high negative voltage pulses is applied to accelerate ions into the target and to modify the properties in the near surface region. In PSII, until now the authors have been using gaseous species to generate plasmas. However metal ion plasma may be used to modify the surface properties of material for industrial applications. Conventionally the ion implantation of metal ions is performed using beam line accelerators which have complex engineering and high cost. The employment of a metal arc source to PSII has tremendous potential due to its ability to process the conformal surfaces, simple engineering and cost effectiveness. They have installed metal arc source for generation of titanium plasma. Currently, they are investigating the properties of titanium plasma and material behavior of titanium implanted aluminum and 52100 steel. The recent results of this investigation are presented

  2. 3D-full wave and kinetics numerical modelling of electron cyclotron resonance ion sources plasma: steps towards self-consistency

    International Nuclear Information System (INIS)

    Mascali, D.; Neri, L.; Castro, G.; Celona, L.; Gammino, S.; Torrisi, G.; Sorbello, G.

    2015-01-01

    Electron Cyclotron Resonance (ECR) ion Sources are the most performing machines for the production of intense beams of multi-charged ions in fundamental science, applied physics and industry. Investigation of plasma dynamics in ECRIS still remains a challenge. A better comprehension of electron heating, ionization and diffusion processes, ion confinement and ion beam formation is mandatory in order to increase ECRIS performances both in terms of output beams currents, charge states, beam quality (emittance minimization, beam halos suppression, etc.). Numerical solution of Vlasov equation via kinetic codes coupled to FEM solvers is ongoing at INFN-LNS, based on a PIC strategy. Preliminary results of the modeling will be shown about wave-plasma interaction and electron-ion confinement: the obtained results are very helpful to better understand the influence of the different parameters (especially RF frequency and power) on the ion beam formation mechanism. The most important clues coming out from the simulations are that although vacuum field RF field distribution (that is a cavity, modal field distribution) is perturbed by the plasma medium, the non-uniformity in the electric field amplitude still persists in the plasma filled cavity. This non-uniformity can be correlated with non-uniform plasma distribution, explaining a number of experimental observations

  3. Status report on ECR stripped ion sources at CEN Grenoble

    International Nuclear Information System (INIS)

    Geller, R.; Jacquot, B.

    1981-01-01

    MICROMAFIOS is a small-scale version of SUPERMAFIOS (Briand et al. 1979, Geller et al. 1980); the confinement structure of the magnetic well of the stripper stage results from the superimposition of solenoidal magnetic field upon an hexapolar magnetic field created by permanent SmCo 5 magnets. This innovation leads to a very compact ion source (approx. 50 cm in length) which consumes < 100 kW of electric power (30 times less electricity than SUPERMAFIOS) and less than 2 kW of UHF power to create and heat the plasma. In addition, the coupling of the UHF waves to the plasma, has been simplified to the extreme. The incident wave is not polarised and the coupling is done in multimode cavities, whatever their shape. This eliminates the sophisticated technology of the profiled waveguides used in SUPERMAFIOS and the injection of the UHF power is made into the most convenient part of the multimode cavity. Thus, one does not take into consideration the theoretical anisotropic propagation of the waves in the magnetised plasma which presents preferential directions depending upon the polarisation modes of the wave and upon the dielectric coefficient of the plasma. (orig./FKS)

  4. The direct injection of intense ion beams from a high field electron cyclotron resonance ion source into a radio frequency quadrupole

    Energy Technology Data Exchange (ETDEWEB)

    Rodrigues, G., E-mail: gerosro@gmail.com; Kanjilal, D.; Roy, A. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi (India); Becker, R. [Institut fur Angewandte Physik der Universitaet, D-60054 Frankfurt/M (Germany); Hamm, R. W. [R and M Technical Enterprises, Inc., 4725 Arlene Place, Pleasanton, California 94566 (United States); Baskaran, R. [Indira Gandhi Centre for Atomic Research, Kalpakkam, Tamilnadu (India)

    2014-02-15

    The ion current achievable from high intensity ECR sources for highly charged ions is limited by the high space charge. This makes classical extraction systems for the transport and subsequent matching to a radio frequency quadrupole (RFQ) accelerator less efficient. The direct plasma injection (DPI) method developed originally for the laser ion source avoids these problems and uses the combined focusing of the gap between the ion source and the RFQ vanes (or rods) and the focusing of the rf fields from the RFQ penetrating into this gap. For high performance ECR sources that use superconducting solenoids, the stray magnetic field of the source in addition to the DPI scheme provides focusing against the space charge blow-up of the beam. A combined extraction/matching system has been designed for a high performance ECR ion source injecting into an RFQ, allowing a total beam current of 10 mA from the ion source for the production of highly charged {sup 238}U{sup 40+} (1.33 mA) to be injected at an ion source voltage of 60 kV. In this design, the features of IGUN have been used to take into account the rf-focusing of an RFQ channel (without modulation), the electrostatic field between ion source extraction and the RFQ vanes, the magnetic stray field of the ECR superconducting solenoid, and the defocusing space charge of an ion beam. The stray magnetic field is shown to be critical in the case of a matched beam.

  5. Microwave Excitation In ECRIS plasmas

    International Nuclear Information System (INIS)

    Ciavola, G.; Celona, L.; Consoli, F.; Gammino, S.; Maimone, F.; Barbarino, S.; Catalano, R. S.; Mascali, D.; Tumino, L.

    2007-01-01

    A number of phenomena related to the electron cyclotron resonance ion sources (ECRIS) has been better understood recently by means of the improvement of comprehension of the coupling mechanism between microwave generators and ECR plasma. In particular, the two frequency heating and the frequency tuning effect, that permit a remarkable increase of the current for the highest charge states ions, can be explained in terms of modes excitation in the cylindrical cavity of the plasma chamber. Calculations based on this theoretical approach have been performed, and the major results will be presented. It will be shown that the electric field pattern completely changes for a few MHz frequency variations and the changes in ECRIS performances can be correlated to the efficiency of the power transfer between electromagnetic field and plasma

  6. [Developing the Japanese version of the Adult Attachment Style Scale (ECR)].

    Science.gov (United States)

    Nakao, Tatsuma; Kato, Kazuo

    2004-06-01

    This study attempted to adapt into Japanese the Adult Attachment Style Scale (ECR: Experiences in Close Relationships inventory) that was constructed by Brennan, Clark, and Shaver (1998), based on 14 existing scales. Of 387 respondents, 231 who reported having been or are currently involved in romantic relationships were employed for final analysis. We examined validities of the Japanese version of ECR in the two ways: (1) Examining the correlations between "Anxiety" and Self-esteem scale by Rosenberg (1965) which were theoretically related to Self-view, and the correlations between "Avoidance" and Other-view scale by Kato (1999b) which were theoretically related to Other-view; (2) whether or not ECR represents the features of four attachment styles as classified by Relationship Questionnaire (RQ; Bartholomew & Horowitz, 1991). The results supported our expectations. This Japanese version of ECR was demonstrated to have adequate psychometric properties in validity and reliability.

  7. Fast camera studies at an electron cyclotron resonance table plasma generator.

    Science.gov (United States)

    Rácz, R; Biri, S; Hajdu, P; Pálinkás, J

    2014-02-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the "big" ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  8. Fast camera studies at an electron cyclotron resonance table plasma generator

    International Nuclear Information System (INIS)

    Rácz, R.; Biri, S.; Hajdu, P.; Pálinkás, J.

    2014-01-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper

  9. Fast camera studies at an electron cyclotron resonance table plasma generator

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary); Biri, S. [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Hajdu, P.; Pálinkás, J. [Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary)

    2014-02-15

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  10. Effect of a pulsating electric field on ECR heating in the CERA-RX(C) X-ray generator

    Energy Technology Data Exchange (ETDEWEB)

    Balmashnov, A. A., E-mail: abalmashnov@sci.pfu.edu.ru; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M., E-mail: anumnov@yandex.ru [Peoples’ Friendship University of Russia (Russian Federation)

    2016-03-15

    3D particle-in-cell plasma simulations for the field configurations implemented in the CERA-RX(C) ECR X-ray generator (2.45 GHz) have been conducted. Dependences of the energy spectra of electrons incident on the target electrode on the amplitude and frequency of pulsations of the electric field in a megahertz range are derived. The simulation data are compared with the results of the full-scale experiment.

  11. Characteristics of electron cyclotron waves creating field-aligned and transverse plasma-potential structures

    International Nuclear Information System (INIS)

    Takahashi, K; Kaneko, T; Hatakeyama, R; Fukuyama, A

    2009-01-01

    Characteristics of electromagnetic waves of azimuthal mode number m = ±1 are investigated experimentally, analytically and numerically when the waves triggering the field-aligned and transverse plasma-potential structure modification near an electron cyclotron resonance (ECR) point are injected into an inhomogeneously magnetized plasma with high-speed ion flow. The waves of m = +1 and -1 modes generate an electric double layer near the ECR point at the radially central and peripheral areas of the plasma column, respectively, and the transverse electric fields are consequently formed. At these areas the waves have a right-handed polarization and are absorbed through the ECR mechanism, where the experimental and analytical results do show the polarization reversal along the radial axis. The numerical results by plasma analysis by finite element method (FEM)/wave analysis by FEM (PAF/WF) code show that the wave-absorption area is localized at the radially central and peripheral areas for m = +1 and -1 mode waves, respectively, being consistent with the experimental and analytical ones.

  12. Study of potential applications of compact ECRIS to analytical system

    International Nuclear Information System (INIS)

    Kidera, M.; Takahashi, K.; Seto, Y.; Kishi, S.; Enomoto, S.; Nagamatsu, T.; Tanaka, T.

    2012-01-01

    The objective of this study is to develop a desktop-sized system of element mass analysis (element analysis system) with a compact electron cyclotron resonance (ECR) ion source in the ionization section. This system is different from other element analysis systems in terms of the effective use of ionization by ECR plasma. A compact ECR ion source is required to fit in the desktop-sized element analysis system. This paper reporting the development of the compact ECR ion source, is followed by the associated poster. (authors)

  13. A new large-scale plasma source with plasma cathode

    International Nuclear Information System (INIS)

    Yamauchi, K.; Hirokawa, K.; Suzuki, H.; Satake, T.

    1996-01-01

    A new large-scale plasma source (200 mm diameter) with a plasma cathode has been investigated. The plasma has a good spatial uniformity, operates at low electron temperature, and is highly ionized under relatively low gas pressure of about 10 -4 Torr. The plasma source consists of a plasma chamber and a plasma cathode generator. The plasma chamber has an anode which is 200 mm in diameter, 150 mm in length, is made of 304 stainless steel, and acts as a plasma expansion cup. A filament-cathode-like plasma ''plasma cathode'' is placed on the central axis of this source. To improve the plasma spatial uniformity in the plasma chamber, a disk-shaped, floating electrode is placed between the plasma chamber and the plasma cathode. The 200 mm diameter plasma is measure by using Langmuir probes. As a result, the discharge voltage is relatively low (30-120 V), the plasma space potential is almost equal to the discharge voltage and can be easily controlled, the electron temperature is several electron volts, the plasma density is about 10 10 cm -3 , and the plasma density is about 10% variance in over a 100 mm diameter. (Author)

  14. Microwave plasma for materials treatment; Plasmas de microondas para tratamiento de materiales

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E.; Garcia, J.L.; Muhl, S.; Alvarez F, O.; Chavez C, J. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1997-07-01

    The microwave discharges of the Electron Cyclotron Resonance (Ecr) type are capable to generate plasma with relatively high ionization coefficients which can vary between 1 and 10 % also they are realized in low pressures at 10 {sup -4} Torr. order generating at this time high concentrations of neutral excited chemical species which result in that the chemical processes can be realized with much greater velocity as in another systems. In this work it was studied and characterized a microwave discharge type Ecr using for this electric probes and optical emission spectroscopy. The characterization was carried out with the purpose of optimizing the plasma parameters and to establish a control over the same one doing so that the experiments have a greater reproducibility and a major work efficiency. (Author)

  15. Advanced light ion source extraction system for a new electron cyclotron resonance ion source geometry at Saclay

    Energy Technology Data Exchange (ETDEWEB)

    Delferriere, O.; Gobin, R.; Harrault, F.; Nyckees, S.; Sauce, Y.; Tuske, O. [Commissariat a l' Energie Atomique, CEA/Saclay, DSM/IRFU, 91191 Gif/Yvette (France)

    2012-02-15

    One of the main goal of intense light ion injector projects such as IPHI, IFMIF, or SPIRAL2, is to produce high current beams while keeping transverse emittance as low as possible. To prevent emittance growth induced in a dual solenoid low energy transfer line, its length has to be minimized. This can be performed with the advanced light ion source extraction system concept that we are developing: a new ECR 2.45 GHz type ion source based on the use of an additional low energy beam transport (LEBT) short length solenoid close to the extraction aperture to create the resonance in the plasma chamber. The geometry of the source has been considerably modified to allow easy maintenance of each component and to save space in front of the extraction. The source aims to be very flexible and to be able to extract high current ion beams at energy up to 100 kV. A specific experimental setup for this source is under installation on the BETSI test bench, to compare its performances with sources developed up to now in the laboratory, such as SILHI, IFMIF, or SPIRAL2 ECR sources. This original extraction source concept is presented, as well as electromagnetic simulations with OPERA-2D code. Ion beam extraction in space charge compensation regime with AXCEL, and beam dynamics simulation with SOLMAXP codes show the beam quality improvement at the end of the LEBT.

  16. 21 CFR 640.60 - Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Source Plasma. 640.60 Section 640.60 Food and... ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.60 Source Plasma. The proper name of the product shall be Source Plasma. The product is defined as the fluid portion of human blood...

  17. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Science.gov (United States)

    Rauner, D.; Kurutz, U.; Fantz, U.

    2015-04-01

    As the negative hydrogen ion density nH- is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H- is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H- is produced in the plasma volume, laser photodetachment is applied as the standard method to measure nH-. The additional application of CRDS provides the possibility to directly obtain absolute values of nH-, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H- production and destruction processes. The modelled densities are adapted to the absolute measurements of nH- via CRDS, allowing to identify collisions of H- with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H- in the plasma volume at HOMER. Furthermore, the characteristic peak of nH- observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as ne determines the volume production rate via dissociative electron attachment to vibrationally excited hydrogen molecules.

  18. Preliminary experiment of non-induced plasma current startup on SUNIST spherical tokamak

    International Nuclear Information System (INIS)

    He Yexi; Zhang Liang; Xie Lifeng; Tang Yi; Yang Xuanzong; Fu Hongjun

    2005-01-01

    Non-inductive plasma current startup is an important motivation on the SUNIST spherical tokamak. In this experiment, a 100 kW, 2.45 GHz magnetron microwave system has been applied to the plasma current startup. Besides the toroidal field, a vertical field was applied to generate a preliminary toroidal plasma current without action of the central solenoid. As the evidence of the plasma current startup by the vertical field drift effect, the direction of the plasma current is changed with the changing direction of the vertical field during ECR startup discharge. We have also observed the plasma current maximum by scanning the vertical field in both directions. Additionally, we have used electrode discharge to assist the ECR current startup. (author)

  19. 10 GHz 2ωce heavy ion source CAPRICE

    International Nuclear Information System (INIS)

    Jacquot, B.; Briand, P.; Bourg, F.; Geller, R.

    1988-01-01

    By using a new 0.8 T sextupole, the second step of metamorphosis of the ECR magnetic structure of the CAPRICE source is achieved. The 2ω ce surface is now extended everywhere in the second stage except the extraction part. Thus, a strong flux of deconfined plasma is available at the extraction area and therefore high currents of high charge state ions are extracted. Optimum rf power is increased by a factor of 3 with respect to the single ω ce profile and currents of high charge state ions are increased by a factor of 10. The optimum performance for all gases and all solid elements is shown. The third step of the metamorphosis is planned for next year to achieve and work with two complete ECR surfaces. Future developments are also expected. (orig./HSI)

  20. Numerical simulations of gas mixing effect in electron cyclotron resonance ion sources

    Directory of Open Access Journals (Sweden)

    V. Mironov

    2017-01-01

    Full Text Available The particle-in-cell Monte Carlo collisions code nam-ecris is used to simulate the electron cyclotron resonance ion source (ECRIS plasma sustained in a mixture of Kr with O_{2}, N_{2}, Ar, Ne, and He. The model assumes that ions are electrostatically confined in the ECR zone by a dip in the plasma potential. A gain in the extracted krypton ion currents is seen for the highest charge states; the gain is maximized when oxygen is used as a mixing gas. The special feature of oxygen is that most of the singly charged oxygen ions are produced after the dissociative ionization of oxygen molecules with a large kinetic energy release of around 5 eV per ion. The increased loss rate of energetic lowly charged ions of the mixing element requires a building up of the retarding potential barrier close to the ECR surface to equilibrate electron and ion losses out of the plasma. In the mixed plasmas, the barrier value is large (∼1  V compared to pure Kr plasma (∼0.01  V, with longer confinement times of krypton ions and with much higher ion temperatures. The temperature of the krypton ions is increased because of extra heating by the energetic oxygen ions and a longer time of ion confinement. In calculations, a drop of the highly charged ion currents of lighter elements is observed when adding small fluxes of krypton into the source. This drop is caused by the accumulation of the krypton ions inside plasma, which decreases the electron and ion confinement times.

  1. Electron temperature profiles in axial field 2.45 GHz ECR ion source with a ceramic chamber

    Science.gov (United States)

    Abe, K.; Tamura, R.; Kasuya, T.; Wada, M.

    2017-08-01

    An array of electrostatic probes was arranged on the plasma electrode of a 2.45 GHz microwave driven axial magnetic filter field type negative hydrogen (H-) ion source to clarify the spatial plasma distribution near the electrode. The measured spatial distribution of electron temperature indicated the lower temperature near the extraction hole of the plasma electrode corresponding to the effectiveness of the axial magnetic filter field geometry. When the ratio of electron saturation current to the ion saturation current was plotted as a function of position, the obtained distribution showed a higher ratio near the hydrogen gas inlet through which ground state hydrogen molecules are injected into the source. Though the efficiency in producing H- ions is smaller for a 2.45 GHz source than a source operated at 14 GHz, it gives more volume to measure spatial distributions of various plasma parameters to understand fundamental processes that are influential on H- production in this type of ion sources.

  2. Experimental study and simulation of the extraction conditions of a multicharged ion beam from an electron cyclotron resonance source

    International Nuclear Information System (INIS)

    Mandin, J.

    1996-01-01

    This thesis concerns the beam extraction studies of ECR Ion Sources for the SPIRAL project at GANIL (France). The optical properties (i.e. the emittances) of the radioactive ion beam production source is a crucial point in this project. We performed emittance measurements with a very high transport efficiency and developed a computer code for simulating the extraction and transport conditions. This simulation takes into account all the parameters acting on the extraction process: the characteristics of the ions and electrons emitted by the plasma, their space and energy distributions, the space charge, the magnetic filed of the source and the accelerating electric field. We explained the evolution of the emittances for two different types of ECR Ion Source. The simulation-experiment comparison showed us that the magnetic field and the intrinsic energy of the ions seem to be the most important parameters for explaining the overall emittance behaviour of the ECRIS. We precise their values and comment them. (author)

  3. Fast mega pixels video imaging of a toroidal plasma in KT5D device

    International Nuclear Information System (INIS)

    Xu Min; Wang Zhijiang; Lu Ronghua; Sun Xiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu Wandong; Wang Jun; Xiao Delong; Yu Yi; Zhu Zhenghua; Hu Linyin

    2005-01-01

    A direct imaging system, viewing visible light emission from plasmas tangentially or perpendicularly, has been set up on the KT5D toroidal device to monitor the real two-dimensional profiles of purely ECR generated plasmas. This system has a typical spatial resolution of 0.2 mm (1280x1024 pixels) when imaging the whole cross section. Interesting features of ECR plasmas have been found. Different from what classical theories have expected, a resonance layer with two or three bright spots, rather than an even vertical band, has been observed. In addition, images also indicate an intermittent splitting and drifting character of the plasmas

  4. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  5. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  6. Studies on fundamental technologies for producing tokamak-plasma

    International Nuclear Information System (INIS)

    Matsuzaki, Yoshimi

    1987-10-01

    The report describes studies on fundamental technologies to produce tokamak-plasma of the JFT-2 and JFT-2M tokamaks. (1) In order to measure the particle number of residual gases, calibration methods of vacuum gauges have been developed. (2) Devices for a Taylor-type discharge cleaning (TDC), a glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) have been made and the cleaning effects have been investigated. In TDC the most effective plasma for cleaning is obtained in the plasma with 5 eV of electron temperature. GDC is effective in removing carbon impurities, but is less effective for removing oxygen impurities. ECR-DC has nearly the similar effect as TDC. The cleaning effect of these three types were studied by comparing the properties of resulting tokamak plasmas in the JFT-2M tokamak. (3) Experimental studies of pre-ionization showed as following results; A simple pre-ionization equipment as a hot-electron-gun and a J x B gun was effective in reducing breakdown voltage. An ordinary mode wave of the electron cyclotron frequency was very effective for pre-ionization. The RF power whose density is 3.6 x 10 -2 W/cm 3 produced plasma of an electron density of 5 x 10 11 cm -3 . In this case, it is possible to start up with negligible consumption of the magnetic flux caused by the plasma resistance. (4) Concerning to studies on plasma control, the following results were obtained; In order to obtain constant plasma current, a pulse forming network was constructed and sufficient constant plasma current was achieved. In applying an iso-flux method for measuring the plasma position, it is no problem practically to use only one loop-coil and one magnetic probe. (author)

  7. Status report on electron cyclotron resonance ion sources at the Heavy Ion Medical Accelerator in Chiba

    CERN Document Server

    Kitagawa, A; Sekiguchi, M; Yamada, S; Jincho, K; Okada, T; Yamamoto, M; Hattori, T G; Biri, S; Baskaran, R; Sakata, T; Sawada, K; Uno, K

    2000-01-01

    The Heavy Ion Medical Accelerator in Chiba at the National Institute of Radiological Sciences (NIRS) is not only dedicated to cancer therapy, it is also utilized with various ion species for basic experiments of biomedical science, physics, chemistry, etc. Two electron cyclotron resonance (ECR) ion sources are installed for production of gaseous ions. One of them, the NIRS-ECR, is a 10 GHz ECR ion source, and is mainly operated to produce C/sup 4+/ ions for daily clinical treatment. This source realizes good reproducibility and reliability and it is easily operated. The other source, the NIRS-HEC, is an 18 GHz ECR ion source that is expected to produce heavier ion species. The output ion currents of the NIRS-ECR and the NIRS-HEC are 430e mu A for C/sup 4+/ and 1.1e mA for Ar/sup 8+/, respectively. (14 refs).

  8. Design of the accelerator stand with the ecr-source for simulation experiments on the of radiation damage of the steels of the nuclear industry

    International Nuclear Information System (INIS)

    Chalykh, B.B.; Kuybeda, R.P.; Kulevoy, T.V.; Ziyatdinova, A.V.

    2014-01-01

    The imitation experiments of irradiation resistivity for steels used in nuclear industry by heavy ion beams are promising demand for material properties investigation. The formation of the defects on the real-existing reactors is fraught with many difficulties such as a long-term session of exposure and induced radioactivity in samples. Simulation of radiation defects on accelerators of charged particles does not have such a drawback. To form radiation defects in matter, it is necessary to have beams of various ions with different energy. The concept of the test bench based on a ECR heavy-ion source for the imitation experiments of the materials resistance under irradiation is presented and discussed.

  9. Field-aligned plasma-potential structure formed by local electron cyclotron resonance

    International Nuclear Information System (INIS)

    Hatakeyama, Rikizo; Kaneko, Toshiro; Sato, Noriyoshi

    2001-01-01

    The significance of basic experiments on field-aligned plasma-potential structure formed by local electron cyclotron resonance (ECR) is claimed based on the historical development of the investigation on electric double layer and electrostatic potential confinement of open-ended fusion-oriented plasmas. In the presence of a single ECR point in simple mirror-type configurations of magnetic field, a potential dip (thermal barrier) appears around this point, being followed by a subsequent potential hump (plug potential) along a collisionless plasma flow. The observed phenomenon gives a clear-cut physics to the formation of field-aligned plug potential with thermal barrier, which is closely related to the double layer formation triggered by a negative dip. (author)

  10. Preliminary Tests Of The Decris-sc Ion Source

    CERN Document Server

    Efremov, A; Bechterev, V; Bogomolov, S L; Bondarenko, P G; Datskov, V I; Dmitriev, S; Drobin, V; Lebedev, A; Leporis, M; Malinowski, H; Nikiforov, A; Paschenko, S V; Seleznev, V; Shishov, Yu A; Smirnov, Yu; Tsvineva, G; Yakovlev, B; Yazvitsky, N Yu

    2004-01-01

    A new "liquid He-free" superconducting Electron Cyclotron Resonance Ion Source DECRIS-SC, to be used as injector for the IC-100 small cyclotron, has been designed by FLNR and LHE JINR. The main feature is that a compact refrigerator of Gifford-McMahon type is used to cool the solenoid coils. For the reason of very small cooling power at 4.2 K (about 1 W) our efforts were to optimize the magnetic structure and minimize an external heating of the coils. The maximum magnetic field strength is 3 T and 2 T in injection and extraction region respectively. For the radial plasma confinement a hexapole made of NdFeB permanent magnet is used. The source will be capable of ECR plasma heating using different frequencies (14 GHz or 18 GHz). To be able to deliver usable intensities of solids, the design is also allow axial access for evaporation oven and metal samples using the plasma sputtering technique. Very preliminary results of the source test are presented.

  11. Plasma accumulation in the HF supplemented mirror trap

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovaniksky, K.S.; Karyaka, V.I.

    1975-01-01

    The confinement of plasma bunches in the mirror trap with the combined barrier at ECR is experimentally studied. Under fulfilment of the phase autofocusing condition the reflection of electrons by the combined barrier is quasiadiabatic and plasma losses are determined by perpendicular diffusion. (Auth.)

  12. Development and application of helicon plasma sources. Evolution of extensive plasma science

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro

    2009-01-01

    Recent advances in plasma science are remarkable, and are deeply indebted to the development of sophisticated plasma sources. While numerous methods have been proposed for producing the plasma, helicon plasma sources, capable of generating high density (>10 13 cm -3 ) plasma with high ionization degree (>several ten percent) over a wide range of external control parameters, have been utilized in such broad areas as fundamental and processing plasmas, nuclear fusion, gas laser, modeling of space plasma, plasma acceleration/propulsion, among others. On the other hand, a number of important issues are left unsolved, in particular, those relevant to the wave phenomena and efficient plasma production. Solution to these issues are expected to play key roles in taking full advantage of the helicon plasma sources in the next generation. In this article, we overview our current understanding of the helicon plasma production and recent development of characteristic helicon plasma sources, and discuss possible future advancement of extensive plasma science utilizing them. (author)

  13. A mode converter to generate a Gaussian-like mode for injection into the VENUS electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Lyneis, C., E-mail: CMLyneis@lbl.gov; Benitez, J.; Hodgkinson, A.; Strohmeier, M.; Todd, D. [Nuclear Science Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Plaum, B. [Institut für Grenzflächenverfahrenstechnik und Plasmatechnologie (IGVP), Stuttgart (Germany); Thuillier, T. [Laboratoire de Physique Subatomique et de Cosmologie, Université Joseph Fourier Grenoble 1, CNRS/IN2P3, Institut Polytechnique de Grenoble, 53 rue des martyrs 38026 Grenoble cedex (France)

    2014-02-15

    A number of superconducting electron cyclotron resonance (ECR) ion sources use gyrotrons at either 24 or 28 GHz for ECR heating. In these systems, the microwave power is launched into the plasma using the TE{sub 01} circular waveguide mode. This is fundamentally different and may be less efficient than the typical rectangular, linearly polarized TE{sub 10} mode used for launching waves at lower frequencies. To improve the 28 GHz microwave coupling in VENUS, a TE{sub 01}-HE{sub 11} mode conversion system has been built to test launching HE{sub 11} microwave power into the plasma chamber. The HE{sub 11} mode is a quasi-Gaussian, linearly polarized mode, which should couple strongly to the plasma electrons. The mode conversion is done in two steps. First, a 0.66 m long “snake” converts the TE{sub 01} mode to the TE{sub 11} mode. Second, a corrugated circular waveguide excites the HE{sub 11} mode, which is launched directly into the plasma chamber. The design concept draws on the development of similar devices used in tokamaks and stellerators. The first tests of the new coupling system are described below.

  14. Ion source with plasma cathode

    International Nuclear Information System (INIS)

    Yabe, E.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma is convergent, i.e., filamentlike; in zero magnetic field, it turns divergent and spraylike. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 h with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is also eminently suitable for use in oxygen ion production

  15. Intense beam production of highly charged heavy ions by the superconducting electron cyclotron resonance ion source SECRAL.

    Science.gov (United States)

    Zhao, H W; Sun, L T; Zhang, X Z; Guo, X H; Cao, Y; Lu, W; Zhang, Z M; Yuan, P; Song, M T; Zhao, H Y; Jin, T; Shang, Y; Zhan, W L; Wei, B W; Xie, D Z

    2008-02-01

    There has been increasing demand to provide higher beam intensity and high enough beam energy for heavy ion accelerator and some other applications, which has driven electron cyclotron resonance (ECR) ion source to produce higher charge state ions with higher beam intensity. One of development trends for highly charged ECR ion source is to build new generation ECR sources by utilization of superconducting magnet technology. SECRAL (superconducting ECR ion source with advanced design in Lanzhou) was successfully built to produce intense beams of highly charged ion for Heavy Ion Research Facility in Lanzhou (HIRFL). The ion source has been optimized to be operated at 28 GHz for its maximum performance. The superconducting magnet confinement configuration of the ion source consists of three axial solenoid coils and six sextupole coils with a cold iron structure as field booster and clamping. An innovative design of SECRAL is that the three axial solenoid coils are located inside of the sextupole bore in order to reduce the interaction forces between the sextupole coils and the solenoid coils. For 28 GHz operation, the magnet assembly can produce peak mirror fields on axis of 3.6 T at injection, 2.2 T at extraction, and a radial sextupole field of 2.0 T at plasma chamber wall. During the commissioning phase at 18 GHz with a stainless steel chamber, tests with various gases and some metals have been conducted with microwave power less than 3.5 kW by two 18 GHz rf generators. It demonstrates the performance is very promising. Some record ion beam intensities have been produced, for instance, 810 e microA of O(7+), 505 e microA of Xe(20+), 306 e microA of Xe(27+), and so on. The effect of the magnetic field configuration on the ion source performance has been studied experimentally. SECRAL has been put into operation to provide highly charged ion beams for HIRFL facility since May 2007.

  16. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Energy Technology Data Exchange (ETDEWEB)

    Rauner, D.; Kurutz, U.; Fantz, U. [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); AG Experimentelle Plasmaphysik, Universität Augsburg, 86135 Augsburg (Germany)

    2015-04-08

    As the negative hydrogen ion density n{sub H{sup −}} is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H{sup −} is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H{sup −} is produced in the plasma volume, laser photodetachment is applied as the standard method to measure n{sub H{sup −}}. The additional application of CRDS provides the possibility to directly obtain absolute values of n{sub H{sup −}}, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H{sup −} production and destruction processes. The modelled densities are adapted to the absolute measurements of n{sub H{sup −}} via CRDS, allowing to identify collisions of H{sup −} with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H{sup −} in the plasma volume at HOMER. Furthermore, the characteristic peak of n{sub H{sup −}} observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as n{sub e} determines

  17. Measuring the Plasma Density of a Ferroelectric Plasma Source in an Expanding Plasma

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2003-01-01

    The initial density and electron temperature at the surface of a ferroelectric plasma source were deduced from floating probe measurements in an expanding plasma. The method exploits negative charging of the floating probe capacitance by fast flows before the expanding plasma reaches the probe. The temporal profiles of the plasma density can be obtained from the voltage traces of the discharge of the charged probe capacitance by the ion current from the expanding plasma. The temporal profiles of the plasma density, at two different distances from the surface of the ferroelectric plasma source, could be further fitted by using the density profiles for the expanding plasma. This gives the initial values of the plasma density and electron temperature at the surface. The method could be useful for any pulsed discharge, which is accompanied by considerable electromagnetic noise, if the initial plasma parameters might be deduced from measurements in expanding plasma

  18. Development of 14.5 GHz electron cyclotron resonance ion source at KAERI

    International Nuclear Information System (INIS)

    Byung-Hoon, Oh; Sang-Ryul, In; Kwang-Won, Lee; Chang Seog, Seo; Jung-Tae, Jin; Dae-Sik, Chang; Seong Ho, Jeong; Chul-Kew Hwang

    2012-01-01

    A 14.5 GHz ECRIS has been designed and fabricated at KAERI (Korea Atomic Energy Research Institute) to produce multi-charged ion beam (especially for C 6+ ion beam) for medical application. The magnet system has copper conductor solenoid coils and a permanent magnet hexapole. A welded tube with aluminium and stainless steel is used for an ECR plasma chamber to improve the production of secondary electron. A klystron supplies microwave energy to the plasma. A movable beam extractor with 8 mm aperture covers different species and different charge numbers of the beam. Fabrication and initial experimental results on ECR plasma are discussed in this paper. The paper is followed by the slides of the presentation. (authors)

  19. Korean plasma-material interaction researches/facilities

    International Nuclear Information System (INIS)

    Chung, K.-S.; Woo, H.-J.; Cho, S.-G.

    2013-01-01

    Various PMI facilities have been developed recently in Korea, such as DiPS, MP2, ECR plasma, a segmented plasma torch system, e-beam accelerator, and the TReD (Transport and Removal experiment of Dust) device. In this paper, these devices are briefly to be explained in terms of objective and specifications along with initial experimental results. (J.P.N.)

  20. On plasma ion beam formation in the Advanced Plasma Source

    International Nuclear Information System (INIS)

    Harhausen, J; Foest, R; Hannemann, M; Ohl, A; Brinkmann, R P; Schröder, B

    2012-01-01

    The Advanced Plasma Source (APS) is employed for plasma ion-assisted deposition (PIAD) of optical coatings. The APS is a hot cathode dc glow discharge which emits a plasma ion beam to the deposition chamber at high vacuum (p ≲ 2 × 10 −4 mbar). It is established as an industrial tool but to date no detailed information is available on plasma parameters in the process chamber. As a consequence, the details of the generation of the plasma ion beam and the reasons for variations of the properties of the deposited films are barely understood. In this paper the results obtained from Langmuir probe and retarding field energy analyzer diagnostics operated in the plasma plume of the APS are presented, where the source was operated with argon. With increasing distance to the source exit the electron density (n e ) is found to drop by two orders of magnitude and the effective electron temperature (T e,eff ) drops by a factor of five. The parameters close to the source region read n e ≳ 10 11 cm −3 and T e,eff ≳ 10 eV. The electron distribution function exhibits a concave shape and can be described in the framework of the non-local approximation. It is revealed that an energetic ion population leaves the source region and a cold ion population in the plume is build up by charge exchange collisions with the background neutral gas. Based on the experimental data a scaling law for ion beam power is deduced, which links the control parameters of the source to the plasma parameters in the process chamber. (paper)

  1. Plasma stream transport method (2) Use of charge exchange plasma source

    International Nuclear Information System (INIS)

    Tsuchimoto, T.

    1978-01-01

    The plasma stream transport method using a single plasma source has limitations for practical film deposition. Using a charge exchange phenomenon, a new plasma source is devised and tested by the plasma stream transport machine. Metals, silicon dioxide, and nitride films are deposited by this system. The mechanism of deposition under relatively high vacuum surrounding a silicon wafer is discussed as is the effect of radical atoms

  2. Rippled plasma wall accelerating structures

    International Nuclear Information System (INIS)

    Cavenago, M.

    1992-01-01

    A concept to form a hot, pulsed, inhomogeneous plasma and to use it as a linac structure is presented. The plasma spatial distribution is controlled by an external magnetic field and by the location of thermionic emitters; microwave ECR heating at frequency ω 1 favours plasma build up and reduces plasma resistivity. A shorter microwave pulse with frequency ω 2 ≠ ω 1 excites a longitudinal mode. An expression for the maximum attainable accelerating field is found. A linearized theory of accelerating modes is given. (Author) 6 refs., 3 figs

  3. Design, fabrication, and characterization of a solenoid system to ...

    Indian Academy of Sciences (India)

    system to generate magnetic field for an ECR proton source. S K JAIN .... The bore of the solenoid coils was fabricated using high voltage glass epoxy. Each ... sure drop and flow, the inlet and outlet connections were provided. ... stability of an ECR plasma source, as any small change in the distribution of the axial magnetic.

  4. Large area ion and plasma beam sources

    Energy Technology Data Exchange (ETDEWEB)

    Waldorf, J. [IPT Ionen- und Plasmatech. GmbH, Kaiserslautern (Germany)

    1996-06-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.).

  5. Large area ion and plasma beam sources

    International Nuclear Information System (INIS)

    Waldorf, J.

    1996-01-01

    In the past a number of ion beam sources utilizing different methods for plasma excitation have been developed. Nevertheless, a widespread use in industrial applications has not happened, since the sources were often not able to fulfill specific demands like: broad homogeneous ion beams, compatibility with reactive gases, low ion energies at high ion current densities or electrical neutrality of the beam. Our contribution wants to demonstrate technical capabilities of rf ion and plasma beam sources, which can overcome the above mentioned disadvantages. The physical principles and features of respective sources are presented. We report on effective low pressure plasma excitation by electron cyclotron wave resonance (ECWR) for the generation of dense homogeneous plasmas and the rf plasma beam extraction method for the generation of broad low energy plasma beams. Some applications like direct plasma beam deposition of a-C:H and ion beam assisted deposition of Al and Cu with tailored thin film properties are discussed. (orig.)

  6. Efecto del argon en películas CNxHy depositadas mediante ECR-CVD

    Directory of Open Access Journals (Sweden)

    Albella, J. M.

    2004-04-01

    Full Text Available Carbon nitride films have been deposited by ECR-CVD, from Ar/CH4/N2 gas mixtures with different methane concentrations. Infrared Spectroscopy (IRS and Elastic Recoil Detection Analysis (ERDA have been used for films characterisation and Optical Emission Spectroscopy (OES for plasma analysis. Argon concentration in the gas mixture controls the growth rate as well as the composition of the film. In the proposed model, argon plays a key role in the activation of methane molecules. Also, during the growth of the film, two processes may be considered: i Film formation and ii Etching of the growing surface. Changing the gas mixture composition affects both processes, which results in films with different composition and structure as well as different deposition rates.Se ha estudiado el efecto del argon durante el proceso de CVD asistido por un plasma ECR para la síntesis de películas de nitruro de carbono (CNxHy a partir de mezclas gaseosas Ar/CH4/N2 con diferente contenido de metano. Las películas depositadas han sido analizadas mediante espectroscopía infrarroja (IRS y ERDA (Elastic Recoil Detection Analysis, y el análisis del plasma ha sido realizado utilizando la técnica de espectroscopía de emisión óptica (OES. La velocidad de deposición y la composición de las películas depositadas se encuentran determinadas por la concentración de argon en la mezcla gaseosa. Se propone un modelo, según el cual el argon juega un papel fundamental como activador de las moléculas de metano. El modelo propuesto incluye dos procesos simultáneos durante el crecimiento de las capas : i formación de la capa y ii ataque de la superficie de crecimiento. Según la composición de la mezcla gaseosa se favorece uno u otro proceso, lo que conduce a velocidades de deposición diferentes así como a depósitos con diferente composición y estructura atómica.

  7. Geomagnetic activity effects on plasma sheet energy conversion

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2010-10-01

    Full Text Available In this article we use three years (2001, 2002, and 2004 of Cluster plasma sheet data to investigate what happens to localized energy conversion regions (ECRs in the plasma sheet during times of high magnetospheric activity. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have studied the influence on Concentrated Load Regions (CLRs and Concentrated Generator Regions (CGRs from variations in the geomagnetic disturbance level as expressed by the Kp, the AE, and the Dst indices. We find that the ECR occurrence frequency increases during higher magnetospheric activities, and that the ECRs become stronger. This is true both for CLRs and for CGRs, and the localized energy conversion therefore concerns energy conversion in both directions between the particles and the fields in the plasma sheet. A higher geomagnetic activity hence increases the general level of energy conversion in the plasma sheet. Moreover, we have shown that CLRs live longer during magnetically disturbed times, hence converting more electromagnetic energy. The CGR lifetime, on the other hand, seems to be unaffected by the geomagnetic activity level. The evidence for increased energy conversion during geomagnetically disturbed times is most clear for Kp and for AE, but there are also some indications that energy conversion increases during large negative Dst. This is consistent with the plasma sheet magnetically mapping to the auroral zone, and therefore being more tightly coupled to auroral activities and variations in the AE and Kp indices, than to variations in the ring current region as described by the Dst index.

  8. Surface plasma source with saddle antenna radio frequency plasma generator.

    Science.gov (United States)

    Dudnikov, V; Johnson, R P; Murray, S; Pennisi, T; Piller, C; Santana, M; Stockli, M; Welton, R

    2012-02-01

    A prototype RF H(-) surface plasma source (SPS) with saddle (SA) RF antenna is developed which will provide better power efficiency for high pulsed and average current, higher brightness with longer lifetime and higher reliability. Several versions of new plasma generators with small AlN discharge chambers and different antennas and magnetic field configurations were tested in the plasma source test stand. A prototype SA SPS was installed in the Spallation Neutron Source (SNS) ion source test stand with a larger, normal-sized SNS AlN chamber that achieved unanalyzed peak currents of up to 67 mA with an apparent efficiency up to 1.6 mA∕kW. Control experiments with H(-) beam produced by SNS SPS with internal and external antennas were conducted. A new version of the RF triggering plasma gun has been designed. A saddle antenna SPS with water cooling is fabricated for high duty factor testing.

  9. Negative ion surface plasma source development for plasma trap injectors in Novosibirsk

    International Nuclear Information System (INIS)

    Bel'chenko, Yu.I.; Dimov, G.I.; Dudnikov, V.G.; Kupriyanov, A.S.

    1989-01-01

    Work on high-current ion sources carried out at the Novosibirsk Institute of Nuclear Physics (INP) is presented. The INP investigations on ''pure plasma'' planotron and ''pure surface'' secondary emission systems of H - generation, which preceded the surface-plasma concept developed in Novosibirsk, are described. The physical basis of the surface-plasma method of negative-ion production is considered. The versions and operating characteristics of different surface-plasma sources including the multi-ampere (approx-gt 10A) source are discussed. Research on efficient large-area (∼10 2 cm 2 ) negative ion surface-plasma emitters is described. The INP long-pulse multiaperture surface- plasma generators, with a current of about 1A, are described. 38 refs., 17 figs

  10. Study of a new cusp field for an 18 GHz ECR ion source

    Science.gov (United States)

    Rashid, M. H.; Nakagawa, T.; Goto, A.; Yano, Y.

    2007-08-01

    A feasibility study was performed to generate new sufficient mirror cusp magnetic field (CMF) by using the coils of the existing room temperature traditional 18 GHz electron cyclotron resonance ion source (ECRIS) at RIKEN. The CMF configuration was chosen because it contains plasma superbly and no multipole magnet is needed to make the contained plasma quiescent with no magneto-hydrodynamic (MHD) instability and to make the system cost-effective. The least magnetic field, 13 kG is achieved at the interior wall of the plasma chamber including the point cusps (PC) on the central axis and the ring cusp (RC) on the mid-plane. The mirror ratio calculation and electron simulation were done in the computed CMF. It was found to contain the electrons for longer time than in traditional field. It is proposed that a powerful CMF ECRIS can be constructed, which is capable of producing intense highly charged ion (HCI) beam for light and heavy elements.

  11. Plasma x-ray radiation source.

    Science.gov (United States)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar', A S

    1995-01-01

    This paper gives the results of studies on a plasma x-ray source, which enables one to obtain a 2.5-krad radiation dose per pulse over an area of 100 cm2 in the quantum energy range from 20 to 500 keV. Pulse duration is 100 ns. Spectral radiation distributions from a diode under various operation conditions of a plasma are obtained. A Marx generator served as an initial energy source of 120 kJ with a discharge time of T/4 = 10-6 s. A short electromagnetic pulse (10-7 s) was shaped using plasma erosion opening switches.

  12. Effect of axial magnetic field on a 2.45 GHz permanent magnet ECR ion source.

    Science.gov (United States)

    Nakamura, T; Wada, H; Asaji, T; Furuse, M

    2016-02-01

    Herein, we conduct a fundamental study to improve the generation efficiency of a multi-charged ion source using argon. A magnetic field of our electron cyclotron resonance ion source is composed of a permanent magnet and a solenoid coil. Thereby, the axial magnetic field in the chamber can be tuned. Using the solenoid coil, we varied the magnetic field strength in the plasma chamber and measured the ion beam current extracted at the electrode. We observed an approximately three times increase in the Ar(4+) ion beam current when the magnetic field on the extractor-electrode side of the chamber was weakened. From our results, we can confirm that the multi-charged ion beam current changes depending on magnetic field intensity in the plasma chamber.

  13. Effect of axial magnetic field on a 2.45 GHz permanent magnet ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, T., E-mail: tsubasa@oshima-k.ac.jp; Wada, H.; Furuse, M. [National Institute of Technology, Oshima College, 1091-1 Komatsu, Suouoshima, Oshima, Yamaguchi 742-2193 (Japan); Asaji, T. [National Institute of Technology, Toyama College, 13 Hongo, Toyama 939-8630 (Japan)

    2016-02-15

    Herein, we conduct a fundamental study to improve the generation efficiency of a multi-charged ion source using argon. A magnetic field of our electron cyclotron resonance ion source is composed of a permanent magnet and a solenoid coil. Thereby, the axial magnetic field in the chamber can be tuned. Using the solenoid coil, we varied the magnetic field strength in the plasma chamber and measured the ion beam current extracted at the electrode. We observed an approximately three times increase in the Ar{sup 4+} ion beam current when the magnetic field on the extractor-electrode side of the chamber was weakened. From our results, we can confirm that the multi-charged ion beam current changes depending on magnetic field intensity in the plasma chamber.

  14. Ion acceleration in the plasma source sheath

    International Nuclear Information System (INIS)

    Birdsall, C.K.

    1986-01-01

    This note is a calculation of the potential drop for a planar plasma source, across the source sheath, into a uniform plasma region defined by vector E = 0 and/or perhaps ∂ 2 PHI/∂ x 2 = 0. The calculation complements that of Bohm who obtained the potential drop at the other end of a plasma, at a planar collector sheath. The result is a relation between the source ion flux and the source sheath potential drop and the accompanying ion acceleration. This planar source sheath ion acceleration mechanism (or that from a distributed source) can provide the pre-collector-sheath ion acceleration as found necessary by Bohm. 3 refs

  15. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  16. Planning for a new polarized source

    International Nuclear Information System (INIS)

    Clegg, T.B.

    1985-01-01

    In anticipation of obtaining funds for a new polarized source, the authors have tried to stay abreast of new source technological developments. In particular, the authors have worked to try to understand methods for improving the atomic beam polarized source. It seems interesting to consider whether the large ionization efficiency of an electron-cyclotron-resonance source could be maintained if such a source were used as an ionizer for the polarized atomic beam. An analysis of this application suggests that such an ECR ionizer might produce outgoing ion-beam intensities which are up to five times larger than those presently achieved with electron bombardment ionizers. Furthermore, the concern previously expressed that the ECR ionizer would cause resonant depolarization of the atomic beam before ionization seems unlikely

  17. Plasma sources of solar system magnetospheres

    CERN Document Server

    Blanc, Michel; Chappell, Charles; Krupp, Norbert

    2016-01-01

    This volume reviews what we know of the corresponding plasma source for each intrinsically magnetized planet. Plasma sources fall essentially in three categories: the solar wind, the ionosphere (both prevalent on Earth), and the satellite-related sources. Throughout the text, the case of each planet is described, including the characteristics, chemical composition and intensity of each source. The authors also describe how the plasma generated at the source regions is transported to populate the magnetosphere, and how it is later lost. To summarize, the dominant sources are found to be the solar wind and sputtered surface ions at Mercury, the solar wind and ionosphere at Earth (the relative importance of the two being discussed in a specific introductory chapter), Io at Jupiter and – a big surprise of the Cassini findings – Enceladus at Saturn. The situation for Uranus and Neptune, which were investigated by only one fly-by each, is still open and requires further studies and exploration. In the final cha...

  18. 21 CFR 640.74 - Modification of Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Modification of Source Plasma. 640.74 Section 640...) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.74 Modification of Source Plasma. (a) Upon approval by the Director, Center for Biologics Evaluation and Research, Food and...

  19. A Penning-assisted subkilovolt coaxial plasma source

    International Nuclear Information System (INIS)

    Wang Zhehui; Beinke, Paul D.; Barnes, Cris W.; Martin, Michael W.; Mignardot, Edward; Wurden, Glen A.; Hsu, Scott C.; Intrator, Thomas P.; Munson, Carter P.

    2005-01-01

    A Penning-assisted 20 MW coaxial plasma source (plasma gun), which can achieve breakdown at sub-kV voltages, is described. The minimum breakdown voltage is about 400 V, significantly lower than previously reported values of 1-5 kV. The Penning region for electrons is created using a permanent magnet assembly, which is mounted to the inside of the cathode of the coaxial plasma source. A theoretical model for the breakdown is given. A 900 V 0.5 F capacitor bank supplies energy for gas breakdown and plasma sustainment from 4 to 6 ms duration. Typical peak gun current is about 100 kA and gun voltage between anode and cathode after breakdown is about 200 V. A circuit model is used to understand the current-voltage characteristics of the coaxial gun plasma. Energy deposited into the plasma accounts for about 60% of the total capacitor bank energy. This plasma source is uniquely suitable for studying multi-MW multi-ms plasmas with sub-MJ capacitor bank energy

  20. Double plasma system with inductively coupled source plasma and quasi-quiescent target plasma

    International Nuclear Information System (INIS)

    Massi, M.; Maciel, H.S.

    1995-01-01

    Cold plasmas have successfully been used in the plasma-assisted material processing industry. An understanding of the physicochemical mechanisms involved in the plasma-surface interaction is needed for a proper description of deposition and etching processes at material surfaces. Since these mechanisms are dependent on the plasma properties, the development of diagnostic techniques is strongly desirable for determination of the plasma parameters as well as the characterization of the electromagnetic behaviour of the discharge. In this work a dual discharge chamber, was specially designed to study the deposition of thin films via plasma polymerization process. In the Pyrex chamber an inductively coupled plasma can be excited either in the diffuse low density E-mode or in the high density H-mode. This plasma diffuses into the cylindrical stainless steel chamber which is covered with permanent magnets to produce a multidipole magnetic field configuration at the surface. By that means a double plasma is established consisting of a RF source plasma coupled to a quasi-quiescent target plasma. The preliminary results presented here refer to measurements of the profiles of plasma parameters along the central axis of the double plasma apparatus. Additionally a spectrum analysis performed by means of a Rogowski coil probe immersed into the source plasma is also presented. The discharge is made in argon with pressure varying from 10 -2 to 1 torr, and the rf from 10 to 150 W

  1. Effect of the gas mixing technique on the production efficiency of ion beams extracted from an electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Tarvainen, O.; Suominen, P.; Koivisto, H.

    2004-01-01

    In this work the effect of gas mixing on the production efficiency of ion beams extracted from an ECR ion source has been studied with the JYFL 6.4 GHz electron cyclotron resonance ion source (ECRIS). It was found that the gas mixing affects strongly the confinement of ions in the plasma of the ECRIS. The information obtained can be used to minimize the consumption of expensive materials or isotopes and to reduce contamination of the plasma chamber. It was observed that the carbon contamination, which is built up when the MIVOC method is used could be decreased with the aid of the gas mixing technique. The best mixing gas for this purpose was found to be oxygen

  2. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    Science.gov (United States)

    Rawat, R. S.

    2015-03-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 1010 J/m3. The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  3. Dense Plasma Focus - From Alternative Fusion Source to Versatile High Energy Density Plasma Source for Plasma Nanotechnology

    International Nuclear Information System (INIS)

    Rawat, R S

    2015-01-01

    The dense plasma focus (DPF), a coaxial plasma gun, utilizes pulsed high current electrical discharge to heat and compress the plasma to very high density and temperature with energy densities in the range of 1-10 × 10 10 J/m 3 . The DPF device has always been in the company of several alternative magnetic fusion devices as it produces intense fusion neutrons. Several experiments conducted on many different DPF devices ranging over several order of storage energy have demonstrated that at higher storage energy the neutron production does not follow I 4 scaling laws and deteriorate significantly raising concern about the device's capability and relevance for fusion energy. On the other hand, the high energy density pinch plasma in DPF device makes it a multiple radiation source of ions, electron, soft and hard x-rays, and neutrons, making it useful for several applications in many different fields such as lithography, radiography, imaging, activation analysis, radioisotopes production etc. Being a source of hot dense plasma, strong shockwave, intense energetic beams and radiation, etc, the DPF device, additionally, shows tremendous potential for applications in plasma nanoscience and plasma nanotechnology. In the present paper, the key features of plasma focus device are critically discussed to understand the novelties and opportunities that this device offers in processing and synthesis of nanophase materials using, both, the top-down and bottom-up approach. The results of recent key experimental investigations performed on (i) the processing and modification of bulk target substrates for phase change, surface reconstruction and nanostructurization, (ii) the nanostructurization of PLD grown magnetic thin films, and (iii) direct synthesis of nanostructured (nanowire, nanosheets and nanoflowers) materials using anode target material ablation, ablated plasma and background reactive gas based synthesis and purely gas phase synthesis of various different types of

  4. A portable x-ray source and method for radiography

    International Nuclear Information System (INIS)

    Golovanivsky, K.S.

    1996-01-01

    A portable x-ray source that produces a sufficient x-ray flux to produce high quality x-ray images on x-ray films. The source includes a vacuum chamber filled with a heavy atomic weight gas at low pressure and an x-ray emitter. The chamber is in a magnetic field and an oscillating electric field and generates electron cyclotron resonance (ECR) plasma having a ring of energetic electrons inside the chamber. The electrons bombard the x-ray emitter which in turn produces x-ray. A pair of magnetic members generate an axisymmetric magnetic mirror trap inside the chamber. The chamber may be nested within a microwave resonant cavity and between the magnets or the chamber and the microwave cavity may be a single composite structure. (author)

  5. Capillary plasma jet: A low volume plasma source for life science applications

    Energy Technology Data Exchange (ETDEWEB)

    Topala, I., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Alexandru Ioan Cuza University of Iasi, Faculty of Physics, Iasi Plasma Advanced Research Center (IPARC), Bd. Carol I No. 11, Iasi 700506 (Romania); Nagatsu, M., E-mail: ionut.topala@uaic.ro, E-mail: tmnagat@ipc.shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, 3-5-1 Johoku, Naka-ku, Hamamatsu 432-8561 (Japan)

    2015-02-02

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  6. Capillary plasma jet: A low volume plasma source for life science applications

    Science.gov (United States)

    Topala, I.; Nagatsu, M.

    2015-02-01

    In this letter, we present results from multispectroscopic analysis of protein films, after exposure to a peculiar plasma source, i.e., the capillary plasma jet. This plasma source is able to generate very small pulsed plasma volumes, in kilohertz range, with characteristic dimensions smaller than 1 mm. This leads to specific microscale generation and transport of all plasma species. Plasma diagnosis was realized using general electrical and optical methods. Depending on power level and exposure duration, this miniature plasma jet can induce controllable modifications to soft matter targets. Detailed discussions on protein film oxidation and chemical etching are supported by results from absorption, X-ray photoelectron spectroscopy, and microscopy techniques. Further exploitation of principles presented here may consolidate research interests involving plasmas in biotechnologies and plasma medicine, especially in patterning technologies, modified biomolecule arrays, and local chemical functionalization.

  7. Towards Coleoptera-specific high-throughput screening systems for compounds with ecdysone activity: development of EcR reporter assays using weevil (Anthonomus grandis)-derived cell lines and in silico analysis of ligand binding to A. grandis EcR ligand-binding pocket.

    Science.gov (United States)

    Soin, Thomas; Iga, Masatoshi; Swevers, Luc; Rougé, Pierre; Janssen, Colin R; Smagghe, Guy

    2009-08-01

    Molting in insects is regulated by ecdysteroids and juvenile hormones. Several synthetic non-steroidal ecdysone agonists are on the market as insecticides. These ecdysone agonists are dibenzoylhydrazine (DBH) analogue compounds that manifest their toxicity via interaction with the ecdysone receptor (EcR). Of the four commercial available ecdysone agonists, three (tebufenozide, methoxyfenozide and chromafenozide) are highly lepidopteran specific, one (halofenozide) is used to control coleopteran and lepidopteran insects in turf and ornamentals. However, compared to the very high binding affinity of these DBH analogues to lepidopteran EcRs, halofenozide has a low binding affinity for coleopteran EcRs. For the discovery of ecdysone agonists that target non-lepidopteran insect groups, efficient screening systems that are based on the activation of the EcR are needed. We report here the development and evaluation of two coleopteran-specific reporter-based screening systems to discover and evaluate ecdysone agonists. The screening systems are based on the cell lines BRL-AG-3A and BRL-AG-3C that are derived from the weevil Anthonomus grandis, which can be efficiently transduced with an EcR reporter cassette for evaluation of induction of reporter activity by ecdysone agonists. We also cloned the almost full length coding sequence of EcR expressed in the cell line BRL-AG-3C and used it to make an initial in silico 3D-model of its ligand-binding pocket docked with ponasterone A and tebufenozide.

  8. Ferroelectric plasma sources for NDCX-II and heavy ion drivers

    Energy Technology Data Exchange (ETDEWEB)

    Gilson, E.P., E-mail: egilson@pppl.gov [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Davidson, R.C.; Efthimion, P.C.; Kaganovich, I.D. [Princeton Plasma Physics Laboratory, Princeton University, P.O. Box 451, Princeton, New Jersey, 08543 (United States); Kwan, J.W.; Lidia, S.M.; Ni, P.A.; Roy, P.K.; Seidl, P.A.; Waldron, W.L. [Lawrence Berkeley National Laboratory, One Cyclotron Road, Berkeley, California, 94720 (United States); Barnard, J.J.; Friedman, A. [Lawrence Livermore National Laboratory, P.O. Box 808, Livermore, California, 94550 (United States)

    2014-01-01

    A barium titanate ferroelectric cylindrical plasma source has been developed, tested and delivered for the Neutralized Drift Compression Experiment NDCX-II at Lawrence Berkeley National Laboratory (LBNL). The plasma source design is based on the successful design of the NDCX-I plasma source. A 7 kV pulse applied across the 3.8 mm-thick ceramic cylinder wall produces a large polarization surface charge density that leads to breakdown and plasma formation. The plasma that fills the NDCX-II drift section upstream of the final-focusing solenoid has a plasma number density exceeding 10{sup 10} cm{sup −3} and an electron temperature of several eV. The operating principle of the ferroelectric plasma source are reviewed and a detailed description of the installation plans is presented. The criteria for plasma sources with larger number density will be given, and concepts will be presented for plasma sources for driver applications. Plasma sources for drivers will need to be highly reliable, and operate at several Hz for millions of shots.

  9. Characterization of the pulse plasma source

    International Nuclear Information System (INIS)

    Milosavljevic, V; Karkari, S K; Ellingboe, A R

    2007-01-01

    Characterization of the pulse plasma source through the determination of the local thermodynamic equilibrium (LTE) threshold is described. The maximum electron density measured at the peak in discharge current is determined by the width of the He II Paschen alpha spectral line, and the electron temperature is determined from the ratios of the relative intensities of spectral lines emitted from successive ionized stages of atoms. The electron density and temperature maximum values are measured to be 1.3 x 10 17 cm -3 and 19 000 K, respectively. These are typical characteristics for low-pressure, pulsed plasma sources for input energy of 15.8 J at 130 Pa pressure in helium-argon mixture. The use of LTE-based analysis of the emission spectra is justified by measurement of the local plasma electron density at four positions in the discharge tube using a floating hairpin resonance probe. The hairpin resonance probe data are collected during the creation and decay phases of the pulse. From the spatio-temporal profile of the plasma density a 60 μs time-window during which LTE exists throughout the entire plasma source is determined

  10. Ferroelectric Plasma Source for Heavy Ion Beam Charge Neutralization

    CERN Document Server

    Efthimion, Philip; Gilson, Erik P; Grisham, Larry; Logan, B G; Waldron, William; Yu, Simon

    2005-01-01

    Plasmas are employed as a medium for charge neutralizing heavy ion beams to allow them to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ~ 0.1-1 m would be suitable. To produce 1 meter plasma, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic. High voltage (~ 1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. A prototype ferroelectric source 20 cm long produced plasma densities ~ 5x1011 cm-3. The source was integrated into the experiment and successfully charge neutralized the K ion beam. Presently, the 1 meter source ...

  11. 21 CFR 640.64 - Collection of blood for Source Plasma.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Collection of blood for Source Plasma. 640.64... (CONTINUED) BIOLOGICS ADDITIONAL STANDARDS FOR HUMAN BLOOD AND BLOOD PRODUCTS Source Plasma § 640.64 Collection of blood for Source Plasma. (a) Supervision. All blood for the collection of Source Plasma shall...

  12. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Science.gov (United States)

    Kuroda, Yusuke; Yamamoto, Akiko; Kuwabara, Hajime; Nakajima, Mitsuo; Kawamura, Tohru; Horioka, Kazuhiko

    2013-11-01

    A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  13. Counter-facing plasma guns for efficient extreme ultra-violet plasma light source

    Directory of Open Access Journals (Sweden)

    Kuroda Yusuke

    2013-11-01

    Full Text Available A plasma focus system composed of a pair of counter-facing coaxial guns was proposed as a long-pulse and/or repetitive high energy density plasma source. We applied Li as the source of plasma for improvement of the conversion efficiency, the spectral purity, and the repetition capability. For operation of the system with ideal counter-facing plasma focus mode, we changed the system from simple coaxial geometry to a multi-channel configuration. We applied a laser trigger to make synchronous multi-channel discharges with low jitter. The results indicated that the configuration is promising to make a high energy density plasma with high spectral efficiency.

  14. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  15. Beam-plasma discharge in a Kyoto beam-plasma-ion source

    International Nuclear Information System (INIS)

    Ishikawa, J.; Takagi, T.

    1983-01-01

    A beam-plasma type ion source employing an original operating principle has been developed by the present authors. The ion source consists of an ion extraction region with an electron gun, a thin long drift tube as the plasma production chamber, and a primary electron beam collector. An electron beam is effectively utilized for the dual purpose of high density plasma production as a result of beam-plasma discharge, and high current ion beam extraction with ion space-charge compensation. A high density plasma of the order of 10 11 --10 13 cm -3 was produced by virtue of the beam-plasma discharge which was caused by the interaction between a space-charge wave on the electron beam and a high frequency plasma wave. The plasma density then produced was 10 2 --10 3 times the density produced only by collisional ionization by the electron beam. In order to obtain a stable beam-plasma discharge, a secondary electron beam emitted from the electron collector should be utilized. The mechanism of the beam-plasma discharge was analyzed by use of a linear theory in the case of the small thermal energy of the electron beam, and by use of a quasilinear theory in the case of the large thermal energy. High current ion beams of more than 0.1 A were extracted even at a low extraction voltage of 1--5 kV

  16. Simple microwave plasma source at atmospheric pressure

    International Nuclear Information System (INIS)

    Kim, Jeong H.; Hong, Yong C.; Kim, Hyoung S.; Uhm, Han S.

    2003-01-01

    We have developed a thermal plasma source operating without electrodes. One electrodeless torch is the microwave plasma-torch, which can produce plasmas in large quantities. We can generate plasma at an atmospheric pressure by marking use of the same magnetrons used as commercial microwave ovens. Most of the magnetrons are operated at the frequency of 2.45 GHz; the magnetron power microwave is about 1kW. Electromagnetic waves from the magnetrons propagate through a shorted waveguide. Plasma was generated under a resonant condition, by an auxiliary ignition system. The plasma is stabilized by vortex stabilization. Also, a high-power and high-efficiency microwave plasma-torch has been operated in air by combining two microwave plasma sources with 1kW, 2.45 GHz. They are arranged in series to generate a high-power plasma flame. The second torch adds all its power to the plasma flame of the first torch. Basically, electromagnetic waves in the waveguide were studied by a High Frequency Structure Simulator (HFSS) code and preliminary experiments were conducted

  17. Volumetric plasma source development and characterization

    International Nuclear Information System (INIS)

    Crain, Marlon D.; Maron, Yitzhak; Oliver, Bryan Velten; Starbird, Robert L.; Johnston, Mark D.; Hahn, Kelly Denise; Mehlhorn, Thomas Alan; Droemer, Darryl W.

    2008-01-01

    The development of plasma sources with densities and temperatures in the 10 15 -10 17 cm -3 and 1-10eV ranges which are slowly varying over several hundreds of nanoseconds within several cubic centimeter volumes is of interest for applications such as intense electron beam focusing as part of the x-ray radiography program. In particular, theoretical work (1,2) suggests that replacing neutral gas in electron beam focusing cells with highly conductive, pre-ionized plasma increases the time-averaged e-beam intensity on target, resulting in brighter x-ray sources. This LDRD project was an attempt to generate such a plasma source from fine metal wires. A high voltage (20-60kV), high current (12-45kA) capacitive discharge was sent through a 100 (micro)m diameter aluminum wire forming a plasma. The plasma's expansion was measured in time and space using spectroscopic techniques. Lineshapes and intensities from various plasma species were used to determine electron and ion densities and temperatures. Electron densities from the mid-10 15 to mid-10 16 cm -3 were generated with corresponding electron temperatures of between 1 and 10eV. These parameters were measured at distances of up to 1.85 cm from the wire surface at times in excess of 1 (micro)s from the initial wire breakdown event. In addition, a hydrocarbon plasma from surface contaminants on the wire was also measured. Control of these contaminants by judicious choice of wire material, size, and/or surface coating allows for the ability to generate plasmas with similar density and temperature to those given above, but with lower atomic masses

  18. Pulsed, atmospheric pressure plasma source for emission spectrometry

    Science.gov (United States)

    Duan, Yixiang; Jin, Zhe; Su, Yongxuan

    2004-05-11

    A low-power, plasma source-based, portable molecular light emission generator/detector employing an atmospheric pressure pulsed-plasma for molecular fragmentation and excitation is described. The average power required for the operation of the plasma is between 0.02 W and 5 W. The features of the optical emission spectra obtained with the pulsed plasma source are significantly different from those obtained with direct current (dc) discharge higher power; for example, strong CH emission at 431.2 nm which is only weakly observed with dc plasma sources was observed, and the intense CN emission observed at 383-388 nm using dc plasma sources was weak in most cases. Strong CN emission was only observed using the present apparatus when compounds containing nitrogen, such as aniline were employed as samples. The present apparatus detects dimethylsulfoxide at 200 ppb using helium as the plasma gas by observing the emission band of the CH radical. When coupled with a gas chromatograph for separating components present in a sample to be analyzed, the present invention provides an apparatus for detecting the arrival of a particular component in the sample at the end of the chromatographic column and the identity thereof.

  19. Consideration of beam plasma ion-source

    International Nuclear Information System (INIS)

    Sano, Fumimichi; Kusano, Norimasa; Ishida, Yoshihiro; Ishikawa, Junzo; Takagi, Toshinori

    1976-01-01

    Theoretical and experimental analyses and their comparison were made on the plasma generation and on the beam extraction for the beam plasma ion-source. The operational principle and the structure of the ion-source are explained in the first part. Considerations are given on the electron beam-plasma interaction and the resulting generation of high frequency or microwaves which in turn increases the plasma density. The flow of energy in this system is also explained in the second part. The relation between plasma density and the imaginary part of frequency is given by taking the magnetic flux density, the electron beam energy, and the electron beam current as parameters. The relations between the potential difference between collector and drift tube and the plasma density or the ion-current are also given. Considerations are also given to the change of the plasma density due to the change of the magnetic flux density at drift tube, the change of the electron beam energy, and the change of the electron beam current. The third part deals with the extraction characteristics of the ion beam. The structure of the multiple-aperture electrode and the relation between plasma density and the extracted ion current are explained. (Aoki, K.)

  20. Plasma properties of a modified beam-plasma type ion source

    International Nuclear Information System (INIS)

    Ishikawa, Junzo; Sano, Fumimichi; Tsuji, Hiroshi; Ektessabi, A.M.; Takagi, Toshinori

    1978-01-01

    The properties of the plasma produced by beam-plasma discharge were experimentally investigated. The ion source used for this work consists of three parts, that is, the ion-extracting region with an electron gun, the drift space and the collector region. Primary and secondary electron beams are injected in to the drift tube. The interaction between plasma and these electron beams causes production of high density plasma by virtue of the beam-plasma discharge. The gas inlet is located in the middle of the drift tube, so that the gas conductance is high. The energy of the primary and secondary electron beams is transferred to that microwaves through beam-plasma interaction. The microwaves heat the plasma electrons by the cyclotron resonance or other mechanism. The amount of the energetic plasma electrons is much larger than that of the beam electrons, so that neutral gas is ionized. The density of the produced plasma is 10 2 or 10 3 times as large as the plasma produced by impact ionization. With a probe located in the middle of the drift tube, the plasma density and the electron temperature can be measured, and the power and spectra of the microwaves can be detected. The microwave oscillation, the primary electron beam characteristics, and the gas pressure characteristics were studied. Larger current of the high energy primary of secondary electron beam is required for the effective discharge. The ion source has to be operated at the minimum gas pressure. The length of beam-plasma interaction and the magnetic field intensity in the drift tube are also important parameters. (Kato, T.)

  1. Plasma source ion implantation

    International Nuclear Information System (INIS)

    Conrad, J.R.; Forest, C.

    1986-01-01

    The authors' technique allows the ion implantation to be performed directly within the ion source at higher currents without ion beam extraction and transport. The potential benefits include greatly increased production rates (factors of 10-1000) and the ability to implant non-planar targets without rastering or shadowing. The technique eliminates the ion extractor grid set, beam raster equipment, drift space and target manipulator equipment. The target to be implanted is placed directly within the plasma source and is biased to a large negative potential so that plasma ions gain energy as they accelerate through the potential drop across the sheath that forms at the plasma boundary. Because the sheath surrounds the target on all sides, all surfaces of the target are implanted without the necessity to raster the beam or to rotate the target. The authors have succeeded in implanting nitrogen ions in a silicon target to the depths and concentrations required for surface treatment of materials like stainless steel and titanium alloys. They have performed ESCA measurements of the penetration depth profile of a silicon target that was biased to 30 kV in a nitrogen discharge plasma. Nitrogen ions were implanted to a depth of 700A at a peak concentration of 30% atomic. The measured profile is quite similar to a previously obtained profile in titanium targets with conventional techniques

  2. Measurement of performance parameters of plasma source for plasma opening switch on Qiangguang-Ⅰ generator

    International Nuclear Information System (INIS)

    Luo Weixi; Zeng Zhengzhong; Lei Tianshi; Wang Liangping; Hu Yixiang; Sun Tieping; Huang Tao

    2012-01-01

    The plasma source (cable guns) of the plasma opening switch (POS) on Qiangguang Ⅰ generator was chosen as the study object. The plasma source performance was investigated by using charge collectors. Experimental results show that the plasma ejection density is positively correlated with the structural parameter, the distance between gun core tip and muzzle plane, and the plasma ejection velocity is negatively correlated with the parameter. The increasing rate of plasma ejection density is less than that of drive current. As far as a plasma source with tens of cable plasma guns is concerned, the influence of single cable gun's discharge dispersancy on plasma uniformity is little. Analysis of uncertainty shows that the uncertainty of measurement can be reduced by increasing the number of experiments and averaging the results. The combined standard uncertainty of plasma ejection density is less than 10%. (authors)

  3. Development of a pepper-pot emittance meter for diagnostics of low-energy multiply charged heavy ion beams extracted from an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Nagatomo, T., E-mail: nagatomo@riken.jp; Kase, M.; Kamigaito, O.; Nakagawa, T. [Nishina Center for Accelerator Based Science, RIKEN, Wako, Saitama 351-0198 (Japan); Tzoganis, V. [Nishina Center for Accelerator Based Science, RIKEN, Wako, Saitama 351-0198 (Japan); Cockcroft Institute, Daresbury, Warrington WA4 4AD (United Kingdom); Department of Physics, University of Liverpool, Liverpool, Merseyside L69 3BX (United Kingdom)

    2016-02-15

    Several fluorescent materials were tested for use in the imaging screen of a pepper-pot emittance meter that is suitable for investigating the beam dynamics of multiply charged heavy ions extracted from an ECR ion source. SiO{sub 2} (quartz), KBr, Eu-doped CaF{sub 2}, and Tl-doped CsI crystals were first irradiated with 6.52-keV protons to determine the effects of radiation damage on their fluorescence emission properties. For such a low-energy proton beam, only the quartz was found to be a suitable fluorescent material, since the other materials suffered a decay in fluorescence intensity with irradiation time. Subsequently, quartz was irradiated with heavy {sup 12}C{sup 4+}, {sup 16}O{sup 4+}, and {sup 40}Ar{sup 11+} ions, but it was found that the fluorescence intensity decreased too rapidly to measure the emittance of these heavy-ion beams. These results suggest that a different energy loss mechanism occurs for heavier ions and for protons.

  4. Ion Sources for MedAustron

    CERN Document Server

    Lettry, J; Wallner, J; Sargsyan, E; CERN. Geneva. BE Department

    2010-01-01

    The MedAustron Ion therapy center will be constructed in Wiener Neustadt (Austria) in the vicinity of Vienna. Its accelerator complex consists of four ion sources, a linear accelerator, a synchrotron and a beam delivery system to the three medical treatment rooms and to the research irradiation room. The ion sources shall deliver beams of H31+, C4+ and light ions with utmost reliability and stability. This paper describes the features of the ion sources presently planned for the MedAustron facility; such as ion source main parameters, gas injection, temperature control and cooling systems. A dedicated beam diagnostics technique is proposed in order to characterize ECR ions beams; in the first drift region after the ion source, a fraction of the mixed beam is selected via moveable aperture. With standard beam diagnostics, we then aim to produce position-dependant observables such as ion-current density, beam energy distribution and emittance for each charge states to be compared to simulations of ECR e-heating...

  5. Microwave interferometry of PEOS plasma sources

    International Nuclear Information System (INIS)

    Weber, B.V.; Commisso, R.J.; Goodrich, P.J.; Hinshelwood, D.D.; Neri, J.M.

    1988-01-01

    A 70 GHz microwave interferometer is used to measure the electron density for various configurations of sources used in plasma erosion opening switch (PEOS) experiments. The interferometer is a phase quadrature system, so the density can be measured as a function of time without ambiguity. Measurements have been made for carbon guns and flashboards driven by a .6 μF. 25 kV capacitor. The plasma density from a gun rises to its peak value in about 10 μs. Then decays in the next 40 μs. A metal screen placed between the gun and the microwave beam attenuates the plasma density by a factor greater than the geometrical transparency of the screen. Density measurements as a function of distance from the gun are analyzed to give the plasma spatial dependence, and the particle flux density and flow velocity are calculated from the continuity equation. Density values used to model previous PEOS experiments are comparable to the values measured here. The flashboard sources produce a denser, faster plasma that is more difficult to diagnose with the interferometer than the gun plasma because of refractive bending of the microwave beam. Reducing the plasma length reduces the refractive bending enough that some measurements are possible. Direct comparison with Gamble II PEOS experiments that used these flashboard sources may not be possible at this frequency because of refraction, but estimates based on measurements at larger distances give reasonable agreement with values used to model these experiments. Other measurements that will be presented include the effects of plasma flow against metal walls, effects of changing the driving current waveform, measurements made in actual experimental configurations and comparisons with Faraday cup and electric probe measurements

  6. X-ray Imaging and preliminary studies of the X-ray self-emission from an innovative plasma-trap based on the Bernstein waves heating mechanism

    Science.gov (United States)

    Caliri, C.; Romano, F. P.; Mascali, D.; Gammino, S.; Musumarra, A.; Castro, G.; Celona, L.; Neri, L.; Altana, C.

    2013-10-01

    Electron Cyclotron Resonance Ion Sources (ECRIS) are based on ECR heated plasmas emitting high fluxes of X-rays. Here we illustrate a pilot study of the X-ray emission from a compact plasma-trap in which an off-resonance microwave-plasma interaction has been attempted, highlighting a possible Bernstein-Waves based heating mechanism. EBWs-heating is obtained via the inner plasma EM-to-ES wave conversion and enables to reach densities much larger than the cut-off ones. At LNS-INFN, an innovative diagnostic technique based on the design of a Pinhole Camera (PHC) coupled to a CCD device for X-ray Imaging of the plasma (XRI) has been developed, in order to integrate X-ray traditional diagnostics (XRS). The complementary use of electrostatic probes measurements and X-ray diagnostics enabled us to gain knowledge about the high energy electrons density and temperature and about the spatial structure of the source. The combination of the experimental data with appropriate modeling of the plasma-source allowed to estimate the X-ray emission intensity in different energy domains (ranging from EUV up to Hard X-rays). The use of ECRIS as X-ray source for multidisciplinary applications, is now a concrete perspective due to the intense fluxes produced by the new plasma heating mechanism.

  7. Performance evaluation of a permanent ring magnet based helicon plasma source for negative ion source research

    Science.gov (United States)

    Pandey, Arun; Bandyopadhyay, M.; Sudhir, Dass; Chakraborty, A.

    2017-10-01

    Helicon wave heated plasmas are much more efficient in terms of ionization per unit power consumed. A permanent magnet based compact helicon wave heated plasma source is developed in the Institute for Plasma Research, after carefully optimizing the geometry, the frequency of the RF power, and the magnetic field conditions. The HELicon Experiment for Negative ion-I source is the single driver helicon plasma source that is being studied for the development of a large sized, multi-driver negative hydrogen ion source. In this paper, the details about the single driver machine and the results from the characterization of the device are presented. A parametric study at different pressures and magnetic field values using a 13.56 MHz RF source has been carried out in argon plasma, as an initial step towards source characterization. A theoretical model is also presented for the particle and power balance in the plasma. The ambipolar diffusion process taking place in a magnetized helicon plasma is also discussed.

  8. Effect of ECR on the Learning and Memory Dysfunction of the Rats Induced by Aβ25-35 Involved in ChAT Activity

    Institute of Scientific and Technical Information of China (English)

    YANGSu-Fen; YANGZheng-Qin; LiYu; WuQin; HUANGXie-Nan; SUNAn-Sheng; ZHOUQi-Xin; SHIJing-Shan

    2004-01-01

    Objective: To explore the mechanism of Ecdysterone (ECR) in prevention of learning and memory dysfunction of the rats induced by β-amyloid peptide ( Aβ25-35 ). Methods: Ninety Wistar male rats were randomly divided into five groups, the control group, the model group, the treated groups (ECR 4mg·kg-1 and ECR 8mg·kg-1 and Nimodipine

  9. Long plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Grant Logan, Larry B.; Seidl, Peter A.; Waldron, William

    2009-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to focus them to a small spot size and compress their axial length. The plasma source should operate at low neutral pressures and without strong externally applied fields. To produce long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients have been developed. The source utilizes the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) is covered with ceramic material. High voltage (∼8 kV) is applied between the drift tube and the front surface of the ceramics. A BaTiO 3 source comprised of five 20-cm-long sources has been tested and characterized, producing relatively uniform plasma in the 5x10 10 cm -3 density range. The source was integrated into the NDCX device for charge neutralization and beam compression experiments, and yielded current compression ratios ∼120. Present research is developing multi-meter-long and higher density sources to support beam compression experiments for high-energy-density physics applications.

  10. Design of a helicon plasma source for ion–ion plasma production

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, N., E-mail: narayan.sharma@cppipr.res.in; Chakraborty, M.; Neog, N.K.; Bandyopadhyay, M.

    2017-04-15

    Highlights: • Development of a helicon plasma system to carry out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. • Determination of initial parameters of helicon plasma source for ion–ion plasma by using dispersion relation of bounded helicon waves. • Design and development of solenoid with magnetic field strength production capability of ∼ 600 G along the axis of the chamber. • Optimization of the chamber parameters using Helic codes and estimation of optimum attainable density. • Estimation of RF power requirements for various gases. - Abstract: A helicon plasma system is being designed and developed at CPP-IPR. The design parameters of the system are deduced from the dispersion relation of bounded helicon waves and the required magnetic fields are simulated by using Poisson Superfish code. The Helic code is used to simulate the power deposition profile for various conditions and to investigate the optimum values of chamber parameters for effective coupling of radio frequency (RF) power to plasma. The helicon source system is aimed at carrying out ion–ion plasma studies in electronegative gases such as Hydrogen, Oxygen and Chlorine. The system mainly consists of a source chamber in which helicon plasma will be produced by injecting RF power at a frequency of 13.56 MHz through a right helical antenna in presence of a DC magnetic field followed by an expansion chamber in which it is expected to produce negative ions along with the positive ions. Installation of the various parts of the system is in progress. The details of the design and development of the system is presented in this article.

  11. Construction of a high beta plasma source

    International Nuclear Information System (INIS)

    Naraghi, M.; Torabi-Fard, A.

    1976-02-01

    A high beta plasma source has been designed and constructed. This source will serve as a means of developing and exercising different diagnostic techniques as required for ALVAND I, linear theta pinch experiment. Also, it will serve to acquaint the technicians with some of the techniques and safety rules of high voltage and capacitor discharge experiments. The operating parameters of the theta pinch and Z-pinch preionization is presented and the program of diagnostic measurements on the high beta plasma source is discussed

  12. Observation of high-temperature bubbles in an ECR plasma

    Science.gov (United States)

    Terasaka, K.; Yoshimura, S.; Tanaka, M. Y.

    2018-05-01

    Creation and annihilation of high-temperature bubbles have been observed in an electron cyclotron resonance plasma. The electron temperature in the bubble core is three times higher than that in the ambient region, and the size perpendicular to the magnetic field is much smaller than the plasma diameter. Formation of a bubble accompanies large negative spikes in the floating potential of a Langmuir probe, and the spatiotemporal behavior of the bubble has been visualized with a high-impedance wire grid detector. It is found that the bubble is in a prolate spheroidal shape with the axis along the magnetic field and occurs randomly in time and independently in space.

  13. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel; Influencia de la densidad del plasma de microondas en la nitruracion de acero AISI 4140

    Energy Technology Data Exchange (ETDEWEB)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    2004-07-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10{sup -4} and 7 X 10{sup -4} Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 {mu} m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  14. Spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources. Experimental results

    International Nuclear Information System (INIS)

    Panitzsch, Lauri

    2013-01-01

    ECR ion sources with hexapolar radial confinement. Furthermore, based on the results a new theory for the creation of the different sputter and deposition marks inside the plasma chamber of ECR ion sources is proposed. The thin and deeply sputtered grooves seem to stem from the high-energetic electrons while the surrounding, broad halo is ion-induced. The custom-built detectors (for each of the mentioned axial positions a different detector adapted to the special demands and purposes was developed) are presented in detail within this work as well, with one of these detectors (the ''Faraday cup array'' or FCA) now having established itself as our standard beam-profile measuring device.

  15. Spatial distribution of charged particles along the ion-optical axis in electron cyclotron resonance ion sources. Experimental results

    Energy Technology Data Exchange (ETDEWEB)

    Panitzsch, Lauri

    2013-02-08

    other ECR ion sources with hexapolar radial confinement. Furthermore, based on the results a new theory for the creation of the different sputter and deposition marks inside the plasma chamber of ECR ion sources is proposed. The thin and deeply sputtered grooves seem to stem from the high-energetic electrons while the surrounding, broad halo is ion-induced. The custom-built detectors (for each of the mentioned axial positions a different detector adapted to the special demands and purposes was developed) are presented in detail within this work as well, with one of these detectors (the ''Faraday cup array'' or FCA) now having established itself as our standard beam-profile measuring device.

  16. Modified DLC coatings prepared in a large-scale reactor by dual microwave/pulsed-DC plasma-activated chemical vapour deposition

    International Nuclear Information System (INIS)

    Corbella, C.; Bialuch, I.; Kleinschmidt, M.; Bewilogua, K.

    2008-01-01

    Diamond-Like Carbon (DLC) films find abundant applications as hard and protective coatings due to their excellent mechanical and tribological performances. The addition of new elements to the amorphous DLC matrix tunes the properties of this material, leading to an extension of its scope of applications. In order to scale up their production to a large plasma reactor, DLC films modified by silicon and oxygen additions have been grown in an industrial plant of 1m 3 by means of pulsed-DC plasma-activated chemical vapour deposition (PACVD). The use of an additional microwave (MW) source has intensified the glow discharge, partly by electron cyclotron resonance (ECR), accelerating therefore the deposition process. Hence, acetylene, tetramethylsilane (TMS) and hexamethyldisiloxane (HMDSO) constituted the respective gas precursors for the deposition of a-C:H (DLC), a-C:H:Si and a-C:H:Si:O films by dual MW/pulsed-DC PACVD. This work presents systematic studies of the deposition rate, hardness, adhesion, abrasive wear and water contact angle aimed to optimize the technological parameters of deposition: gas pressure, relative gas flow of the monomers and input power. This study has been completed with measures of the atomic composition of the samples. Deposition rates around 1 μm/h, typical for standard processes held in the large reactor, were increased about by a factor 10 when the ionization source has been operated in ECR mode

  17. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  18. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    International Nuclear Information System (INIS)

    Takahashi, Masayuki; Ohnishi, Naofumi

    2016-01-01

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  19. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Masayuki, E-mail: m.takahashi@al.t.u-tokyo.ac.jp [Department of Aeronautics and Astronautics, The University of Tokyo, Bunkyo-ku 113-8656 (Japan); Ohnishi, Naofumi [Department of Aerospace Engineering, Tohoku University, Sendai 980-8579 (Japan)

    2016-08-14

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  20. Microwave Ion Source and Beam Injection for an Accelerator-driven Neutron Source

    International Nuclear Information System (INIS)

    Vainionpaa, J.H.; Gough, R.; Hoff, M.; Kwan, J.W.; Ludewigt, B.A.; Regis, M.J.; Wallig, J.G.; Wells, R.

    2007-01-01

    An over-dense microwave driven ion source capable of producing deuterium (or hydrogen) beams at 100-200 mA/cm2 and with atomic fraction >90 percent was designed and tested with an electrostatic low energy beam transport section (LEBT). This ion source was incorporated into the design of an Accelerator Driven Neutron Source (ADNS). The other key components in the ADNS include a 6 MeV RFQ accelerator, a beam bending and scanning system, and a deuterium gas target. In this design a 40 mA D+ beam is produced from a 6 mm diameter aperture using a 60 kV extraction voltage. The LEBT section consists of 5 electrodes arranged to form 2 Einzel lenses that focus the beam into the RFQ entrance. To create the ECR condition, 2 induction coils are used to create ∼ 875 Gauss on axis inside the source chamber. To prevent HV breakdown in the LEBT a magnetic field clamp is necessary to minimize the field in this region. Matching of the microwave power from the waveguide to the plasma is done by an autotuner. We observed significant improvement of the beam quality after installing a boron nitride liner inside the ion source. The measured emittance data are compared with PBGUNS simulations

  1. Microwave Ion Source and Beam Injection for an Accelerator-Driven Neutron Source

    International Nuclear Information System (INIS)

    Vainionpaa, J.H.; Gough, R.; Hoff, M.; Kwan, J.W.; Ludewigt, B.A.; Regis, M.J.; Wallig, J.G.; Wells, R.

    2007-01-01

    An over-dense microwave driven ion source capable of producing deuterium (or hydrogen) beams at 100-200 mA/cm 2 and with atomic fraction > 90% was designed and tested with an electrostatic low energy beam transport section (LEBT). This ion source was incorporated into the design of an Accelerator Driven Neutron Source (ADNS). The other key components in the ADNS include a 6 MeV RFQ accelerator, a beam bending and scanning system, and a deuterium gas target. In this design a 40 mA D + beam is produced from a 6 mm diameter aperture using a 60 kV extraction voltage. The LEBT section consists of 5 electrodes arranged to form 2 Einzel lenses that focus the beam into the RFQ entrance. To create the ECR condition, 2 induction coils are used to create ∼ 875 Gauss on axis inside the source chamber. To prevent HV breakdown in the LEBT a magnetic field clamp is necessary to minimize the field in this region. Matching of the microwave power from the waveguide to the plasma is done by an autotuner. They observed significant improvement of the beam quality after installing a boron nitride liner inside the ion source. The measured emittance data are compared with PBGUNS simulations

  2. Design of an intense ion source and LEBT for Jinping Underground Nuclear Astrophysics experiments

    International Nuclear Information System (INIS)

    Wu, Q.; Sun, L.T.; Cui, B.Q.; Lian, G.; Yang, Y.; Ma, H.Y.; Tang, X.D.; Zhang, X.Z.; Zhang, Z.M.; Liu, W.P.

    2016-01-01

    The ongoing Jinping Underground Nuclear Astrophysics experiment (JUNA) will take the advantage of the ultralow background in China Jinping Underground Laboratory (CJPL), high current accelerator driven by on an ECR source and highly sensitive detector to study directly a number of important reactions for the first time within their relevant stellar energy range. A 2.45 GHz ECR ion source is one of its key components to provide 10 emA H + , 10 emA He + and 2.0 emA He 2+ beams for the study of (p,γ), (p,α), (α,p) and (α,γ) reactions in the first phase of the JUNA project. Ion beam is extracted from the source with energies up to 50 kV/q. The following low energy beam transport (LEBT) system transports and matches the ion beam from the exit of ion source to the acceleration tube (AT). The design status of the ECR ion source and LEBT system for the JUNA project are presented. The potential risks of the ion source are also discussed and analysed.

  3. Design of an intense ion source and LEBT for Jinping Underground Nuclear Astrophysics experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Q., E-mail: wuq@impcas.ac.cn [Institute of Modern Physics (IMP), Chinese Academy of Sciences, Lanzhou 730000 (China); Sun, L.T., E-mail: sunlt@impcas.ac.cn [Institute of Modern Physics (IMP), Chinese Academy of Sciences, Lanzhou 730000 (China); Cui, B.Q.; Lian, G. [China Institute of Atomic Energy, Beijing 102413 (China); Yang, Y.; Ma, H.Y.; Tang, X.D.; Zhang, X.Z.; Zhang, Z.M. [Institute of Modern Physics (IMP), Chinese Academy of Sciences, Lanzhou 730000 (China); Liu, W.P. [China Institute of Atomic Energy, Beijing 102413 (China)

    2016-09-11

    The ongoing Jinping Underground Nuclear Astrophysics experiment (JUNA) will take the advantage of the ultralow background in China Jinping Underground Laboratory (CJPL), high current accelerator driven by on an ECR source and highly sensitive detector to study directly a number of important reactions for the first time within their relevant stellar energy range. A 2.45 GHz ECR ion source is one of its key components to provide 10 emA H{sup +}, 10 emA He{sup +} and 2.0 emA He{sup 2+} beams for the study of (p,γ), (p,α), (α,p) and (α,γ) reactions in the first phase of the JUNA project. Ion beam is extracted from the source with energies up to 50 kV/q. The following low energy beam transport (LEBT) system transports and matches the ion beam from the exit of ion source to the acceleration tube (AT). The design status of the ECR ion source and LEBT system for the JUNA project are presented. The potential risks of the ion source are also discussed and analysed.

  4. Ferroelectric plasma source for heavy ion beam space charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Davidson, Ronald C.; Grisham, Larry; Grant Logan, B.; Seidl, Peter A.; Waldron, William; Yu, Simon S.

    2007-01-01

    Plasmas are a source of unbound electrons for charge neutralizing intense heavy ion beams to allow them to focus to a small spot size and compress their axial pulse length. The plasma source should be able to operate at low neutral pressures and without strong externally applied electric or magnetic fields. To produce 1 m-long plasma columns, sources based upon ferroelectric ceramics with large dielectric coefficients are being developed. The sources utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. The drift tube inner surface of the Neutralized Drift Compression Experiment (NDCX) will be covered with ceramic material, and high voltage (∼7 kV) will be applied between the drift tube and the front surface of the ceramics. A prototype ferroelectric source, 20 cm in length, has produced plasma densities of 5x10 11 cm -3 . It was integrated into the Neutralized Transport Experiment (NTX), and successfully charge neutralized the K + ion beam. A 1 m-long source comprised of five 20-cm-long sources has been tested. Simply connecting the five sources in parallel to a single pulse forming network power supply yielded non-uniform performance due to the time-dependent nature of the load that each of the five plasma sources experiences. Other circuit combinations have been considered, including powering each source by its own supply. The 1-m-long source has now been successfully characterized, producing relatively uniform plasma over the 1 m length of the source in the mid-10 10 cm -3 density range. This source will be integrated into the NDCX device for charge neutralization and beam compression experiments

  5. Developmental regulation of ecdysone receptor (EcR and EcR-controlled gene expression during pharate-adult development of honeybees (Apis mellifera.

    Directory of Open Access Journals (Sweden)

    Tathyana Rachel Palo Mello

    2014-12-01

    Full Text Available Major developmental transitions in multicellular organisms are driven by steroid hormones. In insects, these, together with juvenile hormone (JH, control development, metamorphosis, reproduction and aging, and are also suggested to play an important role in caste differentiation of social insects. Here, we aimed to determine how EcR transcription and ecdysteroid titers are related during honeybee postembryonic development and what may actually be the role of EcR in caste development of this social insect. In addition, we expected that knocking-down EcR gene expression would give us information on the participation of the respective protein in regulating downstream targets of EcR. We found that in Apis mellifera females, EcR-A is the predominantly expressed variant in postembryonic development, while EcR-B transcript levels are higher in embryos, indicating an early developmental switch in EcR function. During larval and pupal stages, EcR-B expression levels are very low, while EcR-A transcripts are more variable and abundant in workers compared to queens. Strikingly, these transcript levels are opposite to the ecdysteroid titer profile. 20-hydroxyecdysone (20E application experiments revealed that low 20E levels induce EcR expression during development, whereas high ecdysteroid titers seem to be repressive. By means of RNAi-mediated knockdown (KD of both EcR transcript variants we detected the differential expression of 234 poly-A+ transcripts encoding genes such as CYPs, MRJPs and certain hormone response genes (Kr-h1 and ftz-f1. EcR-KD also promoted the differential expression of 70 miRNAs, including highly conserved ones (e.g. miR-133 and miR-375, as well honeybee-specific ones (e.g. miR-3745 and miR-3761. Our results put in evidence a broad spectrum of EcR-controlled gene expression during postembryonic development of honeybees, revealing new facets of EcR biology in this social insect.

  6. Pulsed Plasma Electron Sources

    Science.gov (United States)

    Krasik, Yakov

    2008-11-01

    Pulsed (˜10-7 s) electron beams with high current density (>10^2 A/cm^2) are generated in diodes with electric field of E > 10^6 V/cm. The source of electrons in these diodes is explosive emission plasma, which limits pulse duration; in the case E Saveliev, J. Appl. Phys. 98, 093308 (2005). Ya. E. Krasik, A. Dunaevsky, and J. Felsteiner, Phys. Plasmas 8, 2466 (2001). D. Yarmolich, V. Vekselman, V. Tz. Gurovich, and Ya. E. Krasik, Phys. Rev. Lett. 100, 075004 (2008). J. Z. Gleizer, Y. Hadas and Ya. E. Krasik, Europhysics Lett. 82, 55001 (2008).

  7. Progress on precision measurements of inner shell transitions in highly charged ions at an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Szabo, Csilla I.; Indelicato, Paul; LeBigot, Eric-Olivier; Vallette, Alexandre; Amaro, Pedro; Guerra, Mauro; Gumberidze, Alex [Laboratoire Kastler Brossel, Ecole Normale Superieure, CNRS, Universite Pierre et Marie Curie- Paris 6, Case 74, 4 place Jussieu, F-75005 Paris (France); Centro de Fisica Atomica, CFA, Departamento de Fisica (Portugal); Faculdade de Ciencias e Tecnologia, FCT, Universidade Nova de Lisboa, 2829-516 Caparica (Portugal); Laboratoire Kastler Brossel, Ecole Normale Superieure, CNRS, Universite Pierre et Marie Curie- Paris 6, Case 74, 4 place Jussieu, F-75005 Paris (France)

    2012-05-25

    Inner shell transitions of highly charged ions produced in the plasma of an Electron Cyclotron Resonance Ion Source (ECRIS) were observed the first time by a Double Crystal Spectrometer (DCS). The DCS is a well-used tool in precision x-ray spectroscopy due to its ability of precision wavelength measurement traced back to a relative angle measurement. Because of its requirement for a bright x-ray source the DCS has not been used before in direct measurements of highly charged ions (HCI). Our new precision measurement of inner shell transitions in HCI is not just going to provide new x-ray standards for quantum metrology but can also give information about the plasma in which the ions reside. Ionic temperatures and with that the electron density can be determined by thorough examination of line widths measured with great accuracy.

  8. GANIL Workshop on Ion Sources; Journees Sources d'Ions

    Energy Technology Data Exchange (ETDEWEB)

    Leroy, Renan [Grand Accelerateur National d' Ions Lourds (GANIL), 14 - Caen (France)

    1999-07-01

    The proceedings of the GANIL Workshop on Ion Sources held at GANIL - Caen on 18-19 March 1999 contains 13 papers aiming at improving the old source operation and developing new types of sources for nuclear research and studies of ion physics. A number of reports are devoted to applications like surface treatment, ion implantation or fusion injection. The 1+{yields}n+ transformation, charged particle transport in ECR sources, addition of cesium and xenon in negative ion sources and other basic issues in ion sources are also addressed.

  9. Analysis of the tuning characteristics of microwave plasma source

    International Nuclear Information System (INIS)

    Miotk, Robert; Jasiński, Mariusz; Mizeraczyk, Jerzy

    2016-01-01

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n_e and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n_e and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  10. ECRIPAC: A new concept for the production and acceleration to very high energies of multiply charged ions using an ECR plasma

    International Nuclear Information System (INIS)

    Geller, R.; Golovanivsky, K.; Melin, G.

    1991-01-01

    A concept of a new ECR device aimed to produce pulsed beams of ions accelerated up to 0.5 GeV/nucleon without the help of any conventional accelerator is exposed. The main idea is the conjunction of two fundamental physical principles experienced formerly in the PLEIADE and GYRAC devices. With the new concept the authors propose to produce, using a very compact device, high energy ion beams with energies and intensities adequate for particle, nuclear and atomic physics as well as for modern technologies and medicine

  11. Plasma Processing of Metallic and Semiconductor Thin Films in the Fisk Plasma Source

    Science.gov (United States)

    Lampkin, Gregory; Thomas, Edward, Jr.; Watson, Michael; Wallace, Kent; Chen, Henry; Burger, Arnold

    1998-01-01

    The use of plasmas to process materials has become widespread throughout the semiconductor industry. Plasmas are used to modify the morphology and chemistry of surfaces. We report on initial plasma processing experiments using the Fisk Plasma Source. Metallic and semiconductor thin films deposited on a silicon substrate have been exposed to argon plasmas. Results of microscopy and chemical analyses of processed materials are presented.

  12. Characterization of DBD plasma source for biomedical applications

    Energy Technology Data Exchange (ETDEWEB)

    Kuchenbecker, M; Vioel, W [University of Applied Sciences and Arts, Faculty of Natural Sciences and Technology, Von-Ossietzky-Str. 99, 37085 Goettingen (Germany); Bibinov, N; Awakowicz, P [Institute for Electrical Engineering and Plasma Technology, Ruhr-Universitaet Bochum, Universitaetstr. 150, 44780 Bochum (Germany); Kaemlimg, A; Wandke, D, E-mail: m.kuchenbecker@web.d, E-mail: Nikita.Bibinov@rub.d, E-mail: awakowicz@aept-ruhr-uni-bochum.d, E-mail: vioel@hawk-hhg.d [CINOGY GmbH, Max-Naeder-Str. 15, 37114 Duderstadt (Germany)

    2009-02-21

    The dielectric barrier discharge (DBD) plasma source for biomedical application is characterized using optical emission spectroscopy, plasma-chemical simulation and voltage-current measurements. This plasma source possesses only one electrode covered by ceramic. Human body or some other object with enough high electric capacitance or connected to ground can serve as the opposite electrode. DBD consists of a number of microdischarge channels distributed in the gas gap between the electrodes and on the surface of the dielectric. To characterize the plasma conditions in the DBD source, an aluminium plate is used as an opposite electrode. Electric parameters, the diameter of microdischarge channel and plasma parameters (electron distribution function and electron density) are determined. The gas temperature is measured in the microdischarge channel and calculated in afterglow phase. The heating of the opposite electrode is studied using probe measurement. The gas and plasma parameters in the microdischarge channel are studied at varied distances between electrodes. According to an energy balance study, the input microdischarge electric energy dissipates mainly in heating of electrodes (about 90%) and partially (about 10%) in the production of chemical active species (atoms and metastable molecules).

  13. Electron backstream to the source plasma region in an ion source

    International Nuclear Information System (INIS)

    Ohara, Y.; Akiba, M.; Arakawa, Y.; Okumura, Y.; Sakuraba, J.

    1980-01-01

    The flux of backstream electrons to the source plasma region increases significantly with the acceleration voltage of an ion beam, so that the back plate in the arc chamber should be broken for quasi-dc operation. The flux of backstream electrons is estimated at the acceleration voltage of 50--100 kV for a proton beam with the aid of ion beam simulation code. The power flux of backstream electrons is up to about 7% of the total beam output at the acceleration voltage of 75 kV. It is pointed out that the conventional ion sources such as the duoPIGatron or the bucket source which use a magnetic field for source plasma production are not suitable for quasi-dc and high-energy ion sources, because the surface heat flux of the back plate is increased by the focusing of backstream electrons and the removal of it is quite difficult. A new ion source which has an electron beam dump in the arc chamber is proposed

  14. RF plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Davidson, Ronald C.; Yu, Simon S.; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being used as a medium for charge neutralizing heavy ion beams in order to focus the ion beam to a small spot size. A radio frequency (RF) plasma source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The goal is to operate the source at pressures ∼ 10 -5 Torr at full ionization. The initial operation of the source has been at pressures of 10 -4 -10 -1 Torr and electron densities in the range of 10 8 -10 11 cm -3 . Recently, pulsed operation of the source has enabled operation at pressures in the 10 -6 Torr range with densities of 10 11 cm -3 . Near 100% ionization has been achieved. The source has been integrated with the NTX facility and experiments have begun

  15. Characterization of an electrothermal plasma source for fusion transient simulations

    Science.gov (United States)

    Gebhart, T. E.; Baylor, L. R.; Rapp, J.; Winfrey, A. L.

    2018-01-01

    The realization of fusion energy requires materials that can withstand high heat and particle fluxes at the plasma material interface. In this work, an electrothermal (ET) plasma source has been designed as a transient heat flux source for a linear plasma material interaction device. An ET plasma source operates in the ablative arc regime driven by a DC capacitive discharge. The current channel width is defined by the 4 mm bore of a boron nitride liner. At large plasma currents, the arc impacts the liner wall, leading to high particle and heat fluxes to the liner material, which subsequently ablates and ionizes. This results in a high density plasma with a large unidirectional bulk flow out of the source exit. The pulse length for the ET source has been optimized using a pulse forming network to have durations of 1 and 2 ms. The peak currents and maximum source energies seen in this system are 1.9 kA and 1.2 kJ for the 2 ms pulse and 3.2 kA and 2.1 kJ for the 1 ms pulse, respectively. This work is a proof of the principal project to show that an ET source produces electron densities and heat fluxes comparable to those anticipated in transient events in large future magnetic confinement fusion devices. Heat flux, plasma temperature, and plasma density were determined for each shot using infrared imaging and optical spectroscopy techniques. This paper will discuss the assumptions, methods, and results of the experiments.

  16. Optimization of ECR-breakdown and plasma discharge formation on T-10 tokamak, using X-mode second harmonic of ECR.

    Directory of Open Access Journals (Sweden)

    Roy I.

    2012-09-01

    Full Text Available In order to obtain breakdown and suitable plasma parameters for low-voltage OH start-up, high level of EC-power was injected into T-10 tokamak. Input HF-power was varied in the range of 0.15–1.0 MW. Two HF-launcher systems with different output beams allowed to inject EC-waves with maximum power density 0.25 MW/cm2 and 0.01 MW/cm2. Dependence of breakdown time delay on HF-power was obtained. It was shown, that optimal plasma parameters were achieved in presence of plasma equilibrium currents I=3 kA (input HF-power=1.0 MW. Electron temperature Te=100÷150 eV and electron density ne=5·1012 cm−3 was measured in these discharges. These parameters remained constant during full HF-pulse-length.

  17. Operation of the optically pumped polarized H- source at LAMPF

    International Nuclear Information System (INIS)

    York, R.L.; Tupa, D.; Swenson, D.R.; van Dyck, O.B.

    1991-01-01

    We report on the first five months of operation of the Optically Pumped Polarized Ion Source (OPPIS) for the nuclear physics research program at LAMPF. The LAMPF OPPIS is unique in using Ti: Sapphire lasers to polarize the potassium charge-exchange medium, and until recently was unique in using a superconducting magnet in the ECR source and polarizer regions. The ECR extraction electrode biasing arrangement is also unique. Typical performance was 25 microamps of peak current (measured at 750 keV) with 55% beam polarization or 15 microamps at 62%. Ion source availability was greater than 90%. We also report our planned improvements in preparation for research operation in May of 1991. 3 refs., 4 figs

  18. Analysis of the tuning characteristics of microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Miotk, Robert, E-mail: rmiotk@imp.gda.pl; Jasiński, Mariusz [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-231 Gdańsk (Poland); Mizeraczyk, Jerzy [Department of Marine Electronics, Gdynia Maritime University, Morska 81-87, 81-225 Gdynia (Poland)

    2016-04-15

    In this paper, we present an analysis of the tuning characteristics of waveguide-supplied metal-cylinder-based nozzleless microwave plasma source. This analysis has enabled to estimate the electron concentration n{sub e} and electron frequency collisions ν in the plasma generated in nitrogen and in a mixture of nitrogen and ethanol vapour. The parameters n{sub e} and ν are the basic quantities that characterize the plasma. The presented new plasma diagnostic method is particularly useful, when spectroscopic methods are useless. The presented plasma source is currently used in research of a hydrogen production from liquids.

  19. Development of a long-slot microwave plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Kuwata, Y., E-mail: euo1304@mail4.doshisha.ac.jp; Kasuya, T.; Miyamoto, N.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0321 (Japan)

    2016-02-15

    A 20 cm long 10 cm wide microwave plasma source was realized by inserting two 20 cm long 1.5 mm diameter rod antennas into the plasma. Plasma luminous distributions around the antennas were changed by magnetic field arrangement created by permanent magnets attached to the source. The distributions appeared homogeneous in one direction along the antenna when the spacing between the antenna and the source wall was 7.5 mm for the input microwave frequency of 2.45 GHz. Plasma density and temperature at a plane 20 cm downstream from the microwave shield were measured by a Langmuir probe array at 150 W microwave power input. The measured electron density and temperature varied over space from 3.0 × 10{sup 9} cm{sup −3} to 5.8 × 10{sup 9} cm{sup −3}, and from 1.1 eV to 2.1 eV, respectively.

  20. Molecular and negative ion production by a standard electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R. [Institute of Nuclear Research (ATOMKI), Bem ter 18/c, H-4026 Debrecen (Hungary); University of Debrecen, Egyetem ter 1, H-4010 Debrecen (Hungary); Biri, S.; Juhasz, Z.; Sulik, B. [Institute of Nuclear Research (ATOMKI), Bem ter 18/c, H-4026 Debrecen (Hungary); Palinkas, J. [University of Debrecen, Egyetem ter 1, H-4010 Debrecen (Hungary)

    2012-02-15

    Molecular and negative ion beams, usually produced in special ion sources, play an increasingly important role in fundamental and applied atomic physics. The ATOMKI-ECRIS is a standard ECR ion source, designed to provide highly charged ion (HCI) plasmas and beams. In the present work, H{sup -}, O{sup -}, OH{sup -}, O{sub 2}{sup -}, C{sup -}, C{sub 60}{sup -} negative ions and H{sub 2}{sup +}, H{sub 3}{sup +}, OH{sup +}, H{sub 2}O{sup +}, H{sub 3}O{sup +}, O{sub 2}{sup +} positive molecular ions were generated in this HCI-ECRIS. Without any major modification in the source and without any commonly applied tricks (such as usage of cesium or magnetic filter), negative ion beams of several {mu}A and positive molecular ion beams in the mA range were successfully obtained.

  1. Light ion source for proton/deuteron production at CEA Saclay for the Spiral2 project

    Energy Technology Data Exchange (ETDEWEB)

    Tuske, O.; Adroit, G.; Delferriere, O.; Denis, J-F.; Gauthier, Y.; Girardot, P.; Gobin, R.; Harrault, F.; Guiho, P.; Sauce, Y.; Uriot, D.; Vacher, T.; Van Hille, C. [CEA/Saclay, DSM/IRFU/SACM, F- 91191-Gif/Yvette (France); Graehling, P.; Hosselet, J.; Maazouzi, C. [IPHC, Institut Pluridisciplinaire Hubert Curien, Strasbourg (France)

    2012-02-15

    The production of rare radioactive ion beam (RIB) far from the valley of stability is one of the final purposes of the Spiral2 facility in Caen. The RIB will be produced by impinging a deuteron beam onto a carbon sample to produce a high neutron flux, which will interact with a uranium target. The primary deuteron beam is produced by an ion source based on ECR plasma generation. The deuteron source and the low energy beam transport (LEBT) has been assembled and tested at CEA Saclay. Diagnostics from other laboratories were implemented on the LEBT in order to characterize the deuteron beam produced and compare it to the initial simulations. The ion source has been based on a SILHI-type source, which has demonstrated good performances in pulsed and continuous mode, and also a very good reliability on long term operation. The 5 mA of deuteron beam required at the RFQ entrance is extracted from the plasma source at the energy of 40 kV. After a brief description of the experimental set-up, this article reports on the first beam characterization experiments.

  2. Moderate pressure plasma source of nonthermal electrons

    Science.gov (United States)

    Gershman, S.; Raitses, Y.

    2018-06-01

    Plasma sources of electrons offer control of gas and surface chemistry without the need for complex vacuum systems. The plasma electron source presented here is based on a cold cathode glow discharge (GD) operating in a dc steady state mode in a moderate pressure range of 2–10 torr. Ion-induced secondary electron emission is the source of electrons accelerated to high energies in the cathode sheath potential. The source geometry is a key to the availability and the extraction of the nonthermal portion of the electron population. The source consists of a flat and a cylindrical electrode, 1 mm apart. Our estimates show that the length of the cathode sheath in the plasma source is commensurate (~0.5–1 mm) with the inter-electrode distance so the GD operates in an obstructed regime without a positive column. Estimations of the electron energy relaxation confirm the non-local nature of this GD, hence the nonthermal portion of the electron population is available for extraction outside of the source. The use of a cylindrical anode presents a simple and promising method of extracting the high energy portion of the electron population. Langmuir probe measurements and optical emission spectroscopy confirm the presence of electrons with energies ~15 eV outside of the source. These electrons become available for surface modification and radical production outside of the source. The extraction of the electrons of specific energies by varying the anode geometry opens exciting opportunities for future exploration.

  3. Edge and Plasma -Wall Interaction Diagnostics in the TJ-II Stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Tabares, F. L.; Tafalla, D.; Branas, B.; Hidalgo, A.; Garcia-Cortes, I.; Lopez-Fraguas, A.; Ortiz, P.

    2003-07-01

    The operation of the TJ-II stellarator, carried out under ECR heating conditions until now, the plasma edge parameters and those processes has been identified. Therefore, an important , has implieda careful control of partied e sources and the associated plasma-wall interaction processes. A clear coupling between the plasma edge parameters and those processes has been identified. Therefore, an important effort has been devoted to the development of dedicated diagnostics in both fields. Remarkable success has been attained in the development of atomic-beam based edge diagnostics, namely, thermal Li and supersonic He beams. In particular, fast (up to 200 Hz) sampling of temperature and density profiles has been made possible thorough an upgraded version of the pulsed, supersonic He beam diagnostic. In this paper, whorl devoted to the upgrading of these techniques is described. Also, preliminary experiments oriented to the validation of the collisional radiative models use din the beam-based diagnostic interpretaron as well as simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. (Author) 17 refs.

  4. Edge and Plasma-Wall Interaction Diagnostics in the TJ-II Stellarator

    International Nuclear Information System (INIS)

    Tabares, F.L.; Tafalla, D.; Branas, B.; Hidalgo, A.; Garcia-Cortes, I.; Lopez-Fraguas, A.; Ortiz, P.

    2003-01-01

    The operation of the TJ-II stellarator, carried out under ECR heating conditions until now, the plasma edge parameters and those processes has been identified. Therefore, an important, has implied a careful control of partied e sources and the associated plasma-wall interaction processes. A clear coupling between the plasma edge parameters and those processes has been identified. Therefore, an important effort has been devoted to the development of dedicated diagnostics in both fields. Remarkable success has been attained in the development of atomic-beam based edge diagnostics, namely, thermal Li and supersonic He beams. In particular, fast (up to 200 Hz) sampling of temperature and density profiles has been made possible thorough an upgraded version of the pulsed, supersonic He beam diagnostic. In this paper, whorl devoted to the upgrading of these techniques is described. Also, preliminary experiments oriented to the validation of the collisional radiative models used in the beam-based diagnostic interpretaron as well as simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. (Author) 17 refs

  5. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel

    International Nuclear Information System (INIS)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A.

    2004-01-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10 -4 and 7 X 10 -4 Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 μ m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  6. Design of a novel high efficiency antenna for helicon plasma sources

    Science.gov (United States)

    Fazelpour, S.; Chakhmachi, A.; Iraji, D.

    2018-06-01

    A new configuration for an antenna, which increases the absorption power and plasma density, is proposed for helicon plasma sources. The influence of the electromagnetic wave pattern symmetry on the plasma density and absorption power in a helicon plasma source with a common antenna (Nagoya) is analysed by using the standard COMSOL Multiphysics 5.3 software. In contrast to the theoretical model prediction, the electromagnetic wave does not represent a symmetric pattern for the common Nagoya antenna. In this work, a new configuration for an antenna is proposed which refines the asymmetries of the wave pattern in helicon plasma sources. The plasma parameters such as plasma density and absorption rate for a common Nagoya antenna and our proposed antenna under the same conditions are studied using simulations. In addition, the plasma density of seven operational helicon plasma source devices, having a common Nagoya antenna, is compared with the simulation results of our proposed antenna and the common Nagoya antenna. The simulation results show that the density of the plasma, which is produced by using our proposed antenna, is approximately twice in comparison to the plasma density produced by using the common Nagoya antenna. In fact, the simulation results indicate that the electric and magnetic fields symmetry of the helicon wave plays a vital role in increasing wave-particle coupling. As a result, wave-particle energy exchange and the plasma density of helicon plasma sources will be increased.

  7. Iron-fullerene mixture plasma

    International Nuclear Information System (INIS)

    Biri, S.; Fekete, E.

    2004-01-01

    can not tell at this moment whether the iron atoms are inside or outside the carbon cage. As a conclusion our experiment demonstrated that the ECR ion source generally can be used to produce mixed iron-fullerene plasma and FeC 60 molecules both in the plasma and in the beam. These results are encouraging, but at lower frequencies and with larger plasmas we expect to achieve better results. Very recently we replaced our 14.5GHz/2000W klystron based microwave generator by a variable 8-12GHz/20W TWT system. So far we studied He and Ar plasmas with this device, the investigation of fullerene plasmas follows at the beginning of 2005. Another project in the near future is the application of other methods (e.g oven) to produce the Fe component of the plasma. (author)

  8. Honeycomblike large area LaB6 plasma source for Multi-Purpose Plasma facility

    International Nuclear Information System (INIS)

    Woo, Hyun-Jong; Chung, Kyu-Sun; You, Hyun-Jong; Lee, Myoung-Jae; Lho, Taihyeop; Choh, Kwon Kook; Yoon, Jung-Sik; Jung, Yong Ho; Lee, Bongju; Yoo, Suk Jae; Kwon, Myeon

    2007-01-01

    A Multi-Purpose Plasma (MP 2 ) facility has been renovated from Hanbit mirror device [Kwon et al., Nucl. Fusion 43, 686 (2003)] by adopting the same philosophy of diversified plasma simulator (DiPS) [Chung et al., Contrib. Plasma Phys. 46, 354 (2006)] by installing two plasma sources: LaB 6 (dc) and helicon (rf) plasma sources; and making three distinct simulators: divertor plasma simulator, space propulsion simulator, and astrophysics simulator. During the first renovation stage, a honeycomblike large area LaB 6 (HLA-LaB 6 ) cathode was developed for the divertor plasma simulator to improve the resistance against the thermal shock fragility for large and high density plasma generation. A HLA-LaB 6 cathode is composed of the one inner cathode with 4 in. diameter and the six outer cathodes with 2 in. diameter along with separate graphite heaters. The first plasma is generated with Ar gas and its properties are measured by the electric probes with various discharge currents and magnetic field configurations. Plasma density at the middle of central cell reaches up to 2.6x10 12 cm -3 , while the electron temperature remains around 3-3.5 eV at the low discharge current of less than 45 A, and the magnetic field intensity of 870 G. Unique features of electric property of heaters, plasma density profiles, is explained comparing with those of single LaB 6 cathode with 4 in. diameter in DiPS

  9. Measurements of Bremsstrahlung radiation and X-ray heat load to cryostat on SECRAL

    International Nuclear Information System (INIS)

    Zhao, H.Y.; Cao, Y.; Lu, W.; Zhang, W.H.; Zhao, H.W.; Zhang, X.Z.; Zhu, Y.H.; Li, X.X.; Xie, D.Z.

    2012-01-01

    The measurement of Bremsstrahlung radiation from ECR (Electron Cyclotron Resonance) plasma can yield certain information about the ECR heating process and the plasma confinement, and more important it can give a plausible estimate of the X-ray heat load to the cryostat of a superconducting ECR source. To better understand the additional heat load to the cryostat due to Bremsstrahlung radiation, the axial Bremsstrahlung measurements have been conducted on SECRAL (Superconducting Electron Cyclotron Resonance ion source with Advanced design in Lanzhou) with different source parameters. In addition, the heat load induced by intense X-ray or even γ-ray was estimated in terms of liquid helium consumption. The relationship between these two parameters is presented here. Thick-target Bremsstrahlung, induced by the collision of hot electrons with the wall or the source electrode, is much more intensive compared with the radiation produced in the plasma and, consequently, much more difficult to shield off. In this paper the presence of the thick-target Bremsstrahlung is correlated with the magnetic confinement configuration, specifically, the ratio of B(last) to B(ext). And possible solutions to reduce the X-ray heat load induced by Bremsstrahlung radiation are proposed and discussed. It appears that by choosing an appropriate ratio of B(last) to B(ext) the thick-target Bremsstrahlung radiation can be avoided effectively. The paper is followed by the associated poster

  10. Physics-electrical hybrid model for real time impedance matching and remote plasma characterization in RF plasma sources.

    Science.gov (United States)

    Sudhir, Dass; Bandyopadhyay, M; Chakraborty, A

    2016-02-01

    Plasma characterization and impedance matching are an integral part of any radio frequency (RF) based plasma source. In long pulse operation, particularly in high power operation where plasma load may vary due to different reasons (e.g. pressure and power), online tuning of impedance matching circuit and remote plasma density estimation are very useful. In some cases, due to remote interfaces, radio activation and, due to maintenance issues, power probes are not allowed to be incorporated in the ion source design for plasma characterization. Therefore, for characterization and impedance matching, more remote schemes are envisaged. Two such schemes by the same authors are suggested in these regards, which are based on air core transformer model of inductive coupled plasma (ICP) [M. Bandyopadhyay et al., Nucl. Fusion 55, 033017 (2015); D. Sudhir et al., Rev. Sci. Instrum. 85, 013510 (2014)]. However, the influence of the RF field interaction with the plasma to determine its impedance, a physics code HELIC [D. Arnush, Phys. Plasmas 7, 3042 (2000)] is coupled with the transformer model. This model can be useful for both types of RF sources, i.e., ICP and helicon sources.

  11. High Current, High Density Arc Plasma as a New Source for WiPAL

    Science.gov (United States)

    Waleffe, Roger; Endrizzi, Doug; Myers, Rachel; Wallace, John; Clark, Mike; Forest, Cary; WiPAL Team

    2016-10-01

    The Wisconsin Plasma Astrophysics Lab (WiPAL) has installed a new array of nineteen plasma sources (plasma guns) on its 3 m diameter, spherical vacuum vessel. Each gun is a cylindrical, molybdenum, washer-stabilized, arc plasma source. During discharge, the guns are maintained at 1.2 kA across 100 V for 10 ms by the gun power supply establishing a high density plasma. Each plasma source is fired independently allowing for adjustable plasma parameters, with densities varying between 1018 -1019 m-3 and electron temperatures of 5-15 eV. Measurements were characterized using a 16 tip Langmuir probe. The plasma source will be used as a background plasma for the magnetized coaxial plasma gun (MCPG), the Terrestrial Reconnection Experiment (TREX), and as the plasma source for a magnetic mirror experiment. Temperature, density, and confinement results will be presented. This work is supported by the DoE and the NSF.

  12. Source formulation for electron-impact ionization for fluid plasma simulations

    DEFF Research Database (Denmark)

    Müller, S.H.; Holland, C.; Tynan, G.R.

    2009-01-01

    The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma phy...... electron temperature regimes in a wide variety of basic plasma physics experiments, including the trends across different gases.......The derivation of the correct functional form of source terms in plasma fluid theory is revisited. The relation between the fluid source terms and atomic physics differential cross sections is established for particle-impact ionization. It is shown that the interface between atomic and plasma...... physics is completely described by three scalar functions of the incident particle energy. These are the total cross section and the newly introduced forward momentum and energy functions, which are properties of the differential cross sections only. For electron-impact ionization, the binary...

  13. Investigation of radiofrequency plasma sources for space travel

    International Nuclear Information System (INIS)

    Charles, C; Boswell, R W; Takahashi, K

    2012-01-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (∼1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (∼1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT). (paper)

  14. Investigation of radiofrequency plasma sources for space travel

    Science.gov (United States)

    Charles, C.; Boswell, R. W.; Takahashi, K.

    2012-12-01

    Optimization of radiofrequency (RF) plasma sources for the development of space thrusters differs from other applications such as plasma processing of materials since power efficiency, propellant usage, particle acceleration or heating become driving parameters. The development of two RF (13.56 MHz) plasma sources, the high-pressure (˜1 Torr) capacitively coupled ‘pocket rocket’ plasma micro-thruster and the low-pressure (˜1 mTorr) inductively coupled helicon double layer thruster (HDLT), is discussed within the context of mature and emerging electric propulsion devices. The density gradient in low-pressure expanding RF plasmas creates an electric field that accelerates positive ions out of the plasma. Generally, the total potential drop is similar to that of a wall sheath allowing the plasma electrons to neutralize the ion beam. A high-pressure expansion with no applied magnetic field can result in large dissociation rates and/or a collimated beam of ions of small area and a flowing heated neutral beam (‘pocket rocket’). A low-pressure expansion dominated by a magnetic field can result in the formation of electric double layers which produce a very directed neutralized beam of ions of large area (HDLT).

  15. High-efficiency target-ion sources for RIB generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1993-01-01

    A brief review is given of high-efficiency ion sources which have been developed or are under development at ISOL facilities which show particular promise for use at existing, future, or radioactive ion beam (RIB) facilities now under construction. Emphasis will be placed on those sources which have demonstrated high ionization efficiency, species versatility, and operational reliability and which have been carefully designed for safe handling in the high level radioactivity radiation fields incumbent at such facilities. Brief discussions will also be made of the fundamental processes which affect the realizable beam intensities in target-ion sources. Among the sources which will be reviewed will be selected examples of state-of-the-art electron-beam plasma-type ion sources, thermal-ionization, surface-ionization, ECR, and selectively chosen ion source concepts which show promise for radioactive ion beam generation. A few advanced, chemically selective target-ion sources will be described, such as sources based on the use of laser-resonance ionization, which, in principle, offer a more satisfactory solution to isobaric contamination problems than conventional electromagnetic techniques. Particular attention will be given to the sources which have been selected for initial or future use at the Holifield Radioactive Ion Beam Facility now under construction at the Oak Ridge National Laboratory

  16. The ionization length in plasmas with finite temperature ion sources

    Science.gov (United States)

    Jelić, N.; Kos, L.; Tskhakaya, D. D.; Duhovnik, J.

    2009-12-01

    The ionization length is an important quantity which up to now has been precisely determined only in plasmas which assume that the ions are born at rest, i.e., in discharges known as "cold ion-source" plasmas. Presented here are the results of our calculations of the ionization lengths in plasmas with an arbitrary ion source temperature. Harrison and Thompson (H&T) [Proc. Phys. Soc. 74, 145 (1959)] found the values of this quantity for the cases of several ion strength potential profiles in the well-known Tonks-Langmuir [Phys. Rev. 34, 876 (1929)] discharge, which is characterized by "cold" ion temperature. This scenario is also known as the "singular" ion-source discharge. The H&T analytic result covers cases of ion sources proportional to exp(βΦ) with Φ the normalized plasma potential and β =0,1,2 values, which correspond to particular physical scenarios. Many years following H&T's work, Bissell and Johnson (B&J) [Phys. Fluids 30, 779 (1987)] developed a model with the so-called "warm" ion-source temperature, i.e., "regular" ion source, under B&J's particular assumption that the ionization strength is proportional to the local electron density. However, it appears that B&J were not interested in determining the ionization length at all. The importance of this quantity to theoretical modeling was recognized by Riemann, who recently answered all the questions of the most advanced up-to-date plasma-sheath boundary theory with cold ions [K.-U. Riemann, Phys. Plasmas 13, 063508 (2006)] but still without the stiff warm ion-source case solution, which is highly resistant to solution via any available analytic method. The present article is an extension of H&T's results obtained for a single point only with ion source temperature Tn=0 to arbitrary finite ion source temperatures. The approach applied in this work is based on the method recently developed by Kos et al. [Phys. Plasmas 16, 093503 (2009)].

  17. On the possibility of the multiple inductively coupled plasma and helicon plasma sources for large-area processes

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jin-Won; Lee, Yun-Seong, E-mail: leeeeys@kaist.ac.kr; Chang, Hong-Young [Low-temperature Plasma Laboratory, Department of Physics, Korea Advanced Institute of Science and Technology, Daejeon 305-701 (Korea, Republic of); An, Sang-Hyuk [Agency of Defense Development, Yuseong-gu, Daejeon 305-151 (Korea, Republic of)

    2014-08-15

    In this study, we attempted to determine the possibility of multiple inductively coupled plasma (ICP) and helicon plasma sources for large-area processes. Experiments were performed with the one and two coils to measure plasma and electrical parameters, and a circuit simulation was performed to measure the current at each coil in the 2-coil experiment. Based on the result, we could determine the possibility of multiple ICP sources due to a direct change of impedance due to current and saturation of impedance due to the skin-depth effect. However, a helicon plasma source is difficult to adapt to the multiple sources due to the consistent change of real impedance due to mode transition and the low uniformity of the B-field confinement. As a result, it is expected that ICP can be adapted to multiple sources for large-area processes.

  18. An evolutionary conserved region (ECR in the human dopamine receptor D4 gene supports reporter gene expression in primary cultures derived from the rat cortex

    Directory of Open Access Journals (Sweden)

    Haddley Kate

    2011-05-01

    Full Text Available Abstract Background Detecting functional variants contributing to diversity of behaviour is crucial for dissecting genetics of complex behaviours. At a molecular level, characterisation of variation in exons has been studied as they are easily identified in the current genome annotation although the functional consequences are less well understood; however, it has been difficult to prioritise regions of non-coding DNA in which genetic variation could also have significant functional consequences. Comparison of multiple vertebrate genomes has allowed the identification of non-coding evolutionary conserved regions (ECRs, in which the degree of conservation can be comparable with exonic regions suggesting functional significance. Results We identified ECRs at the dopamine receptor D4 gene locus, an important gene for human behaviours. The most conserved non-coding ECR (D4ECR1 supported high reporter gene expression in primary cultures derived from neonate rat frontal cortex. Computer aided analysis of the sequence of the D4ECR1 indicated the potential transcription factors that could modulate its function. D4ECR1 contained multiple consensus sequences for binding the transcription factor Sp1, a factor previously implicated in DRD4 expression. Co-transfection experiments demonstrated that overexpression of Sp1 significantly decreased the activity of the D4ECR1 in vitro. Conclusion Bioinformatic analysis complemented by functional analysis of the DRD4 gene locus has identified a a strong enhancer that functions in neurons and b a transcription factor that may modulate the function of that enhancer.

  19. Perspective: The physics, diagnostics, and applications of atmospheric pressure low temperature plasma sources used in plasma medicine

    Science.gov (United States)

    Laroussi, M.; Lu, X.; Keidar, M.

    2017-07-01

    Low temperature plasmas have been used in various plasma processing applications for several decades. But it is only in the last thirty years or so that sources generating such plasmas at atmospheric pressure in reliable and stable ways have become more prevalent. First, in the late 1980s, the dielectric barrier discharge was used to generate relatively large volume diffuse plasmas at atmospheric pressure. Then, in the early 2000s, plasma jets that can launch cold plasma plumes in ambient air were developed. Extensive experimental and modeling work was carried out on both methods and much of the physics governing such sources was elucidated. Starting in the mid-1990s, low temperature plasma discharges have been used as sources of chemically reactive species that can be transported to interact with biological media, cells, and tissues and induce impactful biological effects. However, many of the biochemical pathways whereby plasma affects cells remain not well understood. This situation is changing rather quickly because the field, known today as "plasma medicine," has experienced exponential growth in the last few years thanks to a global research community that engaged in fundamental and applied research involving the use of cold plasma for the inactivation of bacteria, dental applications, wound healing, and the destruction of cancer cells/tumors. In this perspective, the authors first review the physics as well as the diagnostics of the principal plasma sources used in plasma medicine. Then, brief descriptions of their biomedical applications are presented. To conclude, the authors' personal assessment of the present status and future outlook of the field is given.

  20. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible microwave plasma methane pyrolysis reactor is proposed to recover hydrogen which is lost as methane in the...

  1. Production of accelerated electrons near an electron source in the plasma resonance region

    International Nuclear Information System (INIS)

    Fedorov, V.A.

    1989-01-01

    Conditions of generation of plasma electrons accelerated and their characteristics in the vicinity of an electron source are determined. The electron source isolated electrically with infinitely conducting surface, being in unrestricted collisionless plasma ω 0 >>ν, where ω 0 - plasma frequency of nonperturbated plasma, ν - frequency of plasma electron collisions with other plasma particles, is considered. Spherically symmetric injection of electrons, which rates are simulated by ω frequency, occurs from the source surface. When describing phenomena in the vicinity of the electron source, one proceeds from the quasihydrodynamic equation set

  2. Advanced plasma flow simulations of cathodic-arc and ferroelectric plasma sources for neutralized drift compression experiments

    Directory of Open Access Journals (Sweden)

    Adam B. Sefkow

    2008-07-01

    Full Text Available Large-space-scale and long-time-scale plasma flow simulations are executed in order to study the spatial and temporal evolution of plasma parameters for two types of plasma sources used in the neutralized drift compression experiment (NDCX. The results help assess the charge neutralization conditions for ion beam compression experiments and can be employed in more sophisticated simulations, which previously neglected the dynamical evolution of the plasma. Three-dimensional simulations of a filtered cathodic-arc plasma source show the coupling efficiency of the plasma flow from the source to the drift region depends on geometrical factors. The nonuniform magnetic topology complicates the well-known general analytical considerations for evaluating guiding-center drifts, and particle-in-cell simulations provide a self-consistent evaluation of the physics in an otherwise challenging scenario. Plasma flow profiles of a ferroelectric plasma source demonstrate that the densities required for longitudinal compression experiments involving ion beams are provided over the drift length, and are in good agreement with measurements. Simulations involving azimuthally asymmetric plasma creation conditions show that symmetric profiles are nevertheless achieved at the time of peak on-axis plasma density. Also, the ferroelectric plasma expands upstream on the thermal expansion time scale, and therefore avoids the possibility of penetration into the acceleration gap and transport sections, where partial neutralization would increase the beam emittance. Future experiments on NDCX will investigate the transverse focusing of an axially compressing intense charge bunch to a sub-mm spot size with coincident focal planes using a strong final-focus solenoid. In order to fill a multi-tesla solenoid with the necessary high-density plasma for beam charge neutralization, the simulations predict that supersonically injected plasma from the low-field region will penetrate and

  3. Combustion flame-plasma hybrid reactor systems, and chemical reactant sources

    Science.gov (United States)

    Kong, Peter C

    2013-11-26

    Combustion flame-plasma hybrid reactor systems, chemical reactant sources, and related methods are disclosed. In one embodiment, a combustion flame-plasma hybrid reactor system comprising a reaction chamber, a combustion torch positioned to direct a flame into the reaction chamber, and one or more reactant feed assemblies configured to electrically energize at least one electrically conductive solid reactant structure to form a plasma and feed each electrically conductive solid reactant structure into the plasma to form at least one product is disclosed. In an additional embodiment, a chemical reactant source for a combustion flame-plasma hybrid reactor comprising an elongated electrically conductive reactant structure consisting essentially of at least one chemical reactant is disclosed. In further embodiments, methods of forming a chemical reactant source and methods of chemically converting at least one reactant into at least one product are disclosed.

  4. High-Current Plasma Electron Sources

    International Nuclear Information System (INIS)

    Gushenets, J.Z.; Krokhmal, V.A.; Krasik, Ya. E.; Felsteiner, J.; Gushenets, V.

    2002-01-01

    In this report we present the design, electrical schemes and preliminary results of a test of 4 different electron plasma cathodes operating under Kg h-voltage pulses in a vacuum diode. The first plasma cathode consists of 6 azimuthally symmetrically distributed arc guns and a hollow anode having an output window covered by a metal grid. Plasma formation is initiated by a surface discharge over a ceramic washer placed between a W-made cathode and an intermediate electrode. Further plasma expansion leads to a redistribution of the discharge between the W-cathode and the hollow anode. An accelerating pulse applied between the output anode grid and the collector extracts electrons from this plasma. The operation of another plasma cathode design is based on Penning discharge for preliminary plasma formation. The main glow discharge occurs between an intermediate electrode of the Penning gun and the hollow anode. To keep the background pressure in the accelerating gap at P S 2.5x10 4 Torr either differential pumping or a pulsed gas puff valve were used. The operation of the latter electron plasma source is based on a hollow cathode discharge. To achieve a sharp pressure gradient between the cathode cavity and the accelerating gap a pulsed gas puff valve was used. A specially designed ferroelectric plasma cathode initiated plasma formation inside the hollow cathode. This type of the hollow cathode discharge ignition allowed to achieve a discharge current of 1.2 kA at a background pressure of 2x10 4 Torr. All these cathodes were developed and initially tested inside a planar diode with a background pressure S 2x10 4 Torr under the same conditions: accelerating voltage 180 - 300 kV, pulse duration 200 - 400 ns, electron beam current - 1 - 1.5 kA, and cross-sectional area of the extracted electron beam 113 cm 2

  5. Progress in the Development of a High Power Helicon Plasma Source for the Materials Plasma Exposure Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Goulding, Richard Howell [ORNL; Caughman, John B. [ORNL; Rapp, Juergen [ORNL; Biewer, Theodore M. [ORNL; Bigelow, Tim S. [ORNL; Campbell, Ian H. [ORNL; Caneses Marin, Juan F. [ORNL; Donovan, David C. [ORNL; Kafle, Nischal [ORNL; Martin, Elijah H. [ORNL; Ray, Holly B. [ORNL; Shaw, Guinevere C. [ORNL; Showers, Melissa A. [ORNL

    2017-09-01

    Proto-MPEX is a linear plasma device being used to study a novel RF source concept for the planned Material Plasma Exposure eXperiment (MPEX), which will address plasma-materials interaction (PMI) for nuclear fusion reactors. Plasmas are produced using a large diameter helicon source operating at a frequency of 13.56 MHz at power levels up to 120 kW. In recent experiments the helicon source has produced deuterium plasmas with densities up to ~6 × 1019 m–3 measured at a location 2 m downstream from the antenna and 0.4 m from the target. Previous plasma production experiments on Proto-MPEX have generated lower density plasmas with hollow electron temperature profiles and target power deposition peaked far off axis. The latest experiments have produced flat Te profiles with a large portion of the power deposited on the target near the axis. This and other evidence points to the excitation of a helicon mode in this case.

  6. Plasma control for efficient extreme ultra-violet source

    International Nuclear Information System (INIS)

    Takahashi, Kensaku; Nakajima, Mitsuo; Kawamura, Tohru; Shiho, Makoto; Hotta, Eiki; Horioka, Kazuhiko

    2008-01-01

    To generate a high efficiency extreme-ultraviolet (EUV) source, effects of plasma shape for controlling radiative plasmas based on xenon capillary discharge are experimentally investigated. The radiation characteristics observed via tapered capillary discharge are compared with those of straight one. From the comparison, the long emission period and different plasma behaviors of tapered capillary discharge are confirmed. This means that control of the plasma geometry is effective for prolonging the EUV emission period. This result also indicates that the plasma shape control seems to have a potential for enhancing the conversion efficiency. (author)

  7. Closing remarks for ECRIS'10

    International Nuclear Information System (INIS)

    Nakagawa, T.

    2012-01-01

    The scientific topics of the ECRIS 2010 Workshop are introduced in this paper. We found several clear trends in the development of ECR ion sources including: 1) intense beam production for secondary beams; 2) pulsed mode operation for beta-beam projects; 3) new applications (for trace element analysis, laser ablation of actinides, ion implanter, surface treatment...); and 4) plasma diagnostics, beam extraction and beam transport. For the point 4, presentations have been made concerning: the microwave coupling of the plasma chamber, progress in computerized simulations, the relations between the maximum energy of Bremsstrahlung and average kinetic energy of X-rays in order to understand better the heating mechanism of electrons in ECR plasmas

  8. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Energy Technology Data Exchange (ETDEWEB)

    Akazawa, Housei, E-mail: akazawa.housei@lab.ntt.co.jp [NTT Device Innovation Center, Morinosato Wakamiya, Atsugi, Kanagawa 243-0198 (Japan)

    2016-06-15

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  9. Note: Easy-to-maintain electron cyclotron resonance (ECR) plasma sputtering apparatus featuring hybrid waveguide and coaxial cables for microwave delivery

    Science.gov (United States)

    Akazawa, Housei

    2016-06-01

    The branched-waveguide electron cyclotron resonance plasma sputtering apparatus places quartz windows for transmitting microwaves into the plasma source not in the line of sight of the target. However, the quartz windows must be replaced after some time of operation. For maintenance, the loop waveguide branching from the T-junction must be dismounted and re-assembled accurately, which is a time-consuming job. We investigated substituting the waveguide branches with two sets of coaxial cables and waveguide/coaxial cable converters to simplify assembly as far as connection and disconnection go. The resulting hybrid system worked well for the purposes of plasma generation and film deposition.

  10. Theory for beam-plasma millimeter-wave radiation source experiments

    International Nuclear Information System (INIS)

    Rosenberg, M.; Krall, N.A.

    1989-01-01

    This paper reports on theoretical studies for millimeter-wave plasma source experiments. In the device, millimeter-wave radiation is generated in a plasma-filled waveguide driven by counter-streaming electron beams. The beams excite electron plasma waves which couple to produce radiation at twice the plasma frequency. Physics topics relevant to the high electron beam current regime are discussed

  11. Characteristics of an elongated plasma column produced by magnetically coupled hollow cathode plasma source

    Science.gov (United States)

    Bhuva, M. P.; Karkari, S. K.; Kumar, Sunil

    2018-03-01

    An elongated plasma column in the presence of an axial magnetic field has been formed using a cylindrical hollow cathode (HC) and a constricted anode (CA). The plasma characteristics of the central line have been found to vary with the magnetic field strength and the axial distance from the source. It is believed that the primary electrons constituting the discharge current are steered by the axial magnetic field to undertake ionizing collisions along the plasma column. The current carrying electrons from the HC reach the anode by cross-field diffusion towards the central line. The above observation has been substantiated using a phenomenological model which links the observed characteristics of the source with the plasma column. The experimental results are found to be in qualitative agreement with the model.

  12. The electron cyclotron resonance coupled to laser ion source for charge state enhancement experiment: production of high inensity ion beams by means of hybrid ion source

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Torrisi, L.; Ciavola, G.; Andó, L.; Celona, L.; Manciagli, S.; Krása, Josef; Láska, Leoš; Pfeifer, Miroslav; Rohlena, Karel; Mazzasalma, A. M.; Gentile, C.; Picciotto, A.; Wolowski, J.; Woryna, E.; Badziak, J.; Parys, P.; Hitz, D.; Shirkov, G. D.

    2004-01-01

    Roč. 96, č. 5 (2004), s. 2961-2967 ISSN 0021-8979 Institutional research plan: CEZ:AV0Z1010921 Keywords : laser ion sources * ECR ion sources Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.255, year: 2004

  13. Investigation of the performances of an ECR charge breeder at ISOLDE: a study of the 1+ → n+ scenario for the next generation ISOL facilities

    International Nuclear Information System (INIS)

    Marie-Jeanne, M.

    2009-02-01

    The work I describe here was performed at ISOLDE, CERN. It aimed at giving an objective report of the current performances of Electron Cyclotron Resonance (ECR) ion sources used as charge breeders, with both stable and radioactive ion beams. As a prerequisite, some technical developments were undertaken to improve the setup and to lead the tests with optimal conditions. A major part of these developments concerns beam purity, and is detailed in this thesis. Then, the program of measurements of the charge breeding efficiencies of various isotopes was completed with different charge breeding modes. I analyzed the results of these experiments and compared them to the current performances of other types of charge breeding methods. At the end, some conclusions are drawn from this investigation in perspective of the choices to make for future ISOL post-accelerators. The discussion is extended to the immediate application of ECR charge bred radioactive ion beams to physics experiments, for which I proposed and performed additional tests. (author)

  14. Investigation of the performances of an ECR charge breeder at ISOLDE: a study of the 1$^{+}\\to$n$^{+}$ scenario for the next generation ISOL facilities.

    CERN Document Server

    MARIE-JEANNE, M; Delahaye, P

    2009-01-01

    The work described here was performed at ISOLDE, CERN. It aimed at giving an objective report of the current performances of Electron Cyclotron Resonance (ECR) ion sources used as charge breeders, with both stable and radioactive ion beams. As a prerequisite, some technical developments were undertaken during the PhD thesis to improve the setup and to lead the tests with optimal conditions. A major part of these developments concerns beam purity, and is detailed in this thesis. Then, measurements of the charge breeding efficiencies of various isotopes were completed with different charge breeding modes. Results of these experiments are analyzed and compared to the current performances of other types of charge breeding methods. At the end, some conclusions are drawn from this investigation in perspective of the choices to make for future ISOL postaccelerators. The discussion is extended to the immediate application of ECR charge bred radioactive ion beams to physics experiments.

  15. LLNL large-area inductively coupled plasma (ICP) source: Experiments

    International Nuclear Information System (INIS)

    Richardson, R.A.; Egan, P.O.; Benjamin, R.D.

    1995-05-01

    We describe initial experiments with a large (76-cm diameter) plasma source chamber to explore the problems associated with large-area inductively coupled plasma (ICP) sources to produce high density plasmas useful for processing 400-mm semiconductor wafers. Our experiments typically use a 640-nun diameter planar ICP coil driven at 13.56 MHz. Plasma and system data are taken in Ar and N 2 over the pressure range 3-50 mtorr. RF inductive power was run up to 2000W, but typically data were taken over the range 100-1000W. Diagnostics include optical emission spectroscopy, Langmuir probes, and B probes as well as electrical circuit measurements. The B and E-M measurements are compared with models based on commercial E-M codes. Initial indications are that uniform plasmas suitable for 400-mm processing are attainable

  16. Utilization of ion source 'SUPERSHYPIE' in the study of low energy ion-atom and ion-molecule collisions

    International Nuclear Information System (INIS)

    Bazin, V.; Boduch, P.; Chesnel, J.Y.; Fremont, F.; Lecler, D.; Pacquet, J. Y.; Gaubert, G.; Leroy, R.

    1999-01-01

    Modifications in the ECR 4M ion source are described, which conducted to realization of the advanced source 'SUPERSHYPIE'. The Ar 8+ ion collision with Cs(6s,6p) were studied by photon spectroscopy at low energy, where the process is dominated by simple electron capture. Results obtained with 'SUPERSHYPIE' source are presented. The source was utilized also in ion-molecule collisions (CO, H 2 ) to study the spectra of recoil ions and Auger electron spectra in the Ar 17+ He collisions. The excellent performances of 'SUPERSHYPIE' in high charge production and concerning its accurate and fine control and stability are illustrated and underlined as compared with those of ECR 4M source

  17. GANIL Workshop on Ion Sources

    International Nuclear Information System (INIS)

    Leroy, Renan

    1999-01-01

    The proceedings of the GANIL Workshop on Ion Sources held at GANIL - Caen on 18-19 March 1999 contains 13 papers aiming at improving the old source operation and developing new types of sources for nuclear research and studies of ion physics. A number of reports are devoted to applications like surface treatment, ion implantation or fusion injection. The 1+→n+ transformation, charged particle transport in ECR sources, addition of cesium and xenon in negative ion sources and other basic issues in ion sources are also addressed

  18. Thin films of hydrogenated amorphous carbon (a-C:H) obtained through chemical vapor deposition assisted by plasma; Peliculas delgadas de carbono amorfo hidrogenado (a-C:H) obtenidas mediante deposito quimico de vapores asistido por plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mejia H, J.A.; Camps C, E.E.; Escobar A, L.; Romero H, S.; Chirino O, S. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico); Muhl S, S. [IIM-UNAM, 04510 Mexico D.F. (Mexico)

    2004-07-01

    Films of hydrogenated amorphous carbon (a-C:H) were deposited using one source of microwave plasma with magnetic field (type ECR), using mixtures of H{sub 2}/CH{sub 4} in relationship of 80/20 and 95/05 as precursory gases, with work pressures of 4X10{sup -4} to 6x10{sup -4} Torr and an incident power of the discharge of microwaves with a constant value of 400 W. It was analyzed the influence among the properties of the films, as the deposit rate, the composition and the bonding types, and the deposit conditions, such as the flow rates of the precursory gases and the polarization voltage of the sample holders. (Author)

  19. Impurity radiation from a beam-plasma neutron source

    International Nuclear Information System (INIS)

    Molvik, A.W.

    1995-01-01

    Impurity radiation, in a worst case evaluation for a beam-plasma neutron source (BPNS), does not limit performance. Impurities originate from four sources: (a) sputtering from walls by charge exchange or alpha particle bombardment, (b) sputtering from limiters, (c) plasma desorption of gas from walls and (d) injection with neutral beams. Sources (c) and (d) are negligible; adsorbed gas on the walls of the confinement chamber and the neutral beam sources is removed by the steady state discharge. Source (b) is negligible for impinging ion energies below the sputtering threshold (T i ≤ 0.025 keV on tungsten) and for power densities to the limiter within the capabilities of water cooling (30-40 MW/m 2 ); both conditions can be satisfied in the BPNS. Source (a) radiates 0.025 MW/m 2 to the neutron irradiation samples, compared with 5 to 10 MW/m 2 of neutrons; and radiates a total of 0.08 MW from the plasma column, compared with 60 MW of injected power. The particle bombardment that yields source (a) deposits an average of 2.7 MW/m 2 on the samples, within the capabilities of helium gas cooling (10 MW/m 2 ). An additional worst case for source (d) is evaluated for present day 2 to 5 s pulsed neutral beams with 0.1% impurity density and is benchmarked against 2XIIB. The total radiation would increase a factor of 1.5 to ≤ 0.12 MW, supporting the conclusion that impurities will not have a significant impact on a BPN. (author). 61 refs, 7 figs, 2 tabs

  20. Theoretical and experimental study of the electron distribution function in the plasma of an electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Girard, A.; Perret, C.; Bourg, F.; Khodja, H.; Melin, G.; Lecot, C.

    1997-01-01

    Electron Cyclotron Resonance Ion Sources (ECRIS) are mirror machines which can deliver important fluxes of Highly Charged Ions (HCI). These performances are strongly correlated with hot electrons sustained by an RF wave. This paper presents an analysis of the EDF in an ECR source. In the first part of the paper a one-dimensional Fokker-Planck code for the Electron Distribution Function is presented: this code includes a quasilinear diffusion operator for the RF wave, a collision term and a source term due to electron impact ionization. The present status of this code is presented. In the second part of the paper experiments related to the measurement of the EDF are presented: electron density, diamagnetism, electron endloss current have been measured at the Quadrumafios ECRIS. With these results it is possible to give a precise description of the EDF. (author)

  1. Production of Highly Charged Heavy Ions by Means of a Hybrid Source in DC Mode and in Afterglow Mode

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Torrisi, L.; Celona, L.; Andó, L.; Manciagli, S.; Consoli, F.; Galatá, A.; Picciotto, A.; Mezzasalma, A. M.; Krása, Josef; Láska, Leoš; Pfeifer, Miroslav; Rohlena, Karel; Wolowski, J.; Woryna, E.; Parys, P.; Shirkov, G. D.; Hitz, D.

    2005-01-01

    Roč. 2, č. 6 (2005), s. 458-463 ISSN 1612-8850 R&D Projects: GA MŠk(CZ) ME 238 Institutional research plan: CEZ:AV0Z10100523 Keywords : afterglow plasma processes * electron cyclotron resonance (ECR) * ion beams * laser ablation Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 2.846, year: 2005

  2. Simulating Sources of Superstorm Plasmas

    Science.gov (United States)

    Fok, Mei-Ching

    2008-01-01

    We evaluated the contributions to magnetospheric pressure (ring current) of the solar wind, polar wind, auroral wind, and plasmaspheric wind, with the surprising result that the main phase pressure is dominated by plasmaspheric protons. We used global simulation fields from the LFM single fluid ideal MHD model. We embedded the Comprehensive Ring Current Model within it, driven by the LFM transpolar potential, and supplied with plasmas at its boundary including solar wind protons, polar wind protons, auroral wind O+, and plasmaspheric protons. We included auroral outflows and acceleration driven by the LFM ionospheric boundary condition, including parallel ion acceleration driven by upward currents. Our plasmasphere model runs within the CRCM and is driven by it. Ionospheric sources were treated using our Global Ion Kinetics code based on full equations of motion. This treatment neglects inertial loading and pressure exerted by the ionospheric plasmas, and will be superceded by multifluid simulations that include those effects. However, these simulations provide new insights into the respective role of ionospheric sources in storm-time magnetospheric dynamics.

  3. Proceedings of the Japan-US workshop on plasma polarization spectroscopy and the fourth international symposium on plasma polarization spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fujimoto, Takashi; Beiersdorfer, Peter [eds.

    2004-07-01

    The international meeting on Plasma Polarization Spectroscopy (PPS) was held at Kyoto University during February 4-6, 2004. This Proceedings book includes the summaries of the talks given in that meeting. Starting with the Overview talk by Csanak, the subjects cover: x-ray polarization experiments on z-pinches (plasma foci), and an x-pinch, a laser-produced plasma in a gas atmosphere, an interpretation of the polarized 1<- 0 x-ray laser line, polarization observation from various laser-produced plasmas including a recombining phase plasma, a report on the on-going project of a laser facility, several polarization observations on magnetically confined plasmas including the Large Helical Device and an ECR plasma, a new laser-induced fluorescence diagnostic method. On atomic physics side given are: various polarization measurements on EBIT, precision spectroscopy on the TEXTOR, user-friendly atomic codes. Instrumentation is also a subject of this book. The 18 of the presented papers are indexed individually. (J.P.N.)

  4. Influence of Bernstein modes on the efficiency of electron cyclotron resonance x-ray source

    International Nuclear Information System (INIS)

    Andreev, V. V.; Nikitin, G.V.; Savanovich, V.Yu.; Umnov, A.M.; Elizarov, L.I.; Serebrennikov, K.S.; Vostrikova, E.A.

    2006-01-01

    The article considers the factors influencing the temperature of hot electron component in an electron cyclotron resonance (ECR) x-ray source. In such sources the electron heating occurs often due to extraordinary electromagnetic wave propagating perpendicularly to the magnetic field. In this case the possibility of the absorption of Bernstein modes is regarded as an additional mechanism of electron heating. The Bernstein modes in an ECR x-ray source can arise due to either linear transformation or parametric instability of external transversal wave. The article briefly reviews also the further experiments which will be carried out to study the influence of Bernstein modes on the increase of hot electron temperature and consequently of x-ray emission

  5. Hall Current Plasma Source Having a Center-Mounted or a Surface-Mounted Cathode

    Science.gov (United States)

    Martinez, Rafael A. (Inventor); Williams, John D. (Inventor); Moritz, Jr., Joel A. (Inventor); Farnell, Casey C. (Inventor)

    2018-01-01

    A miniature Hall current plasma source apparatus having magnetic shielding of the walls from ionized plasma, an integrated discharge channel and gas distributor, an instant-start hollow cathode mounted to the plasma source, and an externally mounted keeper, is described. The apparatus offers advantages over other Hall current plasma sources having similar power levels, including: lower mass, longer lifetime, lower part count including fewer power supplies, and the ability to be continuously adjustable to lower average power levels using pulsed operation and adjustment of the pulse duty cycle. The Hall current plasma source can provide propulsion for small spacecraft that either do not have sufficient power to accommodate a propulsion system or do not have available volume to incorporate the larger propulsion systems currently available. The present low-power Hall current plasma source can be used to provide energetic ions to assist the deposition of thin films in plasma processing applications.

  6. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    International Nuclear Information System (INIS)

    Toader, D.; Craciun, G.; Manaila, E.; Oproiu, C.; Marghitu, S.

    2009-01-01

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES L V) with a plasma electron source (PES L V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP L V source.

  7. Development of long lifetime-high current plasma cathode ion source

    International Nuclear Information System (INIS)

    Yabe, Eiji; Takayama, Kazuo; Fukui, Ryota.

    1987-01-01

    A long lifetime ion source with plasma cathode has been developed for use in ion implantation. In this ion source, a plasma of a nonreactive working gas serves as a cathode in place of a thermionic tungsten filament used in the Freeman ion source. In an applied magnetic field, the plasma cathode is convergent, i.e. filament-like; in zero magnetic field, it turns divergent and spray-like. In the latter case, the plasma exhibits a remarkable ability when the working gas has an ionization potential larger than the feed gas. By any combination of a working gas of either argon or neon and a feed gas of AsF 5 or PF 5 , the lifetime of this ion source was found to be more than 90 hours with an extraction voltage of 40 kV and the corresponding ion current density 20 mA/cm 2 . Mass spectrometry results show that this ion source has an ability of generating a considerable amount of As + and P + ions from AsF 5 and PF 5 , and hence will be useful for realizing a fully cryopumped ion implanter system. This ion source is eminently suitable for use in oxygen ion production. (author)

  8. Fine frequency tuning of the PHOENIX charge breeder used as a probe for ECRIS plasmas

    International Nuclear Information System (INIS)

    Lamy, T.; Angot, J.; Melanie, M.J.; Medard, J.; Sortais, P.; Thuillier, T.; Galata, A.; Koivisto, Hannu; Tarvainen, Olli

    2012-01-01

    Fine frequency tuning of ECR ion sources is a main issue to optimize the production of multiply charged ion beams. The PHOENIX charge breeder operation has been tested in the range 13.75 - 14.5 GHz with an HF power of about 400 W. The effect of this tuning is analyzed by measuring the multi-ionization efficiency obtained for various characterized injected 1+ ion beams (produced by the 2.45 GHz COMIC source). The 1+/n+ method includes the capture and the multi ionization processes of the 1+ beam and may be considered as a plasma probe. The n+ spectra obtained could be considered, in first approach, as an image of the plasma of the charge breeder. However, in certain conditions it has been observed that the injection of a few hundreds of nA of 1+ ions (i.e.: Xe+) in the plasma of the charge breeder, is able to destroy the charge state distribution of the support gas (i.e.: up to 40 % of O 6+ and O 7+ disappears). The study of this phenomenon will be presented along with plasma potential measurements for various charge states. This study may help to understand the creation (or destruction) of highly charged ions inside an ECRIS. The paper is followed by the slides of the presentation. (authors)

  9. Superconducting magnets for the RAON electron cyclotron resonance ion source.

    Science.gov (United States)

    Choi, S; Kim, Y; Hong, I S; Jeon, D

    2014-02-01

    The RAON linear accelerator of Rare Isotope Science Project has been developed since 2011, and the superconducting magnet for ECRIS was designed. The RAON ECR ion source was considered as a 3rd generation source. The fully superconducting magnet has been designed for operating using 28 GHz radio frequency. The RAON ECRIS operates in a minimum B field configuration which means that a magnetic sextupole field for radial confinement is superimposed with a magnetic mirror field for axial confinement. The highest field strength reaches 3.5 T on axis and 2 T at the plasma chamber wall for operating frequency up to 28 GHz. In this paper, the design results are presented of optimized superconducting magnet consisting of four solenoids and sextupole. The prototype magnet for ECRIS was fabricated and tested to verify the feasibility of the design. On the basis of test results, a fully superconducting magnet will be fabricated and tested.

  10. Dependence of the source performance on plasma parameters at the BATMAN test facility

    Science.gov (United States)

    Wimmer, C.; Fantz, U.

    2015-04-01

    The investigation of the dependence of the source performance (high jH-, low je) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H-, its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H- density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa).

  11. Dependence of the source performance on plasma parameters at the BATMAN test facility

    International Nuclear Information System (INIS)

    Wimmer, C.; Fantz, U.

    2015-01-01

    The investigation of the dependence of the source performance (high j H − , low j e ) for optimum Cs conditions on the plasma parameters at the BATMAN (Bavarian Test MAchine for Negative hydrogen ions) test facility is desirable in order to find key parameters for the operation of the source as well as to deepen the physical understanding. The most relevant source physics takes place in the extended boundary layer, which is the plasma layer with a thickness of several cm in front of the plasma grid: the production of H − , its transport through the plasma and its extraction, inevitably accompanied by the co-extraction of electrons. Hence, a link of the source performance with the plasma parameters in the extended boundary layer is expected. In order to characterize electron and negative hydrogen ion fluxes in the extended boundary layer, Cavity Ring-Down Spectroscopy and Langmuir probes have been applied for the measurement of the H − density and the determination of the plasma density, the plasma potential and the electron temperature, respectively. The plasma potential is of particular importance as it determines the sheath potential profile at the plasma grid: depending on the plasma grid bias relative to the plasma potential, a transition in the plasma sheath from an electron repelling to an electron attracting sheath takes place, influencing strongly the electron fraction of the bias current and thus the amount of co-extracted electrons. Dependencies of the source performance on the determined plasma parameters are presented for the comparison of two source pressures (0.6 Pa, 0.45 Pa) in hydrogen operation. The higher source pressure of 0.6 Pa is a standard point of operation at BATMAN with external magnets, whereas the lower pressure of 0.45 Pa is closer to the ITER requirements (p ≤ 0.3 Pa)

  12. Study on surface modification of polymer films by using atmospheric plasma jet source

    International Nuclear Information System (INIS)

    Takemura, Yuichiro; Hara, Tamio; Yamaguchi, Naohiro

    2008-01-01

    Reactive gas plasma treatments of poly(ethylene terephthalate) (PET) and polyimide (Kapton) have been performed using an atmospheric plasmas jet source. Characteristics of surface modification have been examined by changing the distance between the plasma jet source and the treated sample, and by changing the working gas spaces. Simultaneously, each plasma jet source has been investigated by space-resolving spectroscopy in the UV/visible region. Polymer surfaces have been analyzed by X-ray photoelectron spectroscopy (XPS). A marked improvement in the hydrophilicity of the polymer surfaces has been made by using N 2 or O 2 plasma jet source with a very short exposure time of about 0.01 s, whereas the less improvement has been obtained using on air plasma jet source because of NO x compound production. Changes in the chemical states of C of the polymer surfaces have been observed in XPS spectra after N 2 plasma jet spraying. (author)

  13. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    International Nuclear Information System (INIS)

    Singh, M. J.; Bandyopadhyay, M.; Yadava, Ratnakar; Chakraborty, A. K.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-01-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1x10 18 /m 3 , at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  14. RF-Plasma Source Commissioning in Indian Negative Ion Facility

    Science.gov (United States)

    Singh, M. J.; Bandyopadhyay, M.; Bansal, G.; Gahlaut, A.; Soni, J.; Kumar, Sunil; Pandya, K.; Parmar, K. G.; Sonara, J.; Yadava, Ratnakar; Chakraborty, A. K.; Kraus, W.; Heinemann, B.; Riedl, R.; Obermayer, S.; Martens, C.; Franzen, P.; Fantz, U.

    2011-09-01

    The Indian program of the RF based negative ion source has started off with the commissioning of ROBIN, the inductively coupled RF based negative ion source facility under establishment at Institute for Plasma research (IPR), India. The facility is being developed under a technology transfer agreement with IPP Garching. It consists of a single RF driver based beam source (BATMAN replica) coupled to a 100 kW, 1 MHz RF generator with a self excited oscillator, through a matching network, for plasma production and ion extraction and acceleration. The delivery of the RF generator and the RF plasma source without the accelerator, has enabled initiation of plasma production experiments. The recent experimental campaign has established the matching circuit parameters that result in plasma production with density in the range of 0.5-1×1018/m3, at operational gas pressures ranging between 0.4-1 Pa. Various configurations of the matching network have been experimented upon to obtain a stable operation of the set up for RF powers ranging between 25-85 kW and pulse lengths ranging between 4-20 s. It has been observed that the range of the parameters of the matching circuit, over which the frequency of the power supply is stable, is narrow and further experiments with increased number of turns in the coil are in the pipeline to see if the range can be widened. In this paper, the description of the experimental system and the commissioning data related to the optimisation of the various parameters of the matching network, to obtain stable plasma of required density, are presented and discussed.

  15. Modeling of low pressure plasma sources for microelectronics fabrication

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Rauf, Shahid; Likhanskii, Alexandre

    2017-01-01

    Chemically reactive plasmas operating in the 1 mTorr–10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift. (paper)

  16. Modeling of low pressure plasma sources for microelectronics fabrication

    Science.gov (United States)

    Agarwal, Ankur; Bera, Kallol; Kenney, Jason; Likhanskii, Alexandre; Rauf, Shahid

    2017-10-01

    Chemically reactive plasmas operating in the 1 mTorr-10 Torr pressure range are widely used for thin film processing in the semiconductor industry. Plasma modeling has come to play an important role in the design of these plasma processing systems. A number of 3-dimensional (3D) fluid and hybrid plasma modeling examples are used to illustrate the role of computational investigations in design of plasma processing hardware for applications such as ion implantation, deposition, and etching. A model for a rectangular inductively coupled plasma (ICP) source is described, which is employed as an ion source for ion implantation. It is shown that gas pressure strongly influences ion flux uniformity, which is determined by the balance between the location of plasma production and diffusion. The effect of chamber dimensions on plasma uniformity in a rectangular capacitively coupled plasma (CCP) is examined using an electromagnetic plasma model. Due to high pressure and small gap in this system, plasma uniformity is found to be primarily determined by the electric field profile in the sheath/pre-sheath region. A 3D model is utilized to investigate the confinement properties of a mesh in a cylindrical CCP. Results highlight the role of hole topology and size on the formation of localized hot-spots. A 3D electromagnetic plasma model for a cylindrical ICP is used to study inductive versus capacitive power coupling and how placement of ground return wires influences it. Finally, a 3D hybrid plasma model for an electron beam generated magnetized plasma is used to understand the role of reactor geometry on plasma uniformity in the presence of E  ×  B drift.

  17. Experimental facility for determining plasma characteristics in ion sources

    International Nuclear Information System (INIS)

    Abroyan, M.A.; Kagan, Yu.M.; Kolokolov, N.B.; Lavrov, B.P.

    A facility for optical and electrical measurements of the plasma parameters in the arc plasma ion sources is described. The potentialities of the system are demonstrated on the basis of the electron concentration, the electron energy distribution function, and the radial population distribution of the excited states of hydrogen atoms in the arc plasma of the duoplasmatron. (U.S.)

  18. Development of very large helicon plasma source

    International Nuclear Information System (INIS)

    Shinohara, Shunjiro; Tanikawa, Takao

    2004-01-01

    We have developed a very large volume, high-density helicon plasma source, 75 cm in diameter and 486 cm in axial length; full width at half maximum of the plasma density is up to ∼42 cm with good plasma uniformity along the z axis. By the use of a spiral antenna located just outside the end of the vacuum chamber through a quartz-glass window, plasma can be initiated with a very low value of radio frequency (rf) power ( 12 cm -3 is successfully produced with less than several hundred Watt; achieving excellent discharge efficiency. It is possible to control the radial density profile in this device by changing the magnetic field configurations near the antenna and/or the antenna radiation-field patterns

  19. Radiation from a pulsed dipole source in a moving magnetized plasma

    International Nuclear Information System (INIS)

    Gavrilenko, V. G.; Petrov, E. Yu.; Pikulin, V. D.; Sutyagina, D. A.

    2006-01-01

    The problem of radiation from a pulsed dipole source in a moving magnetized plasma described by a diagonal permittivity tensor is considered. An exact solution describing the spatiotemporal behavior of the excited electromagnetic field is obtained. The shape of an electromagnetic pulse that is generated by the source and propagates at different angles to both the direction of the external magnetic field and the direction of plasma motion is investigated. It is found that even nonrelativistic motion of the plasma medium can substantially influence the parameters of radiation from prescribed unsteady sources

  20. Electron Beam Diagnosis and Dynamics using DIADYN Plasma Source

    Energy Technology Data Exchange (ETDEWEB)

    Toader, D; Craciun, G; Manaila, E; Oproiu, C [National Institute of Research for Laser, Plasma and Radiation Physics Bucuresti (Romania); Marghitu, S [ICPE Electrostatica S.A - Bucuresti (Romania)

    2009-11-15

    This paper is presenting results obtained with the DIADYN installation after replacing its vacuum electron source (VES{sub L}V) with a plasma electron source (PES{sub L}V). DIADYN is a low energy laboratory equipment operating with 10 to 50 keV electron beams and designed to help realize non-destructive diagnosis and dynamics for low energy electron beams but also to be used in future material irradiations. The results presented here regard the beam diagnosis and dynamics made with beams obtained from the newly replaced plasma source. We discuss both results obtained in experimental dynamics and dynamics calculation results for electron beams extracted from the SEP{sub L}V source.

  1. A double-layer based model of ion confinement in electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, D., E-mail: davidmascali@lns.infn.it; Neri, L.; Celona, L.; Castro, G.; Gammino, S.; Ciavola, G. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Torrisi, G. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Università Mediterranea di Reggio Calabria, Dipartimento di Ingegneria dell’Informazione, delle Infrastrutture e dell’Energia Sostenibile, Via Graziella, I-89100 Reggio Calabria (Italy); Sorbello, G. [Istituto Nazionale di Fisica Nucleare, Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Università degli Studi di Catania, Dipartimento di Ingegneria Elettrica Elettronica ed Informatica, Viale Andrea Doria 6, 95125 Catania (Italy)

    2014-02-15

    The paper proposes a new model of ion confinement in ECRIS, which can be easily generalized to any magnetic configuration characterized by closed magnetic surfaces. Traditionally, ion confinement in B-min configurations is ascribed to a negative potential dip due to superhot electrons, adiabatically confined by the magneto-static field. However, kinetic simulations including RF heating affected by cavity modes structures indicate that high energy electrons populate just a thin slab overlapping the ECR layer, while their density drops down of more than one order of magnitude outside. Ions, instead, diffuse across the electron layer due to their high collisionality. This is the proper physical condition to establish a double-layer (DL) configuration which self-consistently originates a potential barrier; this “barrier” confines the ions inside the plasma core surrounded by the ECR surface. The paper will describe a simplified ion confinement model based on plasma density non-homogeneity and DL formation.

  2. Developing laser ablation in an electron cyclotron resonance ion source for actinide detection with AMS

    Energy Technology Data Exchange (ETDEWEB)

    Bauder, W. [Argonne National Laboratory, Physics Division, 9600 S. Cass Ave, Lemont, IL 60439 (United States); University of Notre Dame, Nuclear Science Laboratory, 124 Nieuwland Science Hall, Notre Dame, IN 46556 (United States); Pardo, R.C.; Kondev, F.G.; Kondrashev, S.; Nair, C.; Nusair, O. [Argonne National Laboratory, Physics Division, 9600 S. Cass Ave, Lemont, IL 60439 (United States); Palchan, T. [Hebrew University, Racah Institute of Physics, Jerusalem 91904 (Israel); Scott, R.; Seweryniak, D.; Vondrasek, R. [Argonne National Laboratory, Physics Division, 9600 S. Cass Ave, Lemont, IL 60439 (United States); Collon, P. [University of Notre Dame, Nuclear Science Laboratory, 124 Nieuwland Science Hall, Notre Dame, IN 46556 (United States); Paul, M. [Hebrew University, Racah Institute of Physics, Jerusalem 91904 (Israel)

    2015-10-15

    A laser ablation material injection system has been developed at the ATLAS electron cyclotron resonance (ECR) ion source for use in accelerator mass spectrometry experiments. Beam production with laser ablation initially suffered from instabilities due to fluctuations in laser energy and cratering on the sample surface by the laser. However, these instabilities were rectified by applying feedback correction for the laser energy and rastering the laser across the sample surface. An initial experiment successfully produced and accelerated low intensity actinide beams with up to 1000 counts per second. With continued development, laser ablation shows promise as an alternative material injection scheme for ECR ion sources and may help substantially reduce cross talk in the source.

  3. Experimental dependence of ECR plasma breakdown on wave polarization in the TJ-II stellarator

    International Nuclear Information System (INIS)

    Cappa, A.; Castejon, F.; Tabares, F.; Fernandez, A.; Tafalla, D.; Cal, E. de la; Estrada, T.; Nagasaki, K.

    2005-01-01

    Recently, second harmonic ECRH plasma breakdown and its dependence on the initial conditions such as neutral gas pressure, injected power or beam polarization has been the subject of theoretical as well as experimental work. Although those studies have been carried out in the context of stellarators, they are particularly relevant in the case of large tokamaks, such as ITER, where conventional inductive breakdown is expected to be strongly improved if ECRH is used. A matter of interest is the dependence of breakdown time on wave polarization. In the existing theoretical models, wave polarization is not taken into account because it is assumed that the injected ECRH power is initially scrambled by the vessel walls and that the energy source for breakdown is only due to the non-linear wave-particle interaction between deeply trapped electrons and the averaged electric field. However, while this seems reasonable for the very beginning of the discharge, it may not be so as we progress towards breakdown. Actually, as experiments in Heliotron J have demonstrated, wave polarization must be taken into account in the description of the energy source. Breakdown experiments in TJ-II were performed in order to get a deep insight into this matter and part of the results of breakdown dependence on wave polarization were already discussed. In particular, it was demonstrated that the hypothesis about the energy source used in the models is failing long before full ionization is completed. But more information in relation with our understanding of the second harmonic ECRH breakdown, such as the toroidal and radial breakdown propagation, which is seen to be non-diffusive, can be extracted. Thus, the experiment results provide valuable data that can be used not only to improve the energy source but also to include spatial dimensions in the breakdown models. (author)

  4. First ECR-Ionized Noble Gas Radioisotopes at ISOLDE

    CERN Document Server

    Wenander, F; Gaubert, G; Jardin, P; Lettry, Jacques

    2004-01-01

    The production of light noble gas radioisotopes with high ionization potentials has been hampered by modest ionization efficiencies for standard plasma ion-sources. However, the decay losses are minimal as the lingering time of light noble gases within plasma ion-sources is negligible when compared to its diffusion out of the target material. Previous singly charged ECRIS have shown a higher efficiency but also a lingering time of the order of 1 s and a total weight that prevents remote handling by the ISOLDE robot. The compact MINIMONO efficiently addressed the lingering time and weight issues. In addition, the MINIMONO maintained the high off-line ionization efficiency for light noble gases. This paper describes a standard ISOLDE target unit equipped with a MINIMONO ion-source and the first tests. The ion-source has been tested off-line and equipped with a CaO target for on-line tests. Valuable information was gained about high current (100-500 muA) transport through the ISOLDE mass separators designed for ...

  5. Thin films of hydrogenated amorphous carbon (a-C:H) obtained through chemical vapor deposition assisted by plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.; Camps C, E.E.; Escobar A, L.; Romero H, S.; Chirino O, S.; Muhl S, S.

    2004-01-01

    Films of hydrogenated amorphous carbon (a-C:H) were deposited using one source of microwave plasma with magnetic field (type ECR), using mixtures of H 2 /CH 4 in relationship of 80/20 and 95/05 as precursory gases, with work pressures of 4X10 -4 to 6x10 -4 Torr and an incident power of the discharge of microwaves with a constant value of 400 W. It was analyzed the influence among the properties of the films, as the deposit rate, the composition and the bonding types, and the deposit conditions, such as the flow rates of the precursory gases and the polarization voltage of the sample holders. (Author)

  6. Development of a 1-m plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-05-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ˜0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ˜10 -6 Torr with plasma densities of 10 11 cm -3. Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (˜1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ˜10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed.

  7. Development of a 1-m plasma source for heavy ion beam charge neutralization

    International Nuclear Information System (INIS)

    Efthimion, Philip C.; Gilson, Erik P.; Grisham, Larry; Davidson, Ronald C.; Yu, Simon; Waldron, William; Grant Logan, B.

    2005-01-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1-100 times the ion beam density and at a length ∼0.1-1 m would be suitable for achieving a high level of charge neutralization. A radio frequency (RF) source was constructed at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization. Pulsing the source enabled operation at pressures ∼10 -6 Torr with plasma densities of 10 11 cm -3 . Near 100% ionization was achieved. The plasma was 10 cm in length, but future experiments require a source 1 m long. The RF source does not easily scale to the length. Consequently, large-volume plasma sources based upon ferroelectric ceramics are being considered. These sources have the advantage of being able to increase the length of the plasma and operate at low neutral pressures. The source will utilize the ferroelectric ceramic BaTiO 3 to form metal plasma. A 1 m long section of the drift tube inner surface of NTX will be covered with ceramic. A high voltage (∼1-5 kV) is applied between the drift tube and the front surface of the ceramic by placing a wire grid on the front surface. Plasma densities of 10 12 cm -3 and neutral pressures ∼10 -6 Torr are expected. A test stand to produce 20 cm long plasma is being constructed and will be tested before a 1 m long source is developed

  8. Resonant power absorption in helicon plasma sources

    International Nuclear Information System (INIS)

    Chen Guangye; Arefiev, Alexey V.; Bengtson, Roger D.; Breizman, Boris N.; Lee, Charles A.; Raja, Laxminarayan L.

    2006-01-01

    Helicon discharges produce plasmas with a density gradient across the confining magnetic field. Such plasmas can create a radial potential well for nonaxisymmetric whistlers, allowing radially localized helicon (RLH) waves. This work presents new evidence that RLH waves play a significant role in helicon plasma sources. An experimentally measured plasma density profile in an argon helicon discharge is used to calculate the rf field structure. The calculations are performed using a two-dimensional field solver under the assumption that the density profile is axisymmetric. It is found that RLH waves with an azimuthal wave number m=1 form a standing wave structure in the axial direction and that the frequency of the RLH eigenmode is close to the driving frequency of the rf antenna. The calculated resonant power absorption, associated with the RLH eigenmode, accounts for most of the rf power deposited into the plasma in the experiment

  9. A High-Intensity, RF Plasma-Sputter Negative Ion Source

    International Nuclear Information System (INIS)

    Alton, G.D.; Bao, Y.; Cui, B.; Lohwasser, R.; Reed, C.A.; Zhang, T.

    1999-01-01

    A high-intensity, plasma-sputter negative-ion source based on the use of RF power for plasma generation has been developed that can be operated in either pulsed or dc modes. The source utilizes a high-Q, self-igniting, inductively coupled antenna system, operating at 80 MHz that has been optimized to generate Cs-seeded plasmas at low pressures (typically, - (610 microA); F - (100 microA); Si - (500 microA); S - (500 microA); P - (125 microA); Cl - (200 microA); Ni - (150 microA); Cu - (230 microA); Ge - (125 microA); As - (100 microA); Se - (200 microA); Ag - (70 microA); Pt - (125 microA); Au - (250 microA). The normalized emittance var e psilon n of the source at the 80% contour is: var e psilon n = 7.5 mm.mrad.(MeV) 1/2 . The design principles of the source, operational parameters, ion optics, emittance and intensities for a number of negative-ion species will be presented in this report

  10. A 14-MeV beam-plasma neutron source for materials testing

    International Nuclear Information System (INIS)

    Futch, A.H.; Coensgen, F.H.; Damm, C.C.; Molvik, A.W.

    1989-01-01

    The design and performance of 14-MeV beam-plasma neutron sources for accelerated testing of fusion reactor materials are described. Continuous production of 14-MeV neutron fluxes in the range of 5 to 10 MW/m 2 at the plasma surface are produced by D-T reactions in a two-component plasma. In the present designs, 14-MeV neutrons result from collisions of energetic deuterium ions created by transverse injection of 150-keV deuterium atoms on a fully ionized tritium target plasma. The beam energy, which deposited at the center of the tritium column, is transferred to the warm plasma by electron drag, which flows axially to the end regions. Neutral gas at high pressure absorbs the energy in the tritium plasma and transfers the heat to the walls of the vacuum vessel. The plasma parameters of the neutron source, in dimensionless units, have been achieved in the 2XIIB high-β plasma. The larger magnetic field of the present design permits scaling to the higher energy and density of the neutron source design. In the extrapolation, care has been taken to preserve the scaling and plasma attributes that contributed to equilibrium, magnetohydrodynamic (MHD) stability, and microstability in 2XIIB. The performance and scaling characteristics are described for several designs chosen to enhance the thermal isolation of the two-component plasmas. 11 refs., 3 figs., 3 tabs

  11. Shunting arc plasma source for pure carbon ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Koguchi, H.; Sakakita, H.; Kiyama, S.; Shimada, T.; Sato, Y.; Hirano, Y. [Energy Technology Research Institute, National Institute of Advanced Industrial Science and Technology (AIST), 1-1-1 Umezono, Tsukuba, Ibaraki 305-8568 (Japan)

    2012-02-15

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA/mm{sup 2} at the peak of the pulse.

  12. Shunting arc plasma source for pure carbon ion beam.

    Science.gov (United States)

    Koguchi, H; Sakakita, H; Kiyama, S; Shimada, T; Sato, Y; Hirano, Y

    2012-02-01

    A plasma source is developed using a coaxial shunting arc plasma gun to extract a pure carbon ion beam. The pure carbon ion beam is a new type of deposition system for diamond and other carbon materials. Our plasma device generates pure carbon plasma from solid-state carbon material without using a hydrocarbon gas such as methane gas, and the plasma does not contain any hydrogen. The ion saturation current of the discharge measured by a double probe is about 0.2 mA∕mm(2) at the peak of the pulse.

  13. SERIJSKA POVEZAVA POS TERMINALA INGENICO 5100 Z NAPRAVO ECR

    OpenAIRE

    Černenšek, Matjaž

    2012-01-01

    Diplomsko delo predstavlja korak naprej k poenostavitvi in pospešitvi izvajanja postopkov finančnih transakcij na prodajnem mestu z uporabo plačilnih kartic in POS terminala. Predstavljena in definirana je ideja fizične povezave dveh naprav, vključenih v ta proces. To sta blagajniška naprava ECR in POS terminal, ki ju z uporabo serijske povezave povežemo tako, da si izmenjujeta podatke za uspešno izvršitev finančne transakcije in druge ključne informacije. Podrobneje je opisana fizična izvedb...

  14. Development of an Ethernet enabled microcontroller based module for Superconducting Cyclotron ECR beam line control

    International Nuclear Information System (INIS)

    Chatterjee, M.; Koley, D.; Nabhiraj, P.Y.

    2012-01-01

    An Ethernet enabled control and data acquisition module is developed for remote control and monitoring of the ECR beam line equipment of the Superconducting Cyclotron. The PIC microcontroller based module supports multiple general purpose analog and digital inputs and outputs for interfacing with various equipments and an embedded web server. The remote monitoring and control of the equipment are achieved through the web based user interface. The user authenticated access to control parameters and module configuration parameters ensures the operational safety of the equipment under control. This module is installed in Superconducting Cyclotron ECR beam line for the control and monitoring of vacuum pumping modules, comprising of pumps, gate valves and dual vacuum gauges. The installation of these modules results in a distributed control with localised field cabling and hence better fault diagnosis. (author)

  15. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  16. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  17. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  18. Microwave Plasma Sources for Gas Processing

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.; Dors, M.; Zakrzewski, Z.

    2008-01-01

    In this paper atmospheric pressure microwave discharge methods and devices used for producing the non-thermal plasmas for processing of gases are presented. The main part of the paper concerns the microwave plasma sources (MPSs) for environmental protection applications. A few types of the MPSs, i.e. waveguide-based surface wave sustained MPS, coaxial-line-based and waveguide-based nozzle-type MPSs, waveguide-based nozzleless cylinder-type MPS and MPS for microdischarges are presented. Also, results of the laboratory experiments on the plasma processing of several highly-concentrated (up to several tens percent) volatile organic compounds (VOCs), including Freon-type refrigerants, in the moderate (200-400 W) waveguide-based nozzle-type MPS (2.45 GHz) are presented. The results showed that the microwave discharge plasma fully decomposed the VOCs at relatively low energy cost. The energy efficiency of VOCs decomposition reached 1000 g/kWh. This suggests that the microwave discharge plasma can be a useful tool for environmental protection applications. In this paper also results of the use of the waveguide-based nozzleless cylinder-type MPS to methane reforming into hydrogen are presented

  19. Pantechnik new superconducting ion source: PantechniK Indian Superconducting Ion Source

    International Nuclear Information System (INIS)

    Gaubert, G.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Leroy, R.; Sineau, A.; Vallerand, C.; Villari, A. C. C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PantechniK Indian Superconducting Ion Source (PKISIS) was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration, while the radial magnetic field is done with the multi-layer permanent magnets. Special care was devoted to the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using low temperature superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability and easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 deg. C) installed with an angle of 5 deg. with respect to the source axis or a sputtering system, mounted on the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PKISIS magnetic fields are 2.1 T axial B inj and 1.32 T radial field in the wall, variable B min with an independent coil and a large and opened extraction region. Moreover, PKISIS integrates modern design concepts, like RF direct injection (2 kW availability), dc-bias moving disk, out-of-axis oven and axial sputtering facility for metal beams. Finally, PKISIS is also conceived in order to operate in a high-voltage platform with minor power consumption.

  20. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, R. H.; Biewer, T. M.; Caughman, J. B. O.; Chen, G. C.; Owen, L. W.; Sparks, D. O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Γ p 10 23 m -3 s -1 , and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of ∼10 MW/m 2 . An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength |B| in the antenna region up to ∼0.15 T. Maximum densities of 3x10 19 m -3 in He and 2.5x10 19 m -3 in H have been achieved. Radial density profiles have been seen to be dependent on the axial |B| profile.

  1. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  2. Plasma phenomenology in astrophysical systems: Radio-sources and jets

    International Nuclear Information System (INIS)

    Montani, Giovanni; Petitta, Jacopo

    2014-01-01

    We review the plasma phenomenology in the astrophysical sources which show appreciable radio emissions, namely Radio-Jets from Pulsars, Microquasars, Quasars, and Radio-Active Galaxies. A description of their basic features is presented, then we discuss in some details the links between their morphology and the mechanisms that lead to the different radio-emissions, investigating especially the role played by the plasma configurations surrounding compact objects (Neutron Stars, Black Holes). For the sake of completeness, we briefly mention observational techniques and detectors, whose structure set them apart from other astrophysical instruments. The fundamental ideas concerning angular momentum transport across plasma accretion disks—together with the disk-source-jet coupling problem—are discussed, by stressing their successes and their shortcomings. An alternative scenario is then inferred, based on a parallelism between astrophysical and laboratory plasma configurations, where small-scale structures can be found. We will focus our attention on the morphology of the radio-jets, on their coupling with the accretion disks and on the possible triggering phenomena, viewed as profiles of plasma instabilities

  3. The gridless plasma ion source (GIS) for plasma ion assisted optical coating

    International Nuclear Information System (INIS)

    You Dawei; Li Xiaoqian; Wang Yu; Lin Yongchang

    2004-01-01

    High-quality optical coating is a key technology for modern optics. Ion-assisted deposition technology was used to improve the vaporized coating in 1980's. The GIS (gridless ion source), which is an advanced plasma source for producing a high-quality optical coating in large area, can produce a large area uniformity>1000 mm (diameter), a high ion current density ∼0.5 mA/cm 2 , 20 eV-200 eV energetic plasma ions and can activate reactive gas and film atoms. Now we have developed a GIS system. The GIS and the plasma ion-assisted deposition technology are investigated to achieve a high-quality optical coating. The GIS is a high power and high current source with a power of 1 kW-7.5 kW, a current of 10 A- 70 A and an ion density of 200 μA/cm 2 -500 μA/cm 2 . Because of the special magnetic structure, the plasma-ion extraction efficiency has been improved to obtain a maximum ion density of 500 μA/cm 2 in the medium power (∼4 kW) level. The GIS applied is of a special cathode structure, so that the GIS operation can be maintained under a rather low power and the lifetime of cathode will be extended. The GIS has been installed in the LPSX-1200 type box coating system. The coated TiO 2 , SiO 2 films such as antireflective films with the system have the same performance reported by Leybold Co, 1992, along with a controllable refractive index and film structure. (authors)

  4. Impurities, temperature, and density in a miniature electrostatic plasma and current source

    International Nuclear Information System (INIS)

    Den Hartog, D.J.; Craig, D.J.; Fiksel, G.; Sarff, J.S.

    1996-10-01

    We have spectroscopically investigated the Sterling Scientific miniature electrostatic plasma source-a plasma gun. This gun is a clean source of high density (10 19 - 10 20 m -3 ), low temperature (5 - 15 eV) plasma. A key result of our investigation is that molybdenum from the gun electrodes is largely trapped in the internal gun discharge; only a small amount escapes in the plasma flowing out of the gun. In addition, the gun plasma parameters actually improve (even lower impurity contamination and higher ion temperature) when up to 1 kA of electron current is extracted from the gun via the application of an external bias. This improvement occurs because the internal gun anode no longer acts as the current return for the internal gun discharge. The gun plasma is a virtual plasma electrode capable of sourcing an electron emission current density of 1 kA/cm 2 . The high emission current, small size (3 - 4 cm diameter), and low impurity generation make this gun attractive for a variety of fusion and plasma technology applications

  5. Operation of Ferroelectric Plasma Sources in a Gas Discharge Mode

    International Nuclear Information System (INIS)

    Dunaevsky, A.; Fisch, N.J.

    2004-01-01

    Ferroelectric plasma sources in vacuum are known as sources of ablative plasma, formed due to surface discharge. In this paper, observations of a gas discharge mode of operation of the ferroelectric plasma sources (FPS) are reported. The gas discharge appears at pressures between approximately 20 and approximately 80 Torr. At pressures of 1-20 Torr, there is a transition from vacuum surface discharge to the gas discharge, when both modes coexist and the surface discharges sustain the gas discharge. At pressures between 20 and 80 Torr, the surface discharges are suppressed, and FPS operate in pure gas discharge mode, with the formation of almost uniform plasma along the entire surface of the ceramics between strips. The density of the expanding plasma is estimated to be about 1013 cm-3 at a distance of 5.5 mm from the surface. The power consumption of the discharge is comparatively low, making it useful for various applications. This paper also presents direct measurements of the yield of secondary electron emission from ferroelectric ceramics, which, at low energies of primary electrons, is high and dependent on the polarization of the ferroelectric material

  6. Technological plasma source equipped with combined system of vacuum-arc discharge initiation

    International Nuclear Information System (INIS)

    Sysoev, Yu.O.

    2013-01-01

    The construction and the operation principle of erosion plasma source with a three-stage system of vacuum-arc discharge excitation is described. As first two step was used the modified contactless start system with plasma injector, which was widely used in standard plasma sources of the ''Bulat'' systems. The operation principle of the third stage was based on the transition of glow discharge to arc discharge. Coordinated operation of three stages during various stages of coating deposition provided significant increasing of service life and reliability of the system of vacuum-arc discharge initiation and extended the functionality of the plasma source

  7. Ecdysone receptor (EcR) and ultraspiracle (USP) genes from the cyclopoid copepod Paracyclopina nana: Identification and expression in response to water accommodated fractions (WAFs).

    Science.gov (United States)

    Puthumana, Jayesh; Lee, Min-Chul; Han, Jeonghoon; Kim, Hui-Su; Hwang, Dae-Sik; Lee, Jae-Seong

    2017-02-01

    Ecdysteroid hormones are pivotal in the development, growth, and molting of arthropods, and the hormone pathway is triggered by binding ecdysteroid to a heterodimer of the two nuclear receptors; ecdysone receptors (EcR) and ultraspiracle (USP). We have characterized EcR and USP genes, and their 5'-untranslated region (5'-UTR) from the copepod Paracyclopina nana, and studied mRNA transcription levels in post-embryonic stages and in response to water accommodated fractions (WAFs) of crude oil. The open reading frames (ORF) of EcR and USP were 1470 and 1287bp that encoded 490 and 429 amino acids with molecular weight of 121.18 and 105.03kDa, respectively. Also, a well conserved DNA-binding domain (DBD) and ligand-binding domain (LBD) were identified which confirmed by phylogenetic analysis. Messenger RNA transcriptional levels of EcR and USP were developmental stage-specific in early post-embryonic stages (N3-4). However, an evoked expression of USP was observed throughout copepodid stage and in adult females. WAFs (40 and 80%) were acted as an ecdysone agonist in P. nana, and elicited the mRNA transcription levels in adults. Developmental stage-specific transcriptional activation of EcR and USP in response to WAFs was observed. USP gene was down-regulated in the nauplius in response to WAF, whereas up-regulation of USP was observed in the adults. This study represents the first data of molecular elucidation of EcR and USP genes and their regulatory elements from P. nana and the developmental stage specific expression in response to WAFs, which can be used as potential biomarkers for environmental stressors with ecotoxicological evaluations in copepods. Copyright © 2016 Elsevier Inc. All rights reserved.

  8. Operation of Lanzhou all permanent electron cyclotron resonance ion source No. 2 on 320 kV platform with highly charged ions.

    Science.gov (United States)

    Lu, W; Li, J Y; Kang, L; Liu, H P; Li, H; Li, J D; Sun, L T; Ma, X W

    2014-02-01

    The 320 kV platform for multi-discipline research with highly charged ions is a heavy ion beam acceleration instrument developed by Institute of Modern Physics, which is dedicated to basic scientific researches such as plasma, atom, material physics, and astrophysics, etc. The platform has delivered ion beams of 400 species for 36,000 h. The average operation time is around 5000 h/year. With the beams provided by the platform, lots of outstanding progresses were made in various research fields. The ion source of the platform is an all-permanent magnet electron cyclotron resonance ion source, LAPECR2 (Lanzhou All Permanent ECR ion source No. 2). The maximum axial magnetic fields are 1.28 T at injection and 1.07 T at extraction, and the radial magnetic field is up to 1.21 T at the inner wall of the plasma chamber. The ion source is capable to produce low, medium, and high charge state gaseous and metallic ion beams, such as H(+), (40)Ar(8+), (129)Xe(30+), (209)Bi(33+), etc. This paper will present the latest result of LAPECR2 and the routine operation status for the high voltage platform.

  9. The design of 28 GHz ECR Ion Source for the Compact Linear Accelerator in Korea

    International Nuclear Information System (INIS)

    MiSook, Won; ByoungSeob, Lee; JinYong, Park; DongJun Park; JongPil, Kim; JongSeong, Bae; JungKeum, Ahn; SonJong, Wang; Nakagawa, T.

    2012-01-01

    The construction of a compact linear accelerator is in progress by Korea Basic Science Institute. The main capability of this facility is the production of multiply ionized metal clusters and the generation more intense beams of highly charged ions for material, medical and nuclear physical research. To produce the intense beam of highly charged ions, we will construct an Electron Cyclotron Resonance Ion Source (ECRIS) using 28 GHz microwaves. For this ECRIS, the design of a superconducting magnet, microwave inlet, beam extraction and plasma chamber was completed. Also we are constructing a superconducting magnet system. In this poster, we will report the current status of development of our 28 GHz ECRIS. (authors)

  10. Micro-pulses generation in ECR breakdown stimulated by gyrotron radiation at 37,5 GHz

    International Nuclear Information System (INIS)

    Skalyga, V.; Zorin, V.; Izotov, I.; Golubev, S.; Razin, S.; Sidorov, A.; Vodopyanov, A.

    2012-01-01

    The present work is devoted to experimental and theoretical investigation of the creation of short pulsed (< 100 μs) multicharged ion beams. The possibility of quasi-stationary generation of short pulsed beams under conditions of quasi-gasdynamic plasma confinement was shown in recent experiments. Later another way of such beams creation based on the Pre-glow effect was proposed. In present work it was demonstrated that in the case when duration of microwave (MW) pulse is less than formation time of Pre-glow peak, realization of a regime when ion current is negligible during MW pulse and intense multicharged ions flux appears only when MW ends could be possible. Such pulses after the end of MW were called micro-pulses. In the present work the generation of micro-pulses was observed in experiments with ECR discharge stimulated by gyrotron radiation at 37,5 GHz, 100 kW. In this case pulses with duration less than 30 μs were obtained. Probably the same effect was observed in GANIL where 14 GHz radiation was used and pulses with duration about 2 ms were registered. In present work it was shown that the intensity of such micro-pulse could be higher than intensity of Pre-glow peak at the same conditions but with longer MW pulse. The generation of micro-pulses of nitrogen and argon multicharged ions with current of a few mA and length about 30 μs after MW pulse with duration of 30-100 μs was demonstrated. The low level of impurities, high current density and rather high average charge make possible to consider such micro-pulse regime as a possibility for the creation of a short pulsed ion source. The paper is followed by the slides of the presentation. (authors)

  11. Double-layer ion acceleration triggered by ion magnetization in expanding radiofrequency plasma sources

    International Nuclear Information System (INIS)

    Takahashi, Kazunori; Charles, Christine; Boswell, Rod W.; Fujiwara, Tamiya

    2010-01-01

    Ion energy distribution functions downstream of the source exit in magnetically expanding low-pressure plasmas are experimentally investigated for four source tube diameters ranging from about 5 to 15 cm. The magnetic-field threshold corresponding to a transition from a simple expanding plasma to a double layer-containing plasma is observed to increase with a decrease in the source tube diameter. The results demonstrate that for the four geometries, the double layer and the accelerated ion beam form when the ion Larmour radius in the source becomes smaller than the source tube radius, i.e., when the ions become magnetized in the source tube.

  12. KEKCB electron cyclotron resonance charge breeder at TRIAC

    International Nuclear Information System (INIS)

    Imai, N.; Jeong, S. C.; Oyaizu, M.; Arai, S.; Fuchi, Y.; Hirayama, Y.; Ishiyama, H.; Miyatake, H.; Tanaka, M. H.; Okada, M.; Watanabe, Y. X.; Ichikawa, S.; Kabumoto, H.; Osa, A.; Otokawa, Y.; Sato, T. K.

    2008-01-01

    The KEKCB is an electron cyclotron resonance (ECR) ion source for converting singly charged ions to multicharged ones at Tokai Radioactive Ion Accelerator Complex. By using the KEKCB, singly charged gaseous and nongaseous ions were converted to multicharged ones of A/q≅7 with efficiencies of 7% and 2%, respectively. The conversion efficiency was found to be independent of the lifetime of the radioactive nuclei having lifetimes of the order of one second. Three collimators located at the entrance and the exit of the KEKCB defined the beam axis and facilitated beam injection. Grinding and washing the surfaces of aluminum electrode and plasma chamber dramatically reduced impurities originating from the ECR plasma of the KEKCB

  13. Operation of the ORNL High Particle Flux Helicon Plasma Source

    International Nuclear Information System (INIS)

    Goulding, Richard Howell; Biewer, Theodore M.; Caughman, John B.; Chen, Guangye; Owen, Larry W.; Sparks, Dennis O.

    2011-01-01

    A high power, high particle flux rf-based helicon plasma source has been constructed at ORNL and operated at power levels up to 30 kW. High-density hydrogen and helium plasmas have been produced. The source has been designed as the basis for a linear plasma materials interaction (PMI) test facility that will generate particle fluxes Gamma(p) > 10(23) M-3 s(-1), and utilize additional ion and electron cyclotron heating to produce high parallel (to the magnetic field) heat fluxes of similar to 10 MW/m(2). An rf-based source for PMI research is of interest because high plasma densities are generated with no internal electrodes, allowing true steady state operation with minimal impurity generation. The ORNL helicon source has a diameter of 15 cm and to-date has operated at a frequency f = 13.56 MHz, with magnetic field strength vertical bar B vertical bar in the antenna region up to similar to 0.15 T. Maximum densities of 3 x 10(19) M-3 in He and 2.5 x 10(19) m(-3) in H have been achieved. Radial density profiles have been seen to be dependent on the axial vertical bar B vertical bar profile.

  14. X-ray radiation source based on a plasma filled diode

    Energy Technology Data Exchange (ETDEWEB)

    Popkov, N F; Kargin, V I; Ryaslov, E A; Pikar, A S [All-Russian Research Inst. of Experimental Physics, Sarov (Russian Federation). Russian Federal Nuclear Center

    1997-12-31

    The results are given of studies on a plasma X-ray source providing 2.5 krad of radiation dose per pulse over an area of 100 cm{sup 2} in the quantum energy range between 20 and 500 keV. The pulse duration was 100 ns. The spectral radiation distribution was obtained under various operating conditions of plasma and diode. A Marx generator served as the starting power source of 120 kJ with a discharge time of T/4=10{sup -6} s. A short electromagnetic pulse (10{sup -7} s) was shaped using plasma erosion opening switches. (author). 5 figs., 4 refs.

  15. Field distribution of a source and energy absorption in an inhomogeneous magneto-active plasma

    International Nuclear Information System (INIS)

    Galushko, N.P.; Erokhin, N.S.; Moiseev, S.S.

    1975-01-01

    In the present paper the distribution of source fields in in a magnetoactive plasma is studied from the standpoint of the possibility of an effective SHF heating of an inhomogeneous plasma in both high (ωapproximatelyωsub(pe) and low (ωapproximatelyωsub(pi) frequency ranges, where ωsub(pe) and ωsub(pi) are the electron and ion plasma frequencies. The localization of the HF energy absorption regions in cold and hot plasma and the effect of plasma inhomogeneity and source dimensions on the absorption efficiency are investigated. The linear wave transformation in an inhomogeneous hot plasma is taken into consideration. Attention is paid to the difference between the region localization for collisional and non-collisional absorption. It has been shown that the HF energy dissipation in plasma particle collisions is localized in the region of thin jets going from the source; the radiation field has a sharp peak in this region. At the same time, non-collisional HF energy dissipation is spread over the plasma volume as a result of Cherenkov and cyclotron wave attenuation. The essential contribution to the source field from resonances due to standing wave excitation in an inhomogeneous plasma shell near the source is pointed out

  16. CH4/H2/Ar electron cyclotron resonance plasma etching for GaAs-based field effect transistors

    NARCIS (Netherlands)

    Hassel, van J.G.; Es, van C.M.; Nouwens, P.A.M.; Maahury, J.H.; Kaufmann, L.M.F.

    1995-01-01

    Electron cyclotron resonance (ECR) plasma etch processes with CH4/H2/AR have been investigated on different III–Vsemiconductor materials (GaAs, AlGaAs, InGaAs, and InP). The passivation depth as a function of the GaAs carrierconcentration and the recovery upon annealing at different temperatures

  17. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  18. L-shell spectroscopic diagnostics of radiation from krypton HED plasma sources

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, E. E., E-mail: emilp@unr.edu; Safronova, A. S.; Kantsyrev, V. L.; Shlyaptseva, V. V. [University of Nevada, Reno, Nevada 89557 (United States); Rawat, R. S.; Tan, K. S. [National Institute of Education, Nanyang Technological University, Singapore 637616 (Singapore); Beiersdorfer, P.; Brown, G. V. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Hell, N. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); Dr. Remeis-Sternwarte and ECAP, Universität Erlangen-Nürnberg, 96049 Bamberg (Germany)

    2016-11-15

    X-ray spectroscopy is a useful tool for diagnosing plasma sources due to its non-invasive nature. One such source is the dense plasma focus (DPF). Recent interest has developed to demonstrate its potential application as a soft x-ray source. We present the first spectroscopic studies of krypton high energy density plasmas produced on a 3 kJ DPF device in Singapore. In order to diagnose spectral features, and to obtain a more comprehensive understanding of plasma parameters, a new non-local thermodynamic equilibrium L-shell kinetic model for krypton was developed. It has the capability of incorporating hot electrons, with different electron distribution functions, in order to examine the effects that they have on emission spectra. To further substantiate the validity of this model, it is also benchmarked with data gathered from experiments on the electron beam ion trap (EBIT) at Lawrence Livermore National Laboratory, where data were collected using the high resolution EBIT calorimeter spectrometer.

  19. L-shell spectroscopic diagnostics of radiation from krypton HED plasma sources.

    Science.gov (United States)

    Petkov, E E; Safronova, A S; Kantsyrev, V L; Shlyaptseva, V V; Rawat, R S; Tan, K S; Beiersdorfer, P; Hell, N; Brown, G V

    2016-11-01

    X-ray spectroscopy is a useful tool for diagnosing plasma sources due to its non-invasive nature. One such source is the dense plasma focus (DPF). Recent interest has developed to demonstrate its potential application as a soft x-ray source. We present the first spectroscopic studies of krypton high energy density plasmas produced on a 3 kJ DPF device in Singapore. In order to diagnose spectral features, and to obtain a more comprehensive understanding of plasma parameters, a new non-local thermodynamic equilibrium L-shell kinetic model for krypton was developed. It has the capability of incorporating hot electrons, with different electron distribution functions, in order to examine the effects that they have on emission spectra. To further substantiate the validity of this model, it is also benchmarked with data gathered from experiments on the electron beam ion trap (EBIT) at Lawrence Livermore National Laboratory, where data were collected using the high resolution EBIT calorimeter spectrometer.

  20. Isolation, structure elucidation and antibacterial activity of methyl-4,8-dimethylundecanate from the marine actinobacterium Streptomyces albogriseolus ECR64.

    Science.gov (United States)

    Thirumurugan, Durairaj; Vijayakumar, Ramasamy; Vadivalagan, Chithravel; Karthika, Pushparaj; Alam Khan, Md Khurshid

    2018-05-25

    Around 120 actinobacterial colonies were isolated from various regions of marine East coast region of Tamil Nadu, India. Among them, 33 were morphologically distinct and they were preliminarily screened for their antibacterial activity against Pseudomonas fluorescens, Vibrio cholerae, V. parahaemolyticus, V. alginolyticus, and Aeromonas hydrophila by cross-streak plate technique. Among the isolated, the isolate ECR64 exhibited maximum zone of inhibition against fish pathogenic bacteria. The crude bioactive compounds were extracted from the isolate ECR64 using different organic solvents which exhibited maximum antibacterial activity. Separation and purification of the bioactive compounds were made by column chromatography which yielded 27 fractions and were re-chromatographed to obtain the active compound. Ultra violet (UV), Fourier transform infrared (FT-IR) and nuclear magnetic resonance (NMR) spectral studies were used to predict the structure of the active compound which was identified as methyl-4,8-dimethylundecanate. The potential isolate ECR64 was identified as Streptomyces albogriseolus by phylogenetic, phenotypic and genotypic (16S rRNA gene sequence) analyses. The identified compound methyl-4,8-dimethylundecanate can be used as potential and alternative drug in disease management of aquaculture. Copyright © 2018 Elsevier Ltd. All rights reserved.

  1. Industrial application of electron sources with plasma emitters

    CERN Document Server

    Belyuk, S I; Rempe, N G

    2001-01-01

    Paper contains a description, operation, design and parameters of electron sources with plasma emitters. One presents examples of application of these sources as part of automated electron-beam welding lines. Paper describes application of such sources for electron-beam deposition of composite powders. Electron-beam deposition is used to rebuild worn out part and to increase strength of new parts of machines and tools. Paper presents some examples of rebuilding part and the advantages gained in this case

  2. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  3. Efficient 'water window' soft x-ray high-Z plasma source

    International Nuclear Information System (INIS)

    Higashiguchi, T; Otsuka, T; Jiang, W; Endo, A; Li, B; Dunne, P; O'Sullivan, G

    2013-01-01

    Unresolved transition array (UTA) is scalable to shorter wavelengths, and we demonstrate a table-top broadband emission 'water window' soft x-ray source based on laser-produced plasmas. Resonance emission from multiply charged ions merges to produce intense UTAs in the 2 to 4 nm region, extending below the carbon K edge (4.37 nm). An outline of a microscope design for single-shot live cell imaging is proposed based on a bismuth (Bi) plasma UTA source, coupled to multilayer mirror optics

  4. Hybrid simulation of electron cyclotron resonance heating

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)], E-mail: tommi.ropponen@phys.jyu.fi; Tarvainen, O. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Suominen, P. [CERN Geneve 23, CH-1211 (Switzerland); Koponen, T.K. [Department of Physics, University of Jyvaeskylae, Nanoscience Center, P.O. Box 35, FI-40014 (Finland); Kalvas, T.; Koivisto, H. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)

    2008-03-11

    Electron Cyclotron Resonance (ECR) heating is a fundamentally important aspect in understanding the physics of Electron Cyclotron Resonance Ion Sources (ECRIS). Absorption of the radio frequency (RF) microwave power by electron heating in the resonance zone depends on many parameters including frequency and electric field strength of the microwave, magnetic field structure and electron and ion density profiles. ECR absorption has been studied in the past by e.g. modelling electric field behaviour in the resonance zone and its near proximity. This paper introduces a new ECR heating code that implements damping of the microwave power in the vicinity of the resonance zone, utilizes electron density profiles and uses right hand circularly polarized (RHCP) electromagnetic waves to simulate electron heating in ECRIS plasma.

  5. Microwave plasma source having improved switching operation from plasma ignition phase to normal ion extraction phase

    International Nuclear Information System (INIS)

    Sakudo, N.; Abe, K.; Koike, H.; Okada, O.; Tokiguchi, K.

    1985-01-01

    In a microwave plasma source, a discharge space supplied with a microwave electric field is supplied with a DC magnetic field. A material to be ionized is introduced into the discharge space to produce plasma, whereby ions are extracted through an ion extracting system. A switch is provided for effecting through switching operation the change-over of the magnetic field applied to the discharge space from the intensity for the ignition of plasma to the intensity for ion extraction in succession to completion of the plasma ignition

  6. Heavy ion source and preaccelerator for the NUMATRON

    International Nuclear Information System (INIS)

    Sakurada, Yuzo; Mizobuchi, Akira

    1982-01-01

    This paper discusses the present status of the heavy ion source and the preaccelerator for the NUMATRON. It has become clear that a combination of different types of ion sources gives much advantage for optimum operations: a use of the PIG source is best suited for metallic ions, while the duoplasmatron and the single stage ECR source provide better gaseous ions with low charge states. It is suggested that an increase of the preacceleration up to 750kV by the cockcroft-Walton enables acceptance of lower charge states from the ion source. (author)

  7. The requirements for low-temperature plasma ionization support miniaturization of the ion source.

    Science.gov (United States)

    Kiontke, Andreas; Holzer, Frank; Belder, Detlev; Birkemeyer, Claudia

    2018-06-01

    Ambient ionization mass spectrometry (AI-MS), the ionization of samples under ambient conditions, enables fast and simple analysis of samples without or with little sample preparation. Due to their simple construction and low resource consumption, plasma-based ionization methods in particular are considered ideal for use in mobile analytical devices. However, systematic investigations that have attempted to identify the optimal configuration of a plasma source to achieve the sensitive detection of target molecules are still rare. We therefore used a low-temperature plasma ionization (LTPI) source based on dielectric barrier discharge with helium employed as the process gas to identify the factors that most strongly influence the signal intensity in the mass spectrometry of species formed by plasma ionization. In this study, we investigated several construction-related parameters of the plasma source and found that a low wall thickness of the dielectric, a small outlet spacing, and a short distance between the plasma source and the MS inlet are needed to achieve optimal signal intensity with a process-gas flow rate of as little as 10 mL/min. In conclusion, this type of ion source is especially well suited for downscaling, which is usually required in mobile devices. Our results provide valuable insights into the LTPI mechanism; they reveal the potential to further improve its implementation and standardization for mobile mass spectrometry as well as our understanding of the requirements and selectivity of this technique. Graphical abstract Optimized parameters of a dielectric barrier discharge plasma for ionization in mass spectrometry. The electrode size, shape, and arrangement, the thickness of the dielectric, and distances between the plasma source, sample, and MS inlet are marked in red. The process gas (helium) flow is shown in black.

  8. Non-thermal atmospheric pressure HF plasma source: generation of nitric oxide and ozone for bio-medical applications

    Science.gov (United States)

    Kühn, S.; Bibinov, N.; Gesche, R.; Awakowicz, P.

    2010-01-01

    A new miniature high-frequency (HF) plasma source intended for bio-medical applications is studied using nitrogen/oxygen mixture at atmospheric pressure. This plasma source can be used as an element of a plasma source array for applications in dermatology and surgery. Nitric oxide and ozone which are produced in this plasma source are well-known agents for proliferation of the cells, inhalation therapy for newborn infants, disinfection of wounds and blood ozonation. Using optical emission spectroscopy, microphotography and numerical simulation, the gas temperature in the active plasma region and plasma parameters (electron density and electron distribution function) are determined for varied nitrogen/oxygen flows. The influence of the gas flows on the plasma conditions is studied. Ozone and nitric oxide concentrations in the effluent of the plasma source are measured using absorption spectroscopy and electro-chemical NO-detector at variable gas flows. Correlations between plasma parameters and concentrations of the particles in the effluent of the plasma source are discussed. By varying the gas flows, the HF plasma source can be optimized for nitric oxide or ozone production. Maximum concentrations of 2750 ppm and 400 ppm of NO and O3, correspondingly, are generated.

  9. Review of highly charged heavy ion production with electron cyclotron resonance ion source (invited)

    International Nuclear Information System (INIS)

    Nakagawa, T.

    2014-01-01

    The electron cyclotron resonance ion source (ECRIS) plays an important role in the advancement of heavy ion accelerators and other ion beam applications worldwide, thanks to its remarkable ability to produce a great variety of intense highly charged heavy ion beams. Great efforts over the past decade have led to significant ECRIS performance improvements in both the beam intensity and quality. A number of high-performance ECRISs have been built and are in daily operation or are under construction to meet the continuously increasing demand. In addition, comprehension of the detailed and complex physical processes in high-charge-state ECR plasmas has been enhanced experimentally and theoretically. This review covers and discusses the key components, leading-edge developments, and enhanced ECRIS performance in the production of highly charged heavy ion beams

  10. Bright X-ray source from a laser-driven micro-plasma-waveguide

    CERN Document Server

    Yi, Longqing

    2016-01-01

    Bright tunable x-ray sources have a number of applications in basic science, medicine and industry. The most powerful sources are synchrotrons, where relativistic electrons are circling in giant storage rings. In parallel, compact laser-plasma x-ray sources are being developed. Owing to the rapid progress in laser technology, very high-contrast femtosecond laser pulses of relativistic intensities become available. These pulses allow for interaction with micro-structured solid-density plasma without destroying the structure by parasitic pre-pulses. The high-contrast laser pulses as well as the manufacturing of materials at micro- and nano-scales open a new realm of possibilities for laser interaction with photonic materials at the relativistic intensities. Here we demonstrate, via numerical simulations, that when coupling with a readily available 1.8 Joule laser, a micro-plasma-waveguide (MPW) may serve as a novel compact x-ray source. Electrons are extracted from the walls by the laser field and form a dense ...

  11. Techniques for enhancing the performance of high charge state ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1999-01-01

    Electron Cyclotron Resonance ion source (ECRIS), which produces singly to highly charged ions, is widely used in heavy ion accelerators and is finding applications in industry. It has progressed significantly in recent years thanks to a few techniques, such as multiple-frequency plasma heating, higher mirror magnetic fields and a better cold electron donor. These techniques greatly enhance the production of highly charged ions. More than 1 emA of He 2+ and O 6+ , hundreds of eμA of O 7+ , Ne 8+ , Ar 12+ , more than 100 eμA of intermediate heavy ions with charge states up to Ne 9+ , Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , tens of eμA of heavy ions with charge states up to Xe 28+ , Au 35+ , Bi 34+ and U 34+ were produced at cw mode operation. At an intensity of about 1 eμA, the charge states for the heavy ions increased up to Xe 36+ , Au 46+ , Bi 47+ and U 48+ . More than an order of magnitude enhancement of fully stripped argon ions was achieved (I≥0.1 and h;eμA). Higher charge state ions up to Kr 35+ , Xe 46+ and U 64+ at low intensities were produced for the first time from an ECRIS. copyright 1999 American Institute of Physics

  12. Good performance of ECRIS by gas mixing, How ?

    International Nuclear Information System (INIS)

    Rashid, M.H.; Bhandari, R.K.

    2001-01-01

    An ECR ion source has basic components i) plasma containing magnetic field (Ioffe field/min-B field. ii) microwave power input to heat electrons in slowly varying magnetic field in space through ECR process, iii) electron supply from the internal ionisation process and/or external source and iv) supply of neutral gas and/or metal vapour. The electrons on the cyclotron resonance surface of the magnetic field constantly get energy from the micro-wave injected in the plasma chamber. These energetic electrons impact first the neutral and then the ions with increasing charge state in step-wise manner vigorously and knock out the loosely bound electrons inelastically. So a plasma consisting of cold as well as hot electrons and thermal ions of various charge state is created and confined in the magnetic field by the plasma potential created by the loss of highly mobile electrons on the chamber wall. Some of the multicharged heavy ions (for the purpose of creation and extraction) get sufficiently high energy due to constant electron impact and are radially accelerated by the plasma potential. They strike the chamber-wall and sputter the wall material which cools the hot electrons which reduces the ion confinement and affects the plasma potential and multicharged ion production badly

  13. A validation of the Experiences in Close Relationships-Relationship Structures scale (ECR-RS) in adolescents

    DEFF Research Database (Denmark)

    Donbaek, Dagmar Feddern; Elklit, Ask

    2014-01-01

    structures in adults and, hence, moves beyond the traditional focus on romantic relationships. The present article explored the psychometric abilities of the ECR-RS across parental and best friend domains in a sample of 15 to 18-year-olds (n = 1999). Two oblique factors were revealed across domains...

  14. Burning plasmas in ITER for energy source

    International Nuclear Information System (INIS)

    Inoue, Nobuyuki

    2002-01-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  15. Burning plasmas in ITER for energy source

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Nobuyuki [Atomic Energy Commission, Tokyo (Japan)

    2002-10-01

    Fusion research and development has two aspects. One is an academic research on science and technology, i.e., discovery and understanding of unexpected phenomena and, development of innovative technology, respectively. The other is energy source development to realize fusion as a viable energy future. Fusion research has been made remarkable progress in the past several decades, and ITER will soon realize burning plasma that is essential for both academic research and energy development. With ITER, scientific research on unknown phenomena such as self-organization of the plasma in burning state will become possible and it contributes to create a variety of academic outcome. Fusion researchers will have a responsibility to generate actual energy, and electricity generation immediately after the success of burning plasma control experiment in ITER is the next important step that has to be discussed seriously. (author)

  16. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  17. Plasma jet source parameter optimisation and experiments on injection into Globus-M spherical tokamak

    International Nuclear Information System (INIS)

    Gusev, V.K.; Petrov, Yu.V.; Sakharov, N.V.; Semenov, A.A.; Voronin, A.V.

    2005-01-01

    Results of theoretical and experimental research on the plasma sources and injection of plasma and gas jet produced by the modified source into tokamak Globus-M are presented. An experimental test stand was developed for investigation of intense plasma jet generation. Optimisation of pulsed coaxial accelerator parameters by means of analytical calculations is performed with the aim of achieving the highest flow velocity at limited coaxial electrode length and discharge current. The optimal parameters of power supply to generate a plasma jet with minimal impurity contamination and maximum flow velocity were determined. A comparison of experimental and calculation results is made. Plasma jet parameters are measured, such as: impurity species content, pressure distribution across the jet, flow velocity, plasma density, etc. Experiments on the interaction of a higher kinetic energy plasma jet with the magnetic field and plasma of the Globus-M tokamak were performed. Experimental results on plasma and gas jet injection into different Globus-M discharge phases are presented and discussed. Results are presented on the investigation of plasma jet injection as the source for discharge breakdown, plasma current startup and initial density rise. (author)

  18. The difference between the metal ion extracted from the R.F. ion source by applying plasma chemistry reaction and by non-plasma range chemistry reaction

    International Nuclear Information System (INIS)

    Bai Gui Bin

    1987-01-01

    The paper introduced the difference between using plasma chemistry reaction draw metal ion and non-plasma range chemistry reaction in the R.F. ion source. By using of the plasma chemistry reaction draw metal ion higher percentage than non-plasma range chemistry reaction in the R.F. ion source. The authors plasma chemistry reaction to R.F. ion source and implanter successfully. The effect is very well, it has its own characteristic

  19. Positron Source from Betatron X-rays Emitted in a Plasma Wiggler

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, D.K.; Clayton, C.E.; Huang, C.; Joshi, C.; Lu, W.; Marsh, K.A.; Mori, W.B.; Zhou, M.; /UCLA; Barnes, C.D.; Decker, F.J.; Hogan, M.J.; Iverson, R.H.; Krejcik, P.; O' Connell, C.L.; Siemann, R.; Walz, D.R.; /SLAC; Deng, S.; Katsouleas, T.C.; Muggli, P.; Oz, E.; /Southern California U.

    2006-04-21

    In the E-167 plasma wakefield accelerator (PWFA) experiments in the Final Focus Test Beam (FFTB) at the Stanford Linear Accelerator Center (SLAC), an ultra-short, 28.5 GeV electron beam field ionizes a neutral column of Lithium vapor. In the underdense regime, all plasma electrons are expelled creating an ion column. The beam electrons undergo multiple betatron oscillations leading to a large flux of broadband synchrotron radiation. With a plasma density of 3 x 10{sup 17}cm{sup -3}, the effective focusing gradient is near 9 MT/m with critical photon energies exceeding 50 MeV for on-axis radiation. A positron source is the initial application being explored for these X-rays, as photo-production of positrons eliminates many of the thermal stress and shock wave issues associated with traditional Bremsstrahlung sources. Photo-production of positrons has been well-studied; however, the brightness of plasma X-ray sources provides certain advantages. In this paper, we present results of the simulated radiation spectra for the E-167 experiments, and compute the expected positron yield.

  20. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.