WorldWideScience

Sample records for ecr plasma cvd

  1. Crystalline silicon thin film growth by ECR plasma CVD for solar cells

    International Nuclear Information System (INIS)

    Licai Wang

    1999-07-01

    This thesis describes the background, motivation and work carried out towards this PhD programme entitled 'Crystalline Silicon Thin Film Growth by ECR Plasma CVD for Solar Cells'. The fundamental principles of silicon solar cells are introduced with a review of silicon thin film and bulk solar cells. The development and prospects for thin film silicon solar cells are described. Some results of a modelling study on thin film single crystalline solar cells are given which has been carried out using a commercially available solar cell simulation package (PC-1D). This is followed by a description of thin film deposition techniques. These include Chemical Vapour Deposition (CVD) and Plasma-Assisted CVD (PACVD). The basic theory and technology of the emerging technique of Electron Cyclotron Resonance (ECR) PACVD, which was used in this research, are introduced and the potential advantages summarised. Some of the basic methods of material and cell characterisation are briefly described, together with the work carried out in this research. The growth by ECR PACVD at temperatures 2 illumination. The best efficiency in the ECR grown structures was 13.76% using an epitaxial emitter. Cell performance was analysed in detail and the factors controlling performance identified by fitting self-consistently the fight and dark current-voltage and spectral response data using PC-1D. Finally, the conclusions for this research and suggestions for further work are outlined. (author)

  2. The effects of varying plasma parameters on silicon thin film growth by ECR plasma CVD

    International Nuclear Information System (INIS)

    Summers, S.; Reehal, H.S.; Shirkoohi, G.H.

    2001-01-01

    The technique of electron cyclotron resonance (ECR) plasma enhanced chemical vapour deposition (PECVD) is increasingly being used in electronic and photonic device applications. ECR offers a number of advantages including improved control of the deposition process, less damage to the growing film and the possibility of high deposition rates. ECR occurs in a plasma under appropriate magnetic and electric field conditions. In most cases, as in our system, this is achieved with a combination of 2.45 GHz microwave radiation and a 0.0875 T magnetic field, due to the use of standardized microwave supplies. We have studied the effects on silicon film growth of changing the magnetic field configuration to produce one or more planes of ECR within the system, and of changing the positions of the plane(s) relative to the deposition substrate. The films were grown in silane-hydrogen discharges. The magnetic field in our system was provided by two electromagnets. It was measured experimentally for a number of operating current values and then a detailed profile achieved by modelling using a proprietary software package. A process condition discharge under identical magnetic field configurations to growth was analysed by the use of a Langmuir probe and the results correlated with film properties determined by Raman spectroscopy and Dektak profilometry. (author)

  3. ECR Plasma Photos

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2009-01-01

    Complete text of publication follows. In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The effects of the main external setting parameters (gas pressure, gas composition, magnetic field, microwave power, microwave frequency) were studied to the shape, color and structure of the plasma. The double frequency mode (9+14 GHz) was also realized and photos of this special 'star-in-star' shape plasma were recorded. A study was performed to analyze and understand the color of the ECR plasmas. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas. To our best knowledge our work is the first systematic study of ECR plasmas in the visible light region. When looking in the plasma chamber of an ECRIS we can see an axial image of the plasma (figure 1) in conformity with experimental setup. Most of the quantitative information was obtained through the summarised values of the Analogue Digital Unit (ADU) of pixels. By decreasing the strength of the magnetic trap we clearly observed that the brightness of the central part of the plasma gradually decreases, i.e. the plasma becomes more and more 'empty'. Figure 2 shows a photo series of ECR plasma at decreasing axial magnetic field. The radial size of the plasma increased because of the ascendant resonant zone. By increasing the power of the injected microwave an optimum (or at least saturation) was found in the brightness of the plasma. We found correlation between the gas dosing rates and plasma intensities. When sweeping the frequency of the microwave in a wide region

  4. ECR plasma photographs as a plasma diagnostic

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R; Biri, S; Palinkas, J [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2011-04-15

    Low, medium or highly charged ions delivered by electron cyclotron resonance (ECR) ion sources all are produced in the ECR plasma. In order to study such plasmas, high-resolution visible light plasma photographs were taken at the ATOMKI ECR ion source. An 8 megapixel digital camera was used to photograph plasmas made from He, methane, N, O, Ne, Ar, Kr, Xe gases and from their mixtures. The analysis of the photo series gave many qualitative and some valuable physical information on the nature of ECR plasmas. A comparison was made between the plasma photos and computer simulations, and conclusions were drawn regarding the cold electron component of the plasma. The warm electron component of similar simulation was compared with x-ray photos emitted by plasma ions. While the simulations are in good agreement with the photos, a significant difference was found between the spatial distribution of the cold and warm electrons.

  5. An ECR table plasma generator

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Bin, S.

    2012-01-01

    A compact ECR plasma device was built in our lab using the 'spare parts' of the ATOMKI ECR ion source. We call it 'ECR Table Plasma Generator'. It consists of a relatively big plasma chamber (ID=10 cm, L=40 cm) in a thin NdFeB hexapole magnet with independent vacuum and gas dosing systems. For microwave coupling two low power TWTAs (Travelling Wave tube amplifier) can be applied individually or simultaneously, operating in the 6-18 GHz range. There is no axial magnetic trap and there is no extraction. The technical details of the plasma generator and preliminary plasma photo study results are shown. This paper is followed by the associated poster. (authors)

  6. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  7. Efecto del argon en películas CNxHy depositadas mediante ECR-CVD

    Directory of Open Access Journals (Sweden)

    Albella, J. M.

    2004-04-01

    Full Text Available Carbon nitride films have been deposited by ECR-CVD, from Ar/CH4/N2 gas mixtures with different methane concentrations. Infrared Spectroscopy (IRS and Elastic Recoil Detection Analysis (ERDA have been used for films characterisation and Optical Emission Spectroscopy (OES for plasma analysis. Argon concentration in the gas mixture controls the growth rate as well as the composition of the film. In the proposed model, argon plays a key role in the activation of methane molecules. Also, during the growth of the film, two processes may be considered: i Film formation and ii Etching of the growing surface. Changing the gas mixture composition affects both processes, which results in films with different composition and structure as well as different deposition rates.Se ha estudiado el efecto del argon durante el proceso de CVD asistido por un plasma ECR para la síntesis de películas de nitruro de carbono (CNxHy a partir de mezclas gaseosas Ar/CH4/N2 con diferente contenido de metano. Las películas depositadas han sido analizadas mediante espectroscopía infrarroja (IRS y ERDA (Elastic Recoil Detection Analysis, y el análisis del plasma ha sido realizado utilizando la técnica de espectroscopía de emisión óptica (OES. La velocidad de deposición y la composición de las películas depositadas se encuentran determinadas por la concentración de argon en la mezcla gaseosa. Se propone un modelo, según el cual el argon juega un papel fundamental como activador de las moléculas de metano. El modelo propuesto incluye dos procesos simultáneos durante el crecimiento de las capas : i formación de la capa y ii ataque de la superficie de crecimiento. Según la composición de la mezcla gaseosa se favorece uno u otro proceso, lo que conduce a velocidades de deposición diferentes así como a depósitos con diferente composición y estructura atómica.

  8. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.

    1996-01-01

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl 4 ), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl 4 in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author)

  9. Magnetic properties of Co-N films deposited by ECR nitrogen/argon plasma with DC negative-biased Co target

    Energy Technology Data Exchange (ETDEWEB)

    Li, H.; Zhang, Y.C.; Yang, K.; Liu, H.X.; Zhu, X.D., E-mail: xdzhu@ustc.edu.cn; Zhou, H.Y.

    2017-06-01

    Highlights: • A new method of synthesizing Co-N films containing Co{sub 4}N phase. • Tunable magnetic properties achieved in ECR plasma CVD. • The change of magnetic properties is related to atoms mobility on substrate and the concentration of active species in plasma vapor. - Abstract: By introducing DC negative-biased Co target in the Electron Cyclotron Resonance (ECR) nitrogen/argon plasma, the Co-N films containing Co{sub 4}N phase were synthesized on Si(100) substrate. Effects of processing parameters on magnetic properties of the films are investigated. It is found that magnetic properties of Co-N films vary with N{sub 2}/Ar flow ratio, substrate temperature, and target biasing voltage. The saturation magnetization M{sub s} decreased by increasing the N{sub 2}/Ar gas flow ratio or decreasing target biasing voltage, while the coercive field H{sub c} increased, which is ascribed to the variation of relative concentration for N or Co active species in plasma vapor. The magnetic properties present complex dependency with growth temperature, which is related to the atom mobility on the substrate affected by the growth temperature. This study exhibits a potential of ECR plasma chemical vapor deposition to synthesize the interstitial compounds and tune magnetic properties of films.

  10. Broadband frequency ECR ion source concepts with large resonant plasma volumes

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    New techniques are proposed for enhancing the performances of ECR ion sources. The techniques are based on the use of high-power, variable-frequency, multiple-discrete-frequency, or broadband microwave radiation, derived from standard TWT technology, to effect large resonant ''volume'' ECR sources. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, the effect of which is to produce higher charge state distributions and much higher intensities within a particular charge state than possible in present forms of the ECR ion source. If successful, these developments could significantly impact future accelerator designs and accelerator-based, heavy-ion-research programs by providing multiply-charged ion beams with the energies and intensities required for nuclear physics research from existing ECR ion sources. The methods described in this article can be used to retrofit any ECR ion source predicated on B-minimum plasma confinement techniques

  11. Properties of hydrogenated amorphous silicon (a-Si:H) deposited using a microwave Ecr plasma; Propiedades del a-Si:H depositado utilizando un plasma de microondas

    Energy Technology Data Exchange (ETDEWEB)

    Mejia H, J A

    1997-12-31

    Hydrogenated amorphous silicon (a-Si:H) films have been widely applied to semiconductor devices, such as thin film transistors, solar cells and photosensitive devices. In this work, the first Si-H-Cl alloys (obtained at the National Institute for Nuclear Research of Mexico) were formed by a microwave electron cyclotron resonance (Ecr) plasma CVD method. Gaseous mixtures of silicon tetrachloride (Si Cl{sub 4}), hydrogen and argon were used. The Ecr plasma was generated by microwaves at 2.45 GHz and a magnetic field of 670 G was applied to maintain the discharge after resonance condition (occurring at 875 G). Si and Cl contents were analyzed by Rutherford Backscattering Spectrometry (RBS). It was found that, increasing proportion of Si Cl{sub 4} in the mixture or decreasing pressure, the silicon and chlorine percentages decrease. Optical gaps were obtained by spectrophotometry. Decreasing temperature, optical gap values increase from 1.4 to 1.5 eV. (Author).

  12. Plasma heating due to X-B mode conversion in a cylindrical ECR plasma system

    Energy Technology Data Exchange (ETDEWEB)

    Yadav, V.K.; Bora, D. [Institute for Plasma Research, Bhat, Gandhinagar, Gujarat (India)

    2004-07-01

    Extra Ordinary (X) mode conversion to Bernstein wave near Upper Hybrid Resonance (UHR) layer plays an important role in plasma heating through cyclotron resonance. Wave generation at UHR and parametric decay at high power has been observed during Electron Cyclotron Resonance (ECR) heating experiments in toroidal magnetic fusion devices. A small linear system with ECR and UHR layer within the system has been used to conduct experiments on X-B conversion and parametric decay process as a function of system parameters. Direct probing in situ is conducted and plasma heating is evidenced by soft x-ray emission measurement. Experiments are performed with hydrogen plasma produced with 160-800 W microwave power at 2.45 GHz of operating frequency at 10{sup -3} mbar pressure. The axial magnetic field required for ECR is such that the resonant surface (B = 875 G) is situated at the geometrical axis of the plasma system. Experimental results will be presented in the paper. (authors)

  13. Characterization of atomic oxygen from an ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, M; Bhoraskar, V N; Mandale, A B; Sainkar, S R; Bhoraskar, S V

    2002-01-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ∼1x10 20 to ∼10x10 20 atom m -3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe

  14. Characterization of atomic oxygen from an ECR plasma source

    Science.gov (United States)

    Naddaf, M.; Bhoraskar, V. N.; Mandale, A. B.; Sainkar, S. R.; Bhoraskar, S. V.

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from ~1×1020 to ~10×1020 atom m-3 as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  15. Characterization of atomic oxygen from an ECR plasma source

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Bhoraskar, V N [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Mandale, A B [National Chemical Laboratory, Pashan, Pune 411008 (India); Sainkar, S R [National Chemical Laboratory, Pashan, Pune 411008 (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2002-11-01

    A low-power microwave-assisted electron cyclotron resonance (ECR) plasma system is shown to be a powerful and effective source of atomic oxygen (AO) useful in material processing. A 2.45 GHz microwave source with maximum power of 600 W was launched into the cavity to generate the ECR plasma. A catalytic nickel probe was used to determine the density of AO. The density of AO is studied as a function of pressure and axial position of the probe in the plasma chamber. It was found to vary from {approx}1x10{sup 20} to {approx}10x10{sup 20} atom m{sup -3} as the plasma pressure was varied from 0.8 to 10 mTorr. The effect of AO in oxidation of silver is investigated by gravimetric analysis. The stoichiometric properties of the oxide are studied using the x-ray photoelectron spectroscopy as well as energy dispersive x-ray analysis. The degradation of the silver surface due to sputtering effect was viewed by scanning electron spectroscopy. The sputtering yield of oxygen ions in the plasma is calculated using the TRIM code. The effects of plasma pressure and the distance from the ECR zone on the AO density were also investigated. The density of AO measured by oxidation of silver is in good agreement with results obtained from the catalytic nickel probe.

  16. ECR plasma diagnostics with Langmuir probe

    International Nuclear Information System (INIS)

    Kenez, L.; Biri, S.; Valek, A.

    2000-01-01

    Complete text of publication follows. An Electron Cyclotron Resonance (ECR) Ion Source is a tool to generate highly charged ions. The ion beam is extracted from the plasma chamber of the ECRIS. Higher charge states and beam intensities are the main objectives of ECR research. The heart of an ion source is the confined plasma which should be well known to reach those objectives. Information about the plasma can be obtained by plasma diagnostics methods. Langmuir probes were successfully used in case of other plasmas, e.g. TOKAMAK. Until last year plasma diagnostics at the ATOMKI ECRIS was performed by X-ray and visible light measurements. While X-ray measurements give global information, the Langmuir probe method can give information on the local plasma parameters. This is an advantage because the local parameters are not known in detail. By Langmuir probe measurements it is possible to get information on plasma density, plasma potential and partly on the electron temperature. From the experimental point of view a Langmuir probe is very simple. However, the precise positioning of the probe in the plasma chamber (HV platform, strong magnetic field, RF waves) is a difficult task. Also the theory of probes is complicated: the ECR plasma is a special one because the confining magnetic field is inhomogeneous, beside hot electrons it contains cold ions with different charge states and it is heated with high frequency EM waves. What can be measured with a probe is a voltage-current (U-I) characteristics. Figure 1 shows a typical U-I curve measured in our lab. As it can be seen in the figure the diagram has three main parts. An ion saturation current region (I.), an electron saturation current region (III.) and a transition region (II.) between them. These measurements were performed using two different power supplies to bias the probe to positive and negative voltage. To perform more precise U-I measurements we need a special power supply which is presently being built in

  17. Characterization of surface hardening in a nitrated chromium steel by microwave plasma type ECR (Electron Cyclotron Resonance); Caracterizacion del endurecimiento superficial del acero H-12 nitrurado con plasma de microondas tipo ECR

    Energy Technology Data Exchange (ETDEWEB)

    La O C, G de

    1996-12-31

    With this work it is demonstrated the possibility of performing the nitriding process by using a CVD-ECR source, based on the results obtained after treating several samples of AISI H-12 steel. Also, the main operating parameters (time of treatment, sample temperatures and gas mixture) are determined during nitriding of this steel with the mentioned source. Samples used before nitriding were quenched and tempered at 580 Centigrade degrees. Several experiments were done by using a pure nitrogen plasma with exposure times of the samples of 20 minutes at temperatures from 450 to 550 Centigrade degrees, and by using a N{sub 2} - H{sub 2} plasma with exposure times of the samples of 20, 30 and 40 minutes at temperatures from 350 to 550 Centigrade degrees. Metallography, microhardness, EDS and Auger analysis were done to observe changes suffered for the samples after treatment. (Author).

  18. The producing of an ECR plasma using 2450MHz Whistler Wave and the investigating of its parameters

    International Nuclear Information System (INIS)

    Fang Yude; Zhang Jiande; Fu Keming; Lu Xiangyu; Liu Dengcheng; Wang Xianyu; Xie Weidong; Bao Dinghua; Yin Xiejin

    1988-12-01

    A stable ECR plasma was produced and sustained in HER mirror using 2450MHz Whistler wave. The parameters of the ECR plasma and their chaining characters were studied in detail and were compared with those of the DC discharge plasmas. The conclusion is that the ECR plasma is a high ionizability, low temperature, middle density plasma, its peak density may much exceed the cutoff density of the pump wave (when ω = ω pe ) and arrive at the order of 10 12 cm -3 . The ECR plasma includes some high energy hot electrons (20Kev-200Kev) and middle energy warm electrons (< 20Kev). Those two kinds of electron created some strong X-ray emissions in a wide frequency range. The ECR plasma has higher edge density and can strongly interact with the wall. (author). 9 refs, 17 figs

  19. ECR plasma cleaning for superconducting cavities

    Energy Technology Data Exchange (ETDEWEB)

    Takeuchi, Suehiro [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    2000-02-01

    A superconducting linac has been operating well as a heavy ion energy booster of the tandem accelerator at JAERI since 1994. Forty superconducting quarter wave resonators are used in the linac. They have high performances in average. Some of them are, however, suffering from 'Q-disease' that has been caused by hydrogen absorption into niobium during electro-polishing and the precipitation of niobium-hydrides on the surface at the vicinity of about 120K during precooling. A method of electron cyclotron resonance (ECR) plasma cleaning was applied to spare resonator in order to investigate if it is useful as a curing method of Q-disease. ECR plasma was excited in the resonator by 2.45 GHz microwave in a magnetic field of about 87.5 mT. In the first preliminary experiments, hydrogen, helium, water and oxigen gases were investigated. Every case was done at a pressure of about 3x10{sup -3} Pa. The results show that apparent recovery from Q-disease was found with helium and oxigen gases. (author)

  20. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  1. Characterization of surface hardening in a nitrated chromium steel by microwave plasma type ECR (Electron Cyclotron Resonance)

    International Nuclear Information System (INIS)

    La O C, G. de.

    1995-01-01

    With this work it is demonstrated the possibility of performing the nitriding process by using a CVD-ECR source, based on the results obtained after treating several samples of AISI H-12 steel. Also, the main operating parameters (time of treatment, sample temperatures and gas mixture) are determined during nitriding of this steel with the mentioned source. Samples used before nitriding were quenched and tempered at 580 Centigrade degrees. Several experiments were done by using a pure nitrogen plasma with exposure times of the samples of 20 minutes at temperatures from 450 to 550 Centigrade degrees, and by using a N 2 - H 2 plasma with exposure times of the samples of 20, 30 and 40 minutes at temperatures from 350 to 550 Centigrade degrees. Metallography, microhardness, EDS and Auger analysis were done to observe changes suffered for the samples after treatment. (Author)

  2. Effects of magnetic configuration on hot electrons in highly charged ECR plasma

    International Nuclear Information System (INIS)

    Zhao, H Y; Zhao, H W; Sun, L T; Wang, H; Ma, B H; Zhang, X Zh; Li, X X; Ma, X W; Zhu, Y H; Lu, W; Shang, Y; Xie, D Z

    2009-01-01

    To investigate the hot electrons in highly charged electron cyclotron resonance (ECR) plasma, Bremsstrahlung radiations were measured on two ECR ion sources at the Institute of Modern Physics. Used as a comparative index of the mean energy of the hot electrons, a spectral temperature, T spe , is derived through a linear fitting of the spectra in a semi-logarithmic representation. The influences of the external source parameters, especially the magnetic configuration, on the hot electrons are studied systematically. This study has experimentally demonstrated the importance of high microwave frequency and high magnetic field in the electron resonance heating to produce a high density of hot electrons, which is consistent with the empirical ECR scaling laws. The experimental results have again shown that a good compromise is needed between the ion extraction and the plasma confinement for an efficient production of highly charged ion beams. In addition, this investigation has shown that the correlation between the mean energy of the hot electrons and the magnetic field gradient at the ECR is well in agreement with the theoretical models.

  3. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible Electron Cyclotron Resonance (ECR) Plasma Methane Pyrolysis Reactor is proposed to recover hydrogen which is...

  4. ECR plasma source for heavy ion beam charge neutralization

    Science.gov (United States)

    Efthimion, Philip C.; Gilson, Erik; Grisham, Larry; Kolchin, Pavel; Davidson, Ronald C.; Yu, Simon; Logan, B. Grant

    2003-01-01

    Highly ionized plasmas are being considered as a medium for charge neutralizing heavy ion beams in order to focus beyond the space-charge limit. Calculations suggest that plasma at a density of 1 100 times the ion beam density and at a length [similar]0.1 2 m would be suitable for achieving a high level of charge neutralization. An Electron Cyclotron Resonance (ECR) source has been built at the Princeton Plasma Physics Laboratory (PPPL) to support a joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 1 10 gauss. The goal is to operate the source at pressures [similar]10[minus sign]6 Torr at full ionization. The initial operation of the source has been at pressures of 10[minus sign]4 10[minus sign]1 Torr. Electron densities in the range of 108 to 1011 cm[minus sign]3 have been achieved. Low-pressure operation is important to reduce ion beam ionization. A cusp magnetic field has been installed to improve radial confinement and reduce the field strength on the beam axis. In addition, axial confinement is believed to be important to achieve lower-pressure operation. To further improve breakdown at low pressure, a weak electron source will be placed near the end of the ECR source. This article also describes the wave damping mechanisms. At moderate pressures (> 1 mTorr), the wave damping is collisional, and at low pressures (< 1 mTorr) there is a distinct electron cyclotron resonance.

  5. Spectroscopic Investigation of Nitrogen Loaded ECR Plasmas

    CERN Document Server

    Ullmann, F; Zschornack, G; Küchler, D; Ovsyannikov, V P

    1999-01-01

    Energy dispersive X-ray spectroscopy on ions in the plasma and magnetic q/A-analysis of the extracted ions were used to determine the plasmaproperties of nitrogen loaded ECR plasmas.As the beam expands from a limited plasma region and the ion extraction process alters the plasma properties in the extraction meniscus thebeam composition does not correspond to the bulk plasma composition. The analysis of measured spectra of characteristic X-rays delivers a method to determine the ion charge state distribution and the electron energy distribution inside the plasma and does not alter the plasma anddoes not depend on the extraction and transmission properties of the ion extraction and transport system. Hence this method seems to be moreaccurate than the traditional magnetic analysis and allows to analyse different plasma regions.A comparison between ion charge state distributions determined from X-ray spectra and such from q/A-analysis shows significant differencesfor the mean ion charge states in the source plasm...

  6. Proceedings of the 10th international workshop on ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F W; Kirkpatrick, M I [eds.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H{sup {minus}} Source; The H{sup +} ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research.

  7. Proceedings of the 10th international workshop on ECR ion sources

    International Nuclear Information System (INIS)

    Meyer, F.W.; Kirkpatrick, M.I.

    1991-01-01

    This report contains papers on the following topics: Recent Developments and Future Projects on ECR Ion Sources; Operation of the New KVI ECR Ion Source at 10 GHz; Operational Experience and Status of the INS SF-ECR Ion Source; Results of the New ''ECR4'' 14.5 GHz ECRIS; Preliminary Performance of the AECR; Experimental Study of the Parallel and Perpendicular Particle Losses from an ECRIS Plasma; Plasma Instability in Electron Cyclotron Resonance Heated Ion Sources; The Hyperbolic Energy Analyzer; Status of ECR Source Development; The New 10 GHz CAPRICE Source; First Operation of the Texas A ampersand M ECR Ion Source; Recent Developments of the RIKEN ECR Ion Sources; The 14 GHz CAPRICE Source; Characteristics and Potential Applications of an ORNL Microwave ECR Multicusp Plasma Ion Source; ECRIPAC: The Production and Acceleration of Multiply Charged Ions Using an ECR Plasma; ECR Source for the HHIRF Tandem Accelerator; Feasibility Studies for an ECR-Generated Plasma Stripper; Production of Ion Beams by using the ECR Plasmas Cathode; A Single Stage ECR Source for Efficient Production of Radioactive Ion Beams; The Single Staged ECR Source at the TRIUMF Isotope Separator TISOL; The Continuous Wave, Optically Pumped H - Source; The H + ECR Source for the LAMPF Optically Pumped Polarized Ion Source; Present Status of the Warsaw CUSP ECR Ion Source; An ECR Source for Negative Ion Production; GYRAC-D: A Device for a 200 keV ECR Plasma Production and Accumulation; Status Report of the 14.4 GHZ ECR in Legnaro; Status of JYFL-ECRIS; Report on the Uppsala ECRIS Facility and Its Planned Use for Atomic Physics; A 10 GHz ECR Ion Source for Ion-Electron and Ion-Atom Collision Studies; and Status of the ORNL ECR Source Facility for Multicharged Ion Collision Research

  8. [Optical emission analyses of N2/TMG ECR plasma for deposition of GaN film].

    Science.gov (United States)

    Fu, Si-Lie; Wang, Chun-An; Chen, Jun-Fang

    2013-04-01

    The optical emission spectroscopy of hybrid N2/trimethylgallium (TMG) plasma in an ECR-PECVD system was investigated. The results indicate that the TMG gas is strongly dissociated into Ga*, CH and H even under self-heating condition. Ga species and nitrogen molecule in metastable state are dominant in hybrid ECR plasma. The concentration of metastable nitrogen molecule increases with the microwave power. On the other hand, the concentration of excited nitrogen molecules and of nitrogen ion decreases when the microwave power is higher than 400 W.

  9. Influence of ECR-RF plasma modification on surface and thermal properties of polyester copolymer

    Directory of Open Access Journals (Sweden)

    Fray Miroslawa El

    2015-12-01

    Full Text Available In this paper we report a study on influence of radio-frequency (RF plasma induced with electron cyclotron resonance (ECR on multiblock copolymer containing butylene terephthalate hard segments (PBT and butylene dilinoleate (BDLA soft segments. The changes in thermal properties were studied by DSC. The changes in wettability of PBT-BDLA surfaces were studied by water contact angle (WCA. We found that ECR-RF plasma surface treatment for 60 s led to decrease of WCA, while prolonged exposure of plasma led to increase of WCA after N2 and N2O2 treatment up to 70°–80°. The O2 reduced the WCA to 50°–56°. IR measurements confirmed that the N2O2 plasma led to formation of polar groups. SEM investigations showed that plasma treatment led to minor surfaces changes. Collectively, plasma treatment, especially O2, induced surface hydrophilicity what could be beneficial for increased cell adhesion in future biomedical applications of these materials.

  10. Ion beam extraction from a matrix ECR plasma source by discrete ion-focusing effect

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, Mihai

    2010-01-01

    -ECR plasma source [3] with transversal magnetic filter for electron temperature control. 12 ECR plasma cells are placed 7.5 cm apart on the top of a cubic chamber 40x40x40 cm3. Each cell can be controlled independently by tuning the injected microwave power. The discharge is operated at pressures below 1 m......Positive or negative ion beams extracted from plasma are used in a large variety of surface functionalization techniques such as implantation, etching, surface activation, passivation or oxidation. Of particular importance is the surface treatment of materials sensitive to direct plasma exposure...... due to high heath fluxes, the controllability of the ion incidence angle, and charge accumulation when treating insulating materials. Despite of a large variety of plasma sources available for ion beam extraction, there is a clear need for new extraction mechanisms that can make available ion beams...

  11. Low-temperature graphene synthesis using microwave plasma CVD

    International Nuclear Information System (INIS)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-01-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 10 5 Ω/sq.

  12. Low-temperature graphene synthesis using microwave plasma CVD

    Science.gov (United States)

    Yamada, Takatoshi; Kim, Jaeho; Ishihara, Masatou; Hasegawa, Masataka

    2013-02-01

    The graphene chemical vapour deposition (CVD) technique at substrate temperatures around 300 °C by a microwave plasma sustained by surface waves (surface wave plasma chemical vapour deposition, SWP-CVD) is discussed. A low-temperature, large-area and high-deposition-rate CVD process for graphene films was developed. It was found from Raman spectra that the deposited films on copper (Cu) substrates consisted of high-quality graphene flakes. The fabricated graphene transparent conductive electrode showed uniform optical transmittance and sheet resistance, which suggests the possibility of graphene for practical electrical and optoelectronic applications. It is intriguing that graphene was successfully deposited on aluminium (Al) substrates, for which we did not expect the catalytic effect to decompose hydrocarbon and hydrogen molecules. We developed a roll-to-roll SWP-CVD system for continuous graphene film deposition towards industrial mass production. A pair of winder and unwinder systems of Cu film was installed in the plasma CVD apparatus. Uniform Raman spectra were confirmed over the whole width of 297 mm of Cu films. We successfully transferred the deposited graphene onto PET films, and confirmed a transmittance of about 95% and a sheet resistance of less than 7 × 105 Ω/sq.

  13. Statistical properties of turbulence in a toroidal magnetized ECR plasma

    International Nuclear Information System (INIS)

    Yu Yi; Lu Ronghua; Wang Zhijiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu, Wandong

    2008-01-01

    The statistical analyses of fluctuation data measured by electrostatic-probe arrays clearly show that the self-organized criticality (SOC) avalanches are not the dominant behaviors in a toroidal ECR plasma in the SMT (Simple Magnetic Torus) mode of KT-5D device. The f -1 index region in the auto-correlation spectra of the floating potential V f and the ion saturation current I s , which is a fingerprint of a SOC system, ranges only in a narrow frequency band. By investigating the Hurst exponents at increasingly coarse grained time series, we find that at a time scale of τ>100 μs, there exists no or a very weak long-range correlation over two decades in τ. The difference between the PDFs of I s and V f clearly shows a more global nature of the latter. The transport flux induced by the turbulence suggests that the natural intermittency of turbulent transport maybe independent of the avalanche induced by near criticality. The drift instability is dominant in a SMT plasma generated by means of ECR discharges

  14. Production of a large diameter ECR plasma with low electron temperature

    International Nuclear Information System (INIS)

    Koga, Mayuko; Hishikawa, Yasuhiro; Tsuchiya, Hayato; Kawai, Yoshinobu

    2006-01-01

    A large diameter plasma over 300 mm in diameter is produced by electron cyclotron resonance (ECR) discharges using a cylindrical vacuum chamber of 400 mm in inner diameter. It is found that the plasma uniformity is improved by adding the nitrogen gas to pure Ar plasma. The electron temperature is decreased by adding the nitrogen gas. It is considered that the electron energy is absorbed in the vibrational energy of nitrogen molecules and the electron temperature decreases. Therefore, the adjunction of the nitrogen gas is considered to be effective for producing uniform and low electron temperature plasma

  15. Electron cloud simulation of the ECR plasma

    International Nuclear Information System (INIS)

    Racz, R.; Biri, S.; Palinkas, J.

    2011-01-01

    Complete text of publication follows. The plasma of the Electron Cyclotron Resonance Ion Source (ECRIS) of ATOMKI is being continuously investigated by different diagnostic methods: using small-sized probes or taking X-ray and visible light photographs. In 2011 three articles were published by our team in a special edition of the IEEE Transactions on Plasma Science (Special Issue on Images in Plasma Science) describing our X-ray and visible light measurements and plasma modeling and simulating studies. Simulation is in many cases the base for the analysis of the photographs. The outcomes of the X-ray and visible light experiments were presented already in earlier issues of the Atomki Annual Report, therefore in this year we concentrate on the results of the simulating studies. The spatial distribution of the three main electron components (cold, warm and hot electron clouds) of the ECR plasmas was simulated by TrapCAD code. TrapCAD is a 'limited' plasma simulation code. The spatial and energy evolution of a large number of electrons can be realistically followed; however, these particles are independent, and no particle interactions are included. In ECRISs, the magnetic trap confines the electrons which keep together the ion component by their space charge. The electrons gain high energies while the ions remain very cold throughout the whole process. Thus, the spatial and energy simulation of the electron component gives much important and numerical information even for the ions. The electron components of ECRISs can artificially be grouped into three populations: cold, warm, and hot electrons. Cold electrons (1-200 eV) have not been heated by the microwave; they are mainly responsible for the visible light emission of the plasma. The energized warm electrons (several kiloelectronvolts) are able to ionize atoms and ions and they are mainly responsible for the characteristic Xray photons emitted by the plasma. Electrons having much higher energy than necessary for

  16. Plasma polarization spectroscopy on the ECR helium plasma in a cusp magnetic field

    International Nuclear Information System (INIS)

    Sato, T.; Iwamae, A.; Fujimoto, T.; Uchida, M.; Maekawa, T.

    2004-01-01

    Helium emission lines have been observed on the ECR plasma in a cusp field with the polarized components resolved. The polarization map is constructed for the 501.6 nm (2 1 S-3 1 P) line emission. Lines from n 1 P and n 1 D levels are strongly polarized and those from n 3 D levels are weakly polarized. As the helium pressure increases the polarization degree decreases. (author)

  17. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu; Biri, S.; Pálinkás, J. [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/C, H-4026 Debrecen (Hungary); Mascali, D.; Castro, G.; Caliri, C.; Gammino, S. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Romano, F. P. [Instituto Nazionale di Fisica Nucleare—Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy)

    2016-02-15

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  18. X-ray pinhole camera setups used in the Atomki ECR Laboratory for plasma diagnostics.

    Science.gov (United States)

    Rácz, R; Biri, S; Pálinkás, J; Mascali, D; Castro, G; Caliri, C; Romano, F P; Gammino, S

    2016-02-01

    Imaging of the electron cyclotron resonance (ECR) plasmas by using CCD camera in combination with a pinhole is a non-destructive diagnostics method to record the strongly inhomogeneous spatial density distribution of the X-ray emitted by the plasma and by the chamber walls. This method can provide information on the location of the collisions between warm electrons and multiple charged ions/atoms, opening the possibility to investigate the direct effect of the ion source tuning parameters to the plasma structure. The first successful experiment with a pinhole X-ray camera was carried out in the Atomki ECR Laboratory more than 10 years ago. The goal of that experiment was to make the first ECR X-ray photos and to carry out simple studies on the effect of some setting parameters (magnetic field, extraction, disc voltage, gas mixing, etc.). Recently, intensive efforts were taken to investigate now the effect of different RF resonant modes to the plasma structure. Comparing to the 2002 experiment, this campaign used wider instrumental stock: CCD camera with a lead pinhole was placed at the injection side allowing X-ray imaging and beam extraction simultaneously. Additionally, Silicon Drift Detector (SDD) and High Purity Germanium (HPGe) detectors were installed to characterize the volumetric X-ray emission rate caused by the warm and hot electron domains. In this paper, detailed comparison study on the two X-ray camera and detector setups and also on the technical and scientific goals of the experiments is presented.

  19. Observation of spatial resolution of ECR plasma on the MM-2 magnetic mirror

    International Nuclear Information System (INIS)

    Duan Shuyun; Gu Biao; Guan Weishu; Cheng Shiqing; Liu Rong; Chen Kangwei; Shang Zhenkui

    1991-04-01

    The measuring method and results of the ECR plasma properties taken from hard X-ray pinhole camera on the MM-2 magnetic mirror are presented. This non-destructive imaging method can directly display the spatial distribution of hot electron plasma. A frame of clear picture could be taken at one shot of discharge. The relationships between emission intensity and discharge parameters are also shown by experimental pictures

  20. Kinetic plasma simulation of ion beam extraction from an ECR ion source

    International Nuclear Information System (INIS)

    Elliott, S.M.; White, E.K.; Simkin, J.

    2012-01-01

    Designing optimized ECR (electron cyclotron resonance) ion beam sources can be streamlined by the accurate simulation of beam optical properties in order to predict ion extraction behavior. The complexity of these models, however, can make PIC-based simulations time-consuming. In this paper, we first describe a simple kinetic plasma finite element simulation of extraction of a proton beam from a permanent magnet hexapole ECR ion source. Second, we analyze the influence of secondary electrons generated by ion collisions in the residual gas on the space charge of a proton beam of a dual-solenoid ECR ion source. The finite element method (FEM) offers a fast modeling environment, allowing analysis of ion beam behavior under conditions of varying current density, electrode potential, and gas pressure. The new version of SCALA/TOSCA v14 permits the making of simulations in tens of minutes to a few hours on standard computer platforms without the need of particle-in-cell methods. The paper is followed by the slides of the presentation. (authors)

  1. Studies of the ECR plasma in the visible light range

    International Nuclear Information System (INIS)

    Biri, S.; Racz, R.; Palinkas, J.

    2012-01-01

    High resolution visible light (VL) plasma photographs were taken at the ATOMKI-ECRIS by an 8 mega-pixel digital camera. Plasmas were generated from gases of He, methane, N, O, Ne, Ar, Kr, Xe and from their mixtures. The analysis of the photo series gave many qualitative and numerous valuable physical information on the nature of ECR plasmas. VL photos convey information mainly on the cold electron component of the plasma. Cold electrons are confined in the central part of the plasma. It is a further challenging task to understand the colors of this special type of plasmas. The colors can be determined by the VL electron transitions of the plasma atoms and ions combined with the human eye sensitivity. There is a good visual agreement between the calculated normalized color and the real color of the plasmas. Through the examples of He and Xe we analyze the physical processes which affect the characteristic colors of these plasmas. The paper is followed by the slides of the presentation. (authors)

  2. Future prospects for ECR ion sources with improved charge state distributions

    International Nuclear Information System (INIS)

    Alton, G.D.

    1995-01-01

    Despite the steady advance in the technology of the ECR ion source, present art forms have not yet reached their full potential in terms of charge state and intensity within a particular charge state, in part, because of the narrow band width. single-frequency microwave radiation used to heat the plasma electrons. This article identifies fundamentally important methods which may enhance the performances of ECR ion sources through the use of: (1) a tailored magnetic field configuration (spatial domain) in combination with single-frequency microwave radiation to create a large uniformly distributed ECR ''volume'' or (2) the use of broadband frequency domain techniques (variable-frequency, broad-band frequency, or multiple-discrete-frequency microwave radiation), derived from standard TWT technology, to transform the resonant plasma ''surfaces'' of traditional ECR ion sources into resonant plasma ''volume''. The creation of a large ECR plasma ''volume'' permits coupling of more power into the plasma, resulting in the heating of a much larger electron population to higher energies, thereby producing higher charge state ions and much higher intensities within a particular charge state than possible in present forms of' the source. The ECR ion source concepts described in this article offer exciting opportunities to significantly advance the-state-of-the-art of ECR technology and as a consequence, open new opportunities in fundamental and applied research and for a variety of industrial applications

  3. Particle flux at the outlet of an Ecr plasma source; Flujos de particulas a la salida de una fuente de plasma ECR

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez T, C.; Gonzalez D, J. [ININ, Departamento de Fisica, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    2000-07-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  4. Hydrogen and deuterium pellet injection into ohmically and additionally ECR-heated TFR plasmas

    International Nuclear Information System (INIS)

    Drawin, H.W.

    1987-01-01

    The ablation clouds of hydrogen and deuterium pellets injected into ohmically and electron cyclotron resonance heated (ECRH) plasmas of the Fontenay-aux-Roses tokamak TFR have been photographed, their emission has been measured photoelectrically. Without ECRH the pellets penetrate deeply into the plasma, the clouds are striated. Injection during ECRH leads to ablation in the outer plasma region. The position of the ECR layer has no influence on the penetration depth which is only a few centimeters. The ablation clouds show no particular structure when ECRH is applied

  5. Plasma CVD reactor with two-microwave oscillators for diamond film synthesis

    International Nuclear Information System (INIS)

    Nagatsu, M.; Miyake, M.; Maeda, J.

    2006-01-01

    In this study, we present the experimental results of a new type of microwave plasma CVD system, where two of 1.5 kW microwave sources were used for enlarging the plasma discharge and the diamond film growth. One of the microwave oscillators was used to produce the microwave plasma as in the conventional microwave plasma CVD device, while the second one was used to enlarge the plasma by introducing microwave from the launcher mounted at the substrate stage. We demonstrated the enlargement of plasma discharge area from 60 mm to 100 mm in diameter by using the two-microwave oscillators system. Characteristics of diamond films deposited using H 2 /CH 4 plasmas were also investigated using a scanning electron microscope (SEM) and Raman spectroscopy

  6. Mean energy of ions at outlet of a type Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1998-01-01

    In this work it is described the calculations to mean energy of the ions in the extraction zone of a type Ecr plasma source considering the presence of a metallic substrate. This zone is characterized by the existence of a divergent magnetic field. It is showed that mean energy is function as the distance between the outlet and substrate as the value of the external magnetic field. (Author)

  7. Particle flux at the outlet of an Ecr plasma source

    International Nuclear Information System (INIS)

    Gutierrez T, C.; Gonzalez D, J.

    1999-01-01

    The necessity of processing big material areas this has resulted in the development of plasma sources with the important property to be uniform in these areas. Also the continuous diminution in the size of substrates to be processed have stimulated the study of models which allow to predict the control of energy and the density of the ions and neutral particles toward the substrate. On the other hand, there are other applications of the plasma sources where it is very necessary to understand the effects generated by the energetic fluxes of ions and neutrals. These fluxes as well as another beneficial effects can improve the activation energy for the formation and improvement of the diffusion processes in the different materials. In this work, using the drift kinetic approximation is described a model to calculate the azimuthal and radial fluxes in the zone of materials processing of an Ecr plasma source type. The results obtained are compared with experimental results. (Author)

  8. Charge state distribution studies of pure and oxygen mixed krypton ECR plasma - signature of isotope anomaly and gas mixing effect.

    Science.gov (United States)

    Kumar, Pravin; Mal, Kedar; Rodrigues, G

    2016-11-01

    We report the charge state distributions of the pure, 25% and 50% oxygen mixed krypton plasma to shed more light on the understanding of the gas mixing and the isotope anomaly [A. G. Drentje, Rev. Sci. Instrum. 63 (1992) 2875 and Y Kawai, D Meyer, A Nadzeyka, U Wolters and K Wiesemann, Plasma Sources Sci. Technol. 10 (2001) 451] in the electron cyclotron resonance (ECR) plasmas. The krypton plasma was produced using a 10 GHz all-permanent-magnet ECR ion source. The intensities of the highly abundant four isotopes, viz. 82 Kr (~11.58%), 83 Kr (~11.49%), 84 Kr (~57%) and 86 Kr (17.3%) up to ~ +14 charge state have been measured by extracting the ions from the plasma and analysing them in the mass and the energy using a large acceptance analyzer-cum-switching dipole magnet. The influence of the oxygen gas mixing on the isotopic krypton ion intensities is clearly evidenced beyond +9 charge state. With and without oxygen mixing, the charge state distribution of the krypton ECR plasma shows the isotope anomaly with unusual trends. The anomaly in the intensities of the isotopes having quite closer natural abundance, viz. 82 Kr, 86 Kr and 83 Kr, 86 Kr is prominent, whereas the intensity ratio of 86 Kr to 84 Kr shows a weak signature of it. The isotope anomaly tends to disappear with increasing oxygen mixing in the plasma. The observed trends in the intensities of the krypton isotopes do not follow the prediction of linear Landau wave damping in the plasma. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  9. Graphene Synthesis by Plasma-Enhanced CVD Growth with Ethanol

    OpenAIRE

    Campo, T.; Cotto, M.; Márquez, F.; Elizalde, E.; Morant, C.

    2016-01-01

    A modified route to synthesize graphene flakes is proposed using the Chemical Vapor Deposition (CVD) technique, by using copper substrates as supports. The carbon source used was ethanol, the synthesis temperature was 950°C and the pressure was controlled along the whole process. In this CVD synthesis process the incorporation of the carbon source was produced at low pressure and 950°C inducing the appearance of a plasma blue flash inside the quartz tube. Apparently, the presence of this plas...

  10. Solid material evaporation into an ECR source by laser ablation

    International Nuclear Information System (INIS)

    Harkewicz, R.; Stacy, J.; Greene, J.; Pardo, R.C.

    1993-01-01

    In an effort to explore new methods of producing ion beams from solid materials, we are attempting to develop a laser-ablation technique for evaporating materials directly into an ECR ion source plasma. A pulsed NdYaG laser with approximately 25 watts average power and peak power density on the order of 10 7 W/cm 2 has been used off-line to measure ablation rates of various materials as a function of peak laser power. The benefits anticipated from the successful demonstration of this technique include the ability to use very small quantities of materials efficiently, improved material efficiency of incorporation into the ECR plasma, and decoupling of the material evaporation process from the ECR source tuning operation. Here we report on the results of these tests and describe the design for incorporating such a system directly with the ATLAS PII-ECR ion source

  11. Commissioning of the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steve R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde; Lyneis, Claude M.

    2003-01-01

    VENUS (Versatile ECR ion source for NUclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The magnetic confinement configuration consists of three superconducting axial coils and six superconducting radial coils in a sextupole configuration. The nominal design fields of the axial magnets are 4T at injection and 3T at extraction; the nominal radial design field strength at the plasma chamber wall is 2T, making VENUS the world most powerful ECR plasma confinement structure. The magnetic field strength has been designed for optimum operation at 28 GHz. The four-year VENUS project has recently achieved two major milestones: The first plasma was ignited in June, the first mass-analyzed high charge state ion beam was extracted in September of 2002. The pa per describes the ongoing commissioning. Initial results including first emittance measurements are presented

  12. Diameter Tuning of Single-Walled Carbon Nanotubes by Diffusion Plasma CVD

    Directory of Open Access Journals (Sweden)

    Toshiaki Kato

    2011-01-01

    Full Text Available We have realized a diameter tuning of single-walled carbon nanotubes (SWNTs by adjusting process gas pressures with plasma chemical vapor deposition (CVD. Detailed photoluminescence measurements reveal that the diameter distribution of SWNTs clearly shifts to a large-diameter region with an increase in the pressure during plasma CVD, which is also confirmed by Raman scattering spectroscopy. Based on the systematical investigation, it is found that the main diameter of SWNTs is determined by the pressure during the heating in an atmosphere of hydrogen and the diameter distribution is narrowed by adjusting the pressure during the plasma generation. Our results could contribute to an application of SWNTs to high-performance thin-film transistors, which requires the diameter-controlled semiconductor-rich SWNTs.

  13. Plasma heating by radiofrequency in the electron cyclotron resonance (ECR)

    International Nuclear Information System (INIS)

    Cunha Raposo, C. da; Aihara, S.; Universidade Estadual de Campinas

    1982-01-01

    The characteristics of the experimental set-up mounted in the Physical Institute of UFF (Brazil) to produce the gas ionization by radio-frequency are shown and its behaviour when confined by a mirror-geometry magnetic field is studied. The diagnostic is made by a langmuir probe and a prisme spectrogaph is used in order to verify the nature of the ionized helium gas and the degree of purity through its spectral lines. The argon ionization by R.f. is produced in the 'LISA' machine obtain a plasma column of approximatelly 60 cm length and with the Langmuir probe the study of the profile distribution of the plasma parameters such as: electron temperature and density and floating potencial in function of the magnetic field variation is made. The main focus is given to the fundamental electron cyclotron resonance (ECR). A new expression on the ion saturation current (I sub(is)) produced by radiofrequency is developed. (L.C.) [pt

  14. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    Science.gov (United States)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-02-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.

  15. Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder

    International Nuclear Information System (INIS)

    Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.

    2016-01-01

    The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself

  16. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B.

    2002-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping

  17. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Science.gov (United States)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S. V.; Mandale, A. B.

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  18. Modification of sensing properties of metallophthalocyanine by an ECR plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V. E-mail: svb@physics.unipune.ernet.in; Mandale, A.B

    2002-07-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H{sub 2} and 75% N{sub 2}. Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping.

  19. Modification of sensing properties of metallophthalocyanine by an Ecr plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Chakane, S.; Jain, S.; Bhoraskar, S.V.; Mandale, A.B

    2004-01-01

    Lead Phthalocyanine (PC) tetracarboxylic acid prepared by chemical reaction from phthalic anhydride and urea was used as sensor element for sensing humidity and alcohol vapors. The surface was treated with electron cyclotron resonance (ECR) plasma consisting of 25% H 2 and 75% N 2 . Remarkable improvement in the selectivity with respect to ethyl alcohol and reduction in the sensitivity for humidity was observed after this treatment. The response and recovery time for resistive sensing were of the order of 50 and 30 s respectively. X-ray photoelectron spectroscopy and Fourier transformation infra red studies showed that the increased cross-linking of PC is responsible for the creation of new functional groups which have imparted the sensing of alcohol vapor through extrinsic doping. (author)

  20. Accumulation of multicharged ions in plasma with electrostatic well induced by ECR

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovanivsky, K.S.; Schepilov, V.D.

    1978-01-01

    In a magnetic field of mirror configuration supplemented in its central part by a microwave field (lambda=12.6 cm, P=20 W) a steady-state plasma (n=1x10 10 cm -3 , Tsub(ec)=40 eV) was produced. ECR condition was fulfilled in a circular region spaced at 1 cm from the plasma axis. In this 'hot' zone the electron temperature was Tsub(eh) = 1.5 keV. The temperature gradient creates an electrostatic well for ions in the perpendicular plane. The anisotropy of electron temperature in a mirror field caused the formation of an axial electrostatic well for ions. Thus, three-dimensional electrostatic pit was produced and the ion's life-time was as long as their charge was high. With H.F. power absorbed by the plasma 8-10 W the authors obtained comparable quantities of Ar 1+ , Ar 2+ , Ar 3+ , Ar 4+ , Ar 5+ , Ar 6+ and also ions of impurities C + , C 2+ , C 3+ , H + , H + 2 . The total current density of ions extracted from plasma is of 20 mA cm -2 . (Auth.)

  1. Experiments on cleaning effects of TDC, GDC and ECR-DC in the JFT-2M tokamak

    International Nuclear Information System (INIS)

    Matsuzaki, Y.; Ogawa, H.; Miura, Y.; Ohtsuka, H.; Suzuki, N.; Yamauchi, T.; Tani, T.; Mori, M.

    1987-01-01

    The cleaning effects of Taylor-type discharge cleaning (TDC), glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) were studied in the JFT-2M tokamak by comparing the properties of resulting tokamak plasmas, by observing the surface composition of samples and by residual gas analysis. The operational parameters of the three discharge cleaning techniques were as follows; the plasma current for TDC is 20 kA, the DC current for GDC is 3 A and the RF power for ECR-DC is 2.3 kW. Parameters of the tokamak plasmas such as loop voltages, radiation losses, spectra emission of oxygen, maximum mean electron densities and profiles of electron temperature were improved as the TDC and ECR-DC proceeded. Changes in the surface composition of samples were measured by Auger electron spectrosopy. The results showed that during the TDC and ECR-DC oxygen was reduced, while GDC reduced mainly carbon. Residual gas analysis performed during discharge cleaning corroborated these results. (orig.)

  2. Growth of carbon allotropes and plasma characterization in linear antenna microwave plasma CVD system

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Davydova, Marina; Ižák, Tibor; Čada, Martin; Kromka, Alexander

    2014-01-01

    Roč. 53, č. 5 (2014), "05FP04-1"-"05FP04-3" ISSN 0021-4922 R&D Projects: GA TA ČR TA01011740; GA ČR GAP205/12/0908 Grant - others:AVČR(CZ) M100100902 Institutional support: RVO:68378271 Keywords : antenna linear * CVD system * plasma Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 1.127, year: 2014

  3. Simulation study on ion extraction from ECR ion sources

    International Nuclear Information System (INIS)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author)

  4. Simulation study on ion extraction from ECR ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Fu, S.; Kitagawa, A.; Yamada, S.

    1993-07-01

    In order to study beam optics of NIRS-ECR ion source used in HIMAC, EGUN code has been modified to make it capable of modeling ion extraction from a plasma. Two versions of the modified code are worked out with two different methods in which 1-D and 2-D sheath theories are used respectively. Convergence problem of the strong nonlinear self-consistent equations is investigated. Simulations on NIRS-ECR ion source and HYPER-ECR ion source (in INS, Univ. of Tokyo) are presented in this paper, exhibiting an agreement with the experimental results. Some preliminary suggestions on the upgrading the extraction systems of these sources are also proposed. (author).

  5. Design studies for an advanced ECR ion source for multiply charged ion beam generation

    International Nuclear Information System (INIS)

    Alton, G.D.

    1994-01-01

    An innovative technique: for increasing ion source intensity is described which, in principle, could lead to significant advances in ECR ion source technology for multiply charged ion beam formation. The advanced concept design uses a minimum-B magnetic mirror geometry which consists of a multi-cusp, magnetic field, to assist in confining the plasma radially, a flat central field for tuning to the ECR resonant condition, and specially tailored min-or fields in the end zones to confine the plasma in the axial direction. The magnetic field is designed to achieve an axially symmetric plasma ''volume'' with constant mod-B, which extends over the length of the central field region. This design, which strongly contrasts w h the ECR ''surfaces'' characteristic of conventional ECR ion sources, results in dramatic increases in the absorption of RF power, thereby increasing the electron temperature and ''hot'' electron population within the ionization volume of the source

  6. High Intensity High Charge State ECR Ion Sources

    CERN Document Server

    Leitner, Daniela

    2005-01-01

    The next-generation heavy ion beam accelerators such as the proposed Rare Isotope Accelerator (RIA), the Radioactive Ion Beam Factory at RIKEN, the GSI upgrade project, the LHC-upgrade, and IMP in Lanzhou require a great variety of high charge state ion beams with a magnitude higher beam intensity than currently achievable. High performance Electron Cyclotron Resonance (ECR) ion sources can provide the flexibility since they can routinely produce beams from hydrogen to uranium. Over the last three decades, ECR ion sources have continued improving the available ion beam intensities by increasing the magnetic fields and ECR heating frequencies to enhance the confinement and the plasma density. With advances in superconducting magnet technology, a new generation of high field superconducting sources is now emerging, designed to meet the requirements of these next generation accelerator projects. The talk will briefly review the field of high performance ECR ion sources and the latest developments for high intens...

  7. Hard coatings by plasma CVD on polycarbonate for automotive and optical applications

    International Nuclear Information System (INIS)

    Schmauder, T.; Nauenburg, K.-D.; Kruse, K.; Ickes, G.

    2006-01-01

    In many applications, plastic surfaces need coatings as a protection against abrasion or weathering. Leybold Optics is developing Plasma CVD processes and machinery for transparent hard coatings (THC) for polycarbonate parts. In this paper we present the current features and remaining challenges of this technique. The coatings generally show excellent adhesion. Abrasion resistance is superior to commonly used lacquers. Climate durability of the coating has been improved to pass the tests demanded by automotive specifications. Current activities are focused on improving the durability under exposure to UV radiation. Estimations show that our high-rate plasma CVD hard coating process is also economically competitive to lacquering

  8. Study of hot electrons in a ECR ion source

    International Nuclear Information System (INIS)

    Barue, C.

    1992-12-01

    The perfecting of diagnosis connected with hot electrons of plasma, and then the behaviour of measured parameters of plasma according to parameters of source working are the purpose of this thesis. The experimental results obtained give new information on hot electrons of an ECR ion source. This thesis is divided in 4 parts: the first part presents an ECR source and the experimental configuration (ECRIS physics, minimafios GHz, diagnosis used); the second part, the diagnosis (computer code of cyclotron emission and calibration); the third part gives experimental results in continuous regime (emission cyclotron diagnosis, bremsstrahlung); the fourth part, experimental results in pulsed regime (emission cyclotron diagnosis, diamagnetism) calibration)

  9. Electromagnetic diagnostics of ECR-Ion Sources plasmas: optical/X-ray imaging and spectroscopy

    Science.gov (United States)

    Mascali, D.; Castro, G.; Altana, C.; Caliri, C.; Mazzaglia, M.; Romano, F. P.; Leone, F.; Musumarra, A.; Naselli, E.; Reitano, R.; Torrisi, G.; Celona, L.; Cosentino, L. G.; Giarrusso, M.; Gammino, S.

    2017-12-01

    Magnetoplasmas in ECR-Ion Sources are excited from gaseous elements or vapours by microwaves in the range 2.45-28 GHz via Electron Cyclotron Resonance. A B-minimum, magnetohydrodynamic stable configuration is used for trapping the plasma. The values of plasma density, temperature and confinement times are typically ne= 1011-1013 cm-3, 01 eVSilicon Drift detectors with high energy resolution of 125 eV at 5.9 keV have been used for the characterization of plasma emission at 02plasmas have been measured for different values of neutral pressure, microwave power and magnetic field profile (they are critical for high-power proton sources).

  10. Characteristics of 6.5 GHz ECR ion source for polarized H- ion source

    International Nuclear Information System (INIS)

    Ikegami, Kiyoshi; Mori, Yoshiharu; Takagi, Akira; Fukumoto, Sadayoshi.

    1983-04-01

    A 6.5 GHz ECR (electron cyclotron resonance) ion source has been developed for optically pumped polarized H - ion source at KEK. The properties of this ECR ion source such as beam intensities, proton ratios, plasma electron temperatures and beam emittances were measured. (author)

  11. Enhanced TiC/SiC Ohmic contacts by ECR hydrogen plasma pretreatment and low-temperature post-annealing

    International Nuclear Information System (INIS)

    Liu, Bingbing; Qin, Fuwen; Wang, Dejun

    2015-01-01

    Highlights: • Low-temperature ECR microwave hydrogen plasma were pretreated for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces. • The relationship among Ohmic properties, the SiC surface properties and TiC/SiC interface properties were established. • Interface band structures were analyzed to elucidate the mechanism by which the Ohmic contacts were formed. - Abstract: We proposed an electronic cyclotron resonance (ECR) microwave hydrogen plasma pretreatment (HPT) for moderately doped (1 × 10"1"8 cm"−"3) SiC surfaces and formed ideal TiC/SiC Ohmic contacts with significantly low contact resistivity (1.5 × 10"−"5 Ω cm"2) after low-temperature annealing (600 °C). This is achieved by reducing barrier height at TiC/SiC interface because of the release of pinned Fermi level by surface flattening and SiC surface states reduction after HPT, as well as the generation of donor-type carbon vacancies, which reduced the depletion-layer width for electron tunneling after annealing. Interface band structures were analyzed to elucidate the mechanism of Ohmic contact formations.

  12. Development of the 3rd Generation ECR ion source

    International Nuclear Information System (INIS)

    Lyneis, C.M.; Xie, Z.Q.; Taylor, C.E.

    1997-09-01

    The LBNL 3rd Generation ECR ion source has progressed from a concept to the fabrication of a full scale prototype superconducting magnet structure. This new ECR ion source will combine the recent ECR ion source techniques that significantly enhance the production of high charge state ions. The design includes a plasma chamber made from aluminum to provide additional cold electrons, three separate microwave feeds to allow multiple-frequency plasma heating (at 10, 14 and 18 GHz or at 6, 10 and 14 GHz) and very high magnetic mirror fields. The design calls for mirror fields of 4 T at injection and 3 T at extraction and for a radial field strength at the wall of 2.4 T. The prototype superconducting magnet structure which consists of three solenoid coils and six race track coils with iron poles forming the sextupole has been tested in a vertical dewar. After training, the sextupole magnet reached 105% of its design current with the solenoids off. With the solenoids operating at approximately 70% of their full design field, the sextuple coils operated at 95% of the design value which corresponds to a sextupole field strength at the plasma wall of more than 2.1 T

  13. An enhanced production of highly charged ions in the ECR ion sources

    International Nuclear Information System (INIS)

    Schaechter, L.; Dobrescu, S.; Badescu- Singureanu, Al.I.; Stiebing, K.E.; Runkel, S.; Hohn, O.; Schmidt, L.; Schempp, A.; Schmidt - Boecking, H.

    2000-01-01

    The electron cyclotron resonance (ECR) ion source (ECRIS) are the ideal sources of highly charged heavy ions. Highly charged heavy ions are widely used in atomic physics research where they constitute a very efficient tool due to their very high electric potential of collision. The highly charged ions are also used in fusion plasma physics studies, in solid state surface physics investigations and are very efficient when injected in particle accelerators. More than 50 ECR ion sources are presently working in the whole world. Stable and intense highly charged heavy ions beams are extracted from ECR ion sources, in a wide range of ion species. RECRIS, the Romanian 14 GHz ECR Ion Source, developed in IFIN-HH, designed as a facility for atomic physics and materials studies, has been recently completed. The research field concerning the development of advanced ECRIS and the study of the physical processes of the ECR plasma are presently very dynamical , a fact well proved by the great number of scientific published works and the numerous dedicated international conferences and workshops. It is well established that the performance of ECRIS can substantially be enhanced if special techniques like a 'biased disk' or a special wall coating of the plasma chamber are employed. In the frame of a cooperation project between IFIN-HH ,Bucharest, Romania and the Institut fuer Kernphysik of the J. W. Goethe University, Frankfurt/Main, Germany we developed, on the basis of previous research carried out in IFIN-HH, a new method to strongly increase the intensity of the ion beams extracted from the 14.4 GHz ECRIS in Frankfurt. In our method a special metal-dielectric structure (MD cylinder) was introduced in the ECRIS plasma chamber. In the experiment analyzed beams of Ar 16+ ions were increased in intensity by a factor of 50 as compared to the standard set up with stainless steel chamber. These results have been communicated at the International Conference on Ion Sources held at

  14. Ion mixing and numerical simulation of different ions produced in the ECR ion source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    This paper is to continue theoretical investigations and numerical simulations in the physics of ECR ion sources within the CERN program on heavy ion acceleration. The gas (ion) mixing effect in ECR sources is considered here. It is shown that the addition of light ions to the ECR plasma has three different mechanisms to improve highly charged ion production: the increase of confinement time and charge state of highly ions as the result of ion cooling; the concentration of highly charged ions in the central region of the source with high energy and density of electrons; the increase of electron production rate and density of plasma. The numerical simulations of lead ion production in the mixture with different light ions and different heavy and intermediate ions in the mixture with oxygen, are carried out to predict the principal ECR source possibilities for LHC applications. 18 refs., 23 refs

  15. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro [Accelerator Engineering Corp., Chiba (Japan); Kitagawa, Atsushi; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Biri, Sandor [Institute of Nuclear Research (ATOMKI), Debrecen (Hungary)

    2000-11-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 e{mu}A for Ar{sup 11+}. (J.P.N.)

  16. Experiment of bias probe method at NIRS-18 GHz ECR ion source

    International Nuclear Information System (INIS)

    Jincho, Kaoru; Yamamoto, Mitsugu; Okada, Takanori; Takasugi, Wataru; Sakuma, Tetsuya; Miyoshi, Tomohiro; Kitagawa, Atsushi; Muramatsu, Masayuki; Biri, Sandor

    2000-01-01

    An 18 GHz ECR ion source (NIRS-HEC) has been developed to produce highly charged heavy ions from Ar to Xe. In order to increase the beam intensity of highly charged ion, we tried a technique of supplying cold electrons into the ECR plasma. In this paper, enhancement of the beam intensity is discussed in detail. The bias voltage is applied on the probe to repel cold electrons which flow from a plasma. The output beam current is 130 eμA for Ar 11+ . (J.P.N.)

  17. Nucleation of microwave plasma CVD diamond on molybdenum (Mo) substrate

    International Nuclear Information System (INIS)

    Inderjeet, K.; Ramesh, S.

    2000-01-01

    Molybdenum is a metal, which is gaining increasing significance in industrial applications. The main use of Mo is as all alloying element added in small amounts to steel, irons and non- ferrous alloys in order to enhance the strength, toughness and wear resistance. Mo is also vastly being employed in the automotive and aircraft industries, mainly due to its low coefficient of friction. Diamond, on be other hand, is a unique material for innumerable applications because of its usual combination of physical and chemical properties. Several potential applications can be anticipated for diamond in many sectors including electronics, optics, as protective corrosion resistant coatings, cutting tools, etc. With the enhancement in science and technology, diamond microcrystals and thin films are now being produced from the vapour phase by a variety of chemical vapour deposition (CVD) techniques; such as microwave plasma CVD. With such technology being made available, it is envisage that diamond-coated molybdenum would further enhance the performance and to open up new avenue for Mo in various industries. Therefore, it is the aim of the present work to study the nucleation and growth of diamond particles on Mo surface by employing microwave plasma CVD (MAPCVD). In the present work, diamond deposition was carried out in several stages by varying the deposition distance. The nucleation and growth rate were studied using scanning electron microscopy (SEM). In addition, the existence of diamond was verified by X-ray diffraction (XRD) analysis. It has been found that the nucleation and growth rate of diamond particles were influenced by the deposition height between the substrate and plasma. Under the optimum condition, well defined diamond crystallites distributed homogeneously throughout the surface, could be obtained. Some of the important parameters controlling the deposition and growth of diamond particles on Mo surface are discussed. (author)

  18. Proceedings of the 'INS workshop on ECR ion sources for multiply-charged heavy ions'

    International Nuclear Information System (INIS)

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ''NANOGAN'' ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.)

  19. Proceedings of the `INS workshop on ECR ion sources for multiply-charged heavy ions`

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-02-01

    This workshop was held on December 1 and 2, 1994 at the Institute for Nuclear Study, University of Tokyo. The performance of ion sources is crucial for all researches and applications that use ion beam. The performance of ECR ion sources is strongly dependent on heuristic knowledge and innovation. From these viewpoints, it is useful to exchange information on the status of the existing sources, the performance of the new sources, and the design of the future sources between the source builders and the users. There were unexpected more than 70 participants and 20 contributions. The lectures were given on the present status of NIRS-ECR, SF-ECR, INS ISOL-ECR, RCNP ECR and EBIS ion sources, the production of multiply charged metallic ions with Hyper ECR or by plasma cathode method, the processing of ceramic rods and the ion production with OCTOPUS, the modeling of multi-charged ion production, the design of an advanced minimum B for ECR multi-charged ion source, the design, construction and operation of 18 GHz HiECR ion source, the construction and test operation of JAERI 18 GHz ion source, the design of an ECR ion source for the HIMAC, a 14.5 GHz ECR ion source at RIKEN, TMU 14 GHz ECR ion source, ``NANOGAN`` ECR ion source and its irradiation system, the optimization of the ECR ion source for optically pumped polarized ion source and so on. (K.I.).

  20. Prospect for a 60 GHz multicharged ECR ion source

    Science.gov (United States)

    Thuillier, T.; Bondoux, D.; Angot, J.; Baylac, M.; Froidefond, E.; Jacob, J.; Lamy, T.; Leduc, A.; Sole, P.; Debray, F.; Trophime, C.; Skalyga, V.; Izotov, I.

    2018-05-01

    The conceptual design of a fourth generation hybrid electron cyclotron resonance (ECR) ion source operated at 60 GHz is proposed. The axial magnetic mirror is generated with a set of three Nb3Sn coils, while the hexapole is made with room temperature (RT) copper coils. The motivations for such a hybrid development are to study further the ECR plasma physics and the intense multicharged ion beams' production and transport at a time when a superconducting (SC) hexapole appears unrealistic at 60 GHz. The RT hexapole coil designed is an evolution of the polyhelix technology developed at the French High Magnetic Field Facility. The axial magnetic field is generated by means of 3 Nb3Sn SC coils operated with a maximum current density of 350 A/mm2 and a maximum coil load line factor of 81%. The ECR plasma chamber resulting from the design features an inner radius of 94 mm and a length of 500 mm. The radial magnetic intensity is 4.1 T at the wall. Characteristic axial mirror peaks are 8 and 4.5 T, with 1.45 T minimum in between.

  1. Hydrogen dissociation in the deposition of GaN films with ECR-PECVD process

    Science.gov (United States)

    Fu, S. L.; Wang, C. A.; Ding, L. C.; Qin, Y. X.

    2018-05-01

    The hydrogen dissociation and its effect on the GaN film growth in the ECR-PECVD process are investigated in this paper. We use N2 and trimethylgallium (TMG) as N and Ga sources respectively in the ECR- PECVD process. The results show that the rate of hydrogen dissociation increases with the microwave power and it becomes higher at high microwave power (> 500 W). However, this population increase of the H species dissociated from the TMG gas in ECR plasma is not enough to change the growth condition from Ga-rich to N-rich.

  2. Production of highly charged ion beams from ECR ion sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-09-01

    Electron Cyclotron Resonance (ECR) ion source development has progressed with multiple-frequency plasma heating, higher mirror magnetic fields and better technique to provide extra cold electrons. Such techniques greatly enhance the production of highly charged ions from ECR ion sources. So far at cw mode operation, up to 300 eμA of O 7+ and 1.15 emA of O 6+ , more than 100 eμA of intermediate heavy ions for charge states up to Ar 13+ , Ca 13+ , Fe 13+ , Co 14+ and Kr 18+ , and tens of eμA of heavy ions with charge states to Kr 26+ , Xe 28+ , Au 35+ , Bi 34+ and U 34+ have been produced from ECR ion sources. At an intensity of at least 1 eμA, the maximum charge state available for the heavy ions are Xe 36+ , Au 46+ , Bi 47+ and U 48+ . An order of magnitude enhancement for fully stripped argon ions (I ≥ 60 enA) also has been achieved. This article will review the ECR ion source progress and discuss key requirement for ECR ion sources to produce the highly charged ion beams

  3. Superconducting ECR ion source: From 24-28 GHz SECRAL to 45 GHz fourth generation ECR

    Science.gov (United States)

    Zhao, H. W.; Sun, L. T.; Guo, J. W.; Zhang, W. H.; Lu, W.; Wu, W.; Wu, B. M.; Sabbi, G.; Juchno, M.; Hafalia, A.; Ravaioli, E.; Xie, D. Z.

    2018-05-01

    The development of superconducting ECR source with higher magnetic fields and higher microwave frequency is the most straight forward path to achieve higher beam intensity and higher charge state performance. SECRAL, a superconducting third generation ECR ion source, is designed for 24-28 GHz microwave frequency operation with an innovative magnet configuration of sextupole coils located outside the three solenoids. SECRAL at 24 GHz has already produced a number of record beam intensities, such as 40Ar12+ 1.4 emA, 129Xe26+ 1.1 emA, 129Xe30+ 0.36 emA, and 209Bi31+ 0.68 emA. SECRAL-II, an upgraded version of SECRAL, was built successfully in less than 3 years and has recently been commissioned at full power of a 28 GHz gyrotron and three-frequency heating (28 + 45 + 18 GHz). New record beam intensities for highly charged ion production have been achieved, such as 620 eμA 40Ar16+, 15 eμA 40Ar18+, 146 eμA 86Kr28+, 0.5 eμA 86Kr33+, 53 eμA 129Xe38+, and 17 eμA 129Xe42+. Recent beam test results at SECRAL and SECRAL II have demonstrated that the production of more intense highly charged heavy ion beams needs higher microwave power and higher frequency, as the scaling law predicted. A 45 GHz superconducting ECR ion source FECR (a first fourth generation ECR ion source) is being built at IMP. FECR will be the world's first Nb3Sn superconducting-magnet-based ECR ion source with 6.5 T axial mirror field, 3.5 T sextupole field on the plasma chamber inner wall, and 20 kW at a 45 GHz microwave coupling system. This paper will focus on SECRAL performance studies at 24-28 GHz and technical design of 45 GHz FECR, which demonstrates a technical path for highly charged ion beam production from 24 to 28 GHz SECRAL to 45 GHz FECR.

  4. Influence of Microwave Power on the Properties of Hydrogenated Diamond-Like Carbon Films Prepared by ECR Plasma Enhanced DC Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ru Lili; Huang Jianjun; Gao Liang; Qi Bing

    2010-01-01

    Electron cyclotron resonance (ECR) plasma was applied to enhance the direct current magnetron sputtering to prepare hydrogenated diamond-like carbon (H-DLC) films. For different microwave powers, both argon and hydrogen gas are introduced separately as the ECR working gas to investigate the influence of microwave power on the microstructure and electrical property of the H-DLC films deposited on P-type silicon substrates. A series of characterization methods including the Raman spectrum and atomic force microscopy are used. Results show that, within a certain range, the increase in microwave power affects the properties of the thin films, namely the sp 3 ratio, the hardness, the nanoparticle size and the resistivity all increase while the roughness decreases with the increase in microwave power. The maximum of resistivity amounts to 1.1 x 10 9 Ω · cm. At the same time it is found that the influence of microwave power on the properties of H-DLC films is more pronounced when argon gas is applied as the ECR working gas, compared to hydrogen gas.

  5. Microwave frequency sweep interferometer for plasma density measurements in ECR ion sources: Design and preliminary results

    Energy Technology Data Exchange (ETDEWEB)

    Torrisi, Giuseppe [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University Mediterranea of Reggio Calabria, Reggio Calabria (Italy); Mascali, David; Neri, Lorenzo; Leonardi, Ornella; Celona, Luigi; Castro, Giuseppe; Agnello, Riccardo; Caruso, Antonio; Passarello, Santi; Longhitano, Alberto; Gammino, Santo [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Sorbello, Gino [INFN - Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); University of Catania, Catania, Italy and INFN-LNS, Catania (Italy); Isernia, Tommaso [University Mediterranea of Reggio Calabria, Reggio Calabria (Italy)

    2016-02-15

    The Electron Cyclotron Resonance Ion Sources (ECRISs) development is strictly related to the availability of new diagnostic tools, as the existing ones are not adequate to such compact machines and to their plasma characteristics. Microwave interferometry is a non-invasive method for plasma diagnostics and represents the best candidate for plasma density measurement in hostile environment. Interferometry in ECRISs is a challenging task mainly due to their compact size. The typical density of ECR plasmas is in the range 10{sup 11}–10{sup 13} cm{sup −3} and it needs a probing beam wavelength of the order of few centimetres, comparable to the chamber radius. The paper describes the design of a microwave interferometer developed at the LNS-INFN laboratories based on the so-called “frequency sweep” method to filter out the multipath contribution in the detected signals. The measurement technique and the preliminary results (calibration) obtained during the experimental tests will be presented.

  6. Production of hot electrons in mirror systems associated with ECR heating with longitudinal input of microwaves

    International Nuclear Information System (INIS)

    Zhil'tsov, V.A.; Skovoroda, A.A.; Timofeev, A.V.; Kharitonov, K.Yu.; Shcherbakov, A.G.

    1991-01-01

    Almost all experiments on ECR plasma heating are accompanied by the formation of hot electrons (i.e., electrons with energy substantially greater than the average of the bulk population). In mirror systems these electrons may determine the basic energy content (β) of the plasma. In this paper, results are presented from experimental measurements of the hot electron population resulting from ECR heating of the plasma in OGRA-4. A theoretical model is developed which describes the hot electron dynamics and the propagation of electromagnetic oscillations in the plasma self-consistently. The results obtained with this model are in agreement with experimental data

  7. Measurements of bremsstrahlung spectra of Lanzhou ECR Ion Source No. 3 (LECR3)

    International Nuclear Information System (INIS)

    Zhao, H.Y.; Zhao, H.W.; Ma, X.W.; Zhang, S.F.; Feng, W.T.; Zhu, X.L.; Zhang, Z.M.; He, W.; Sun, L.T.; Feng, Y.C.; Cao, Y.; Li, J.Y.; Li, X.X.; Wang, H.; Ma, B.H.

    2006-01-01

    In order to diagnose the electron cyclotron resonance (ECR) plasma, electron bremsstrahlung spectra were measured by a HPGe detector on Lanzhou ECR Ion Source No. 3 at IMP. The ion source was operated with argon under various working conditions, including different microwave power, mixing gas, extraction high voltage (HV), and so on. Some of the measured spectra are presented in this article. The dependence of energetic electron population on mixing gas and extraction HV is also described. Additionally, we are looking forward to further measurements on SECRAL (Superconducting ECR Ion Source with Advanced design at Lanzhou)

  8. State of the Art ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.

    1997-01-01

    Electron Cyclotron Resonance (ECR) ion source which produces highly-charged ions is used in heavy ion accelerators worldwide. Applications also found in atomic physics research and industry ion implantation. ECR ion source performance continues to improve, especially in the last few years with new techniques, such as multiple-frequency plasma heating and better methods to provide extra cold electrons, combined with higher magnetic mirror fields. So far more than 1 emA of multiply-charged ions such as He 2+ and O 6+ , and 30 eμA of Au 32+ , 1.1 eμA of 238 U 48+ , and epA currents of very high charge states such as 86 Kr 35+ and 238 U 60+ have been produced

  9. Simulation and beam line experiments for the superconducting ECR ion source VENUS

    International Nuclear Information System (INIS)

    Todd, Damon S.; Leitner, Daniela; Grote, David P.; Lyneis, ClaudeM.

    2007-01-01

    The particle-in-cell code Warp has been enhanced to incorporate both two- and three-dimensional sheath extraction models giving Warp the capability of simulating entire ion beam transport systems including the extraction of beams from plasma sources. In this article we describe a method of producing initial ion distributions for plasma extraction simulations in electron cyclotron resonance (ECR) ion sources based on experimentally measured sputtering on the source biased disc. Using this initialization method, we present preliminary results for extraction and transport simulations of an oxygen beam and compare them with experimental beam imaging on a quartz viewing plate for the superconducting ECR ion source VENUS

  10. Effects of the plasma-facing materials on the negative ion H ‑ density in an ECR (2.45 GHz) plasma

    Science.gov (United States)

    Bentounes, J.; Béchu, S.; Biggins, F.; Michau, A.; Gavilan, L.; Menu, J.; Bonny, L.; Fombaron, D.; Bès, A.; Lebedev, Yu A.; Shakhatov, V. A.; Svarnas, P.; Hassaine, T.; Lemaire, J. L.; Lacoste, A.

    2018-05-01

    Within the framework of fundamental research, the present work focuses on the role of surface material in the production of H ‑ negative ion, with a potential application of designing cesium-free H ‑ negative ion sources oriented to fusion application. It is widely accepted that the main reaction leading to H ‑ production, in the plasma volume, is the dissociative attachment of low-energy electrons (T e ≤ 1 eV) on highly ro-vibrationally excited hydrogen molecules. In parallel with other mechanisms, the density of these excited molecules may be enhanced by means of the recombinative desorption, i.e. the interaction between surface absorbed atoms with other atoms (surface adsorbed or not) through the path {H}{{ads}}+{H}{{gas}/{{ads}}}\\to {H}2{(v,J)}{{gas}}+{{Δ }}E. Accordingly, a systematic study on the role played by the surface in this reaction, with respect to the production of H ‑ ion in the plasma volume, is here performed. Thus, tantalum and tungsten (already known as H ‑ enhancers) and quartz (inert surface) materials are employed as inner surfaces of a test bench chamber. The plasma inside the chamber is produced by electron cyclotron resonance (ECR) driving and it is characterized with conventional electrostatic probes, laser photodetachment, and emission and absorption spectroscopy. Two different positions (close to and away from the ECR driving zone) are investigated under various conditions of pressure and power. The experimental results are supported by numerical data generated by a 1D model. The latter couples continuity and electron energy balance equations in the presence of magnetic field, and incorporates vibrational kinetics, H2 molecular reactions, H electronically excited states and ground-state species kinetics. In the light of this study, recombinative desorption has been evidenced as the most probable mechanism, among others, responsible for an enhancement by a factor of about 3.4, at 1.6 Pa and 175 W of microwave power, in the

  11. Parametric decay instabilities in ECR heated plasmas

    International Nuclear Information System (INIS)

    Porkolab, M.

    1982-01-01

    The possibility of parametric excitation of electron Bernstein waves and low frequency ion oscillations during ECR heating at omega/sub o/ approx. = l omega/sub ce/, l = 1,2 is examined. In particular, the thresholds for such instabilities are calculated. It is found that Bernstein waves and lower hybrid quasi-modes have relatively low homogeneous where T/sub e/ approx. = T/sub i/. Thus, these processes may lead to nonlinear absorption and/or scattering of the incident pump wave. The resulting Bernstein waves may lead to either more effective heating (especially during the start-up phase) or to loss of microwave energy if the decay waves propagate out of the system before their energy is absorbed by particles. While at omega/sub o/ = omega/sub UH/ the threshold is reduced due to the WKB enhancement of the pump wave, (and this instability may be important in tokamaks) in EBT's and tandem mirrors the instability at omega /sub o/ greater than or equal to 2 omega/sub ce/ may be important. The instability may persist even if omega > 2 omega/sub ce/ and this may be the case during finite beta depression of the magnetic field in which case the decay waves may be trapped in the local magnetic well so that convective losses are minimized. The excited fluctuations may lead to additional scattering of the ring electrons and the incident microwave fields. Application of these calculations to ECR heating of tokamaks, tandem mirrors, and EBT's will be examined

  12. 11th ECR ion source workshop

    International Nuclear Information System (INIS)

    1993-05-01

    This report contains four articles concerning the commissioning of the 14 GHz ECR at the new Unilac injector, the status of the PuMa-ECR, the redesigned 14 GHz ECR ion source and test bench, and the simulation of ion beam extraction from an ECR source. See hints under the relevant topics. (HSI)

  13. Electron cyclotron resonance plasma photos

    Energy Technology Data Exchange (ETDEWEB)

    Racz, R.; Palinkas, J. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary)

    2010-02-15

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  14. Electron cyclotron resonance plasma photos

    International Nuclear Information System (INIS)

    Racz, R.; Palinkas, J.; Biri, S.

    2010-01-01

    In order to observe and study systematically the plasma of electron cyclotron resonance (ECR) ion sources (ECRIS) we made a high number of high-resolution visible light plasma photos and movies in the ATOMKI ECRIS Laboratory. This required building the ECR ion source into an open ECR plasma device, temporarily. An 8MP digital camera was used to record photos of plasmas made from Ne, Ar, and Kr gases and from their mixtures. We studied and recorded the effect of ion source setting parameters (gas pressure, gas composition, magnetic field, and microwave power) to the shape, color, and structure of the plasma. The analysis of the photo series gave us many qualitative and numerous valuable physical information on the nature of ECR plasmas.

  15. The study on diamond-coated insert by DC plasma jet CVD

    International Nuclear Information System (INIS)

    Zhou Kesong; Dai Mingjiang; Song Jinbing; Kuang Tongchun; Liu Zhengyi

    2001-01-01

    Diamond coating were deposited on cemented carbide inserts by DC plasma jet CVD. The cemented carbide inserts were pretreated by methods including chemical etching of Co, Ar/H 2 plasma etching. The characteristics of diamond film, interface structure, adhesion strength and film stress were analysized by different methods such as SEM, XRD, Raman spectrum etc. A comparing experiment of cutting Al - 22 % Si alloy was carried out with diamond-coated cemented carbide inserts and uncoated cemented carbide inserts. The results show that the diamond-coated cemented carbide insert has a great advantage for cutting abrasive high content Al - Si alloy. (author)

  16. Electron cyclotron resonance (E.C.R.) multiply charged ion sources

    International Nuclear Information System (INIS)

    Geller, R.

    1978-01-01

    High charge state ions can be produced by electron bombardment inside targets when the target electron density n (cm -3 ) multiplied by the ion transit time through the target tau (sec) is: n tau > 5.10 9 cm -3 sec. The relative velocity between electrons and ions determines the balance between stripping and capture i.e. the final ion charge state. (In a stripper foil fast ions interact with slow electrons involving typically n approximately 10 24 cm -3 , tau approximately 10 -14 sec). In the E.C.R. source a cold ion plasma created in a first stage diffuses slowly through a second stage containing a hot E.C.R. plasma with n > 3.10 11 cm -3 and tau > 10 -2 sec. Continuous beams of several μA of C 6+ N 7+ Ne 9+ A 11+ are extracted from the second stage with normalized emittances of approximately 0.5 π mm mrad. The absence of cathodes and plasma arcs makes the source very robust, reliable and well-fitted for cyclotron injection. A super conducting source is under development

  17. Development of 16.5 GHz ECR ion source in KEK

    International Nuclear Information System (INIS)

    Mori, Yoshiharu; Kinsho, Michikazu; Ikegami, Kiyoshi; Takagi, Akira

    1992-01-01

    An electron cyclotron resonance (ECR) ion source is useful for generating not only highly charged heavy ions but intense protons. We have developed the 16.5 GHz ECR ion source for the optically pumped polarized ion source (OPPIS). Recently, we have modified it to extract highly charged heavy ions and succeeded in producting highly charged argon ions of which charge-states were from 2 to 8. When we introduced electrons into the plasma with a LaB 6 filament, the argon ion beam whose charge-state up to 11 could be extracted. The intensity was also enhanced in factor 2 to 6 for each charge-state ions. (author)

  18. Commissioning of the superconducting ECR ion source VENUS at 18 GHz

    International Nuclear Information System (INIS)

    Leitner, Daniela; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Taylor, Clyde E.; Lyneis, Claude M.

    2004-01-01

    During the last year, the VENUS ECR ion source was commissioned at 18 GHz and preparations for 28 GHz operation are now underway. During the commissioning phase with 18 GHz, tests with various gases and metals have been performed with up to 2000 W RF power. The ion source performance is very promising [1,2]. VENUS (Versatile ECR ion source for Nuclear Science) is a next generation superconducting ECR ion source, designed to produce high current, high charge state ions for the 88-Inch Cyclotron at the Lawrence Berkeley National Laboratory. VENUS also serves as the prototype ion source for the RIA (Rare Isotope Accelerator) front end. The goal of the VENUS ECR ion source project as the RIA R and D injector is the production of 240e(micro)A of U 30+ , a high current medium charge state beam. On the other hand, as an injector ion source for the 88-Inch Cyclotron the design objective is the production of 5e(micro)A of U 48+ , a low current, very high charge state beam. To meet these ambitious goals, VENUS has been designed for optimum operation at 28 GHz. This frequency choice has several design consequences. To achieve the required magnetic confinement, superconducting magnets have to be used. The size of the superconducting magnet structure implies a relatively large plasma volume. Consequently, high power microwave coupling becomes necessary to achieve sufficient plasma heating power densities. The 28 GHz power supply has been delivered in April 2004

  19. Superconducting ECR ion source system

    International Nuclear Information System (INIS)

    Sharma, S.C.; Gore, J.A.; Gupta, A.K.; Saxena, A.

    2017-01-01

    In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator programme, consisting of a superconducting ECR (Electron Cyclotron Resonance) source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting resonator cavities has been proposed. The 18 GHz superconducting ECR ion source system has already been commissioned and being operated periodically at FOTIA beam hall. This source is capable of delivering ion beams right from proton to uranium with high currents and high charge states over a wide mass range (1/7 ≤ q/m ≤ 1/2) across the periodic table, including U"3"4"+ (q/m∼1/7) with 100 pna yield. The normalized transverse beam emittance from ECR source is expected to be <1.0 pi mm mrad. ECR ion sources are quite robust, making them suitable for operating for weeks continuously without any interruption

  20. ECR [electron cyclotron resonance] discharges maintained by radiation in the millimeter wavelength range

    International Nuclear Information System (INIS)

    Bykov, Yu.V.; Golubev, S.V.; Eremeev, A.G.; Zorin, V.G.

    1990-01-01

    It is well known that plasmas formed by microwave breakdown of gases under electron cyclotron resonance (ECR) conditions can serve as an efficient source for ion beams. The major disadvantage of this type of source is relatively low ion beam currents which generally do not exceed 1 A (for an electron density of ∼10 12 cm -3 in the discharge). Raising the current density in the ion beams requires a higher plasma density, which can be obtained by using higher frequencies. Thus, a study has recently been made of the parameters of the plasma formed by ECR breakdown in a linear confinement system employing pulsed radiation at a frequency of 60 GHz. The maximum electron densities obtained in the experiment were 2·10 13 cm -3 at a gas pressure of 3·10 -4 torr. In this paper the authors describe some experiments on the creation of plasmas by means of quasi-cw electromagnetic radiation at a frequency of 100 GHz under electron cyclotron resonance conditions

  1. Enhancement of ECR performances by means of carbon nano-tubes based electron guns

    International Nuclear Information System (INIS)

    Odorici, F.; Cuffiani, M.; Malferrari, L.; Rizzoli, R.; Veronese, G.P.; Celona, L.; Gammino, S.; Mascali, D.; Miracoli, R.; Romano, F.P.; Gambino, N.; Castro, G.; Ciavola, G.; Serafino, T.

    2012-01-01

    The CANTES experiment at INFN-LNS tested the use of carbon nano-tubes (CNTs) to emit electrons by field emission effect, in order to provide additional electrons to the plasma core of an ECR ion source. This technique was used with the Caesar source, demonstrating that the total extracted ion current is increased and that a relevant reduction of the number of 'high energy' electrons (above 100 keV) may be observed. The injection of additional electrons inside the plasma increases the amount of cold and warm electrons, and then the number of ionizing collisions. Details of the construction of CNTs based electron gun and of the improvement of performances of the Caesar ECR ion source will be presented. The paper is followed by the associated poster. (authors)

  2. Comparison analysis of superconducting solenoid magnet systems for ECR ion source based on the evolution strategy optimization

    Energy Technology Data Exchange (ETDEWEB)

    Wei, Shao Qing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of)

    2015-06-15

    Electron cyclotron resonance (ECR) ion source is an essential component of heavy-ion accelerator. For a given design, the intensities of the highly charged ion beams extracted from the source can be increased by enlarging the physical volume of ECR zone. Several models for ECR ion source were and will be constructed depending on their operating conditions. In this paper three simulation models with 3, 4 and 6 solenoid system were built, but it's not considered anything else except the number of coils. Two groups of optimization analysis are presented, and the evolution strategy (ES) is adopted as an optimization tool which is a technique based on the ideas of mutation, adaptation and annealing. In this research, the volume of ECR zone was calculated approximately, and optimized designs for ECR solenoid magnet system were presented. Firstly it is better to make the volume of ECR zone large to increase the intensity of ion beam under the specific confinement field conditions. At the same time the total volume of superconducting solenoids must be decreased to save material. By considering the volume of ECR zone and the total length of solenoids in each model with different number of coils, the 6 solenoid system represented the highest coil performance. By the way, a certain case, ECR zone volume itself can be essential than the cost. So the maximum ECR zone volume for each solenoid magnet system was calculated respectively with the same size of the plasma chamber and the total magnet space. By comparing the volume of ECR zone, the 6 solenoid system can be also made with the maximum ECR zone volume.

  3. Optical characterization of single-crystal diamond grown by DC arc plasma jet CVD

    Science.gov (United States)

    Hei, Li-fu; Zhao, Yun; Wei, Jun-jun; Liu, Jin-long; Li, Cheng-ming; Lü, Fan-xiu

    2017-12-01

    Optical centers of single-crystal diamond grown by DC arc plasma jet chemical vapor deposition (CVD) were examined using a low-temperature photoluminescence (PL) technique. The results show that most of the nitrogen-vacancy (NV) complexes are present as NV- centers, although some H2 and H3 centers and B-aggregates are also present in the single-crystal diamond because of nitrogen aggregation resulting from high N2 incorporation and the high mobility of vacancies under growth temperatures of 950-1000°C. Furthermore, emissions of radiation-induced defects were also detected at 389, 467.5, 550, and 588.6 nm in the PL spectra. The reason for the formation of these radiation-induced defects is not clear. Although a Ni-based alloy was used during the diamond growth, Ni-related emissions were not detected in the PL spectra. In addition, the silicon-vacancy (Si-V)-related emission line at 737 nm, which has been observed in the spectra of many previously reported microwave plasma chemical vapor deposition (MPCVD) synthetic diamonds, was absent in the PL spectra of the single-crystal diamond prepared in this work. The high density of NV- centers, along with the absence of Ni-related defects and Si-V centers, makes the single-crystal diamond grown by DC arc plasma jet CVD a promising material for applications in quantum computing.

  4. Electron Cyclotron Resonance (ECR) Ion Source Development at the Holified Radioactive Ion Beam Facility

    Science.gov (United States)

    Bilheux, Hassina; Liu, Yuan; Alton, Gerald; Cole, John; Williams, Cecil; Reed, Charles

    2004-11-01

    Performance of ECR ion sources can be significantly enhanced by increasing the physical size of their ECR zones in relation to the size of their plasma volumes (spatial and frequency domain methods).^3-5 A 6 GHz, all-permanent magnet ECR ion source with a large resonant plasma volume has been tested at ORNL.^6 The magnetic circuit can be configured for creating both flat-β (volume) and conventional minimum-β (surface) resonance conditions. Direct comparisons of the performance of the two source types can be made under similar operating conditions. In this paper, we clearly demonstrate that the flat-β source outperforms its minimum-β counterpart in terms of charge state distribution and intensity within a particular charge state. ^1bilheuxhn@ornl.gov ^2Managed by UT-Battelle, LLC, for the U.S. Department of Energy under contract DE-AC05-00OR22725. ^3G.D. Alton, D.N. Smithe, Rev. Sci. Instrum. 65 (1994) 775. ^4G.D. Alton et al., Rev. Sci. Instrum. 69 (1998) 2305. ^5Z.Q. Xie, C.M. Lyneis, Rev. Sci. Instrum. 66 (1995) 4218. ^6Y. Liu et al., Rev. Sci. Instrum. 69 (1998) 1311.

  5. Neutron generator for BNCT based on high current ECR ion source with gyrotron plasma heating.

    Science.gov (United States)

    Skalyga, V; Izotov, I; Golubev, S; Razin, S; Sidorov, A; Maslennikova, A; Volovecky, A; Kalvas, T; Koivisto, H; Tarvainen, O

    2015-12-01

    BNCT development nowadays is constrained by a progress in neutron sources design. Creation of a cheap and compact intense neutron source would significantly simplify trial treatments avoiding use of expensive and complicated nuclear reactors and accelerators. D-D or D-T neutron generator is one of alternative types of such sources for. A so-called high current quasi-gasdynamic ECR ion source with plasma heating by millimeter wave gyrotron radiation is suggested to be used in a scheme of D-D neutron generator in the present work. Ion source of that type was developed in the Institute of Applied Physics of Russian Academy of Sciences (Nizhny Novgorod, Russia). It can produce deuteron ion beams with current density up to 700-800 mA/cm(2). Generation of the neutron flux with density at the level of 7-8·10(10) s(-1) cm(-2) at the target surface could be obtained in case of TiD2 target bombardment with deuteron beam accelerated to 100 keV. Estimations show that it is enough for formation of epithermal neutron flux with density higher than 10(9) s(-1) cm(-2) suitable for BNCT. Important advantage of described approach is absence of Tritium in the scheme. First experiments performed in pulsed regime with 300 mA, 45 kV deuteron beam directed to D2O target demonstrated 10(9) s(-1) neutron flux. This value corresponds to theoretical estimations and proofs prospects of neutron generator development based on high current quasi-gasdynamic ECR ion source. Copyright © 2015 Elsevier Ltd. All rights reserved.

  6. Effective hydrogenation and surface damage induced by MW-ECR plasma of fine-grained polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Madi, D. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria); Prathap, P.; Focsa, A.; Slaoui, A. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Birouk, B. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria)

    2010-06-15

    This work reports the investigations on the effects of the hydrogenation process of thin film polycrystalline n{sup +}pp{sup +} mesa silicon cells using MW-ECR plasma in a conventional PECVD system. Different operating parameters such as MW-ECR power, annealing temperature and the doping level of the emitter region were varied. The n{sup +}-type emitter regions were obtained by phosphorus diffusion in a conventional furnace using an oxide doping source containing phosphorus (P507 or P509 solutions, from Filmtronics Inc.). The MW hydrogenation was carried out at a sample temperature of 400 C for 60 min. Both types of emitters formed from P507 and P509 showed V{sub oc} of 155 mV and 206 mV, which increased linearly to 305 mV and 331 mV, respectively, after hydrogenation when the MW power varied from 200 to 650 W. However, the sheet resistances of the n{sup +} emitter region showed a slight increase depending upon hydrogenation power because of its etching. In a further study, hydrogenated samples were annealed in neutral or forming gas (FG) and we observed interesting results on V{sub oc} in the presence of FG. The FG annealing temperature study revealed a strong dependence of V{sub oc} on MW power, which affected the etching level of emitter and emitter dopant concentration, which controls the diffusion of hydrogen ions during post-hydrogenation step. The results were explained in detail by combining the effects of MW power and dopant level of the emitter. (orig.)

  7. Electron cyclotron resonance (ECR) ion sources

    International Nuclear Information System (INIS)

    Jongen, Y.

    1984-05-01

    Starting with the pioneering work of R. Geller and his group in Grenoble (France), at least 14 ECR sources have been built and tested during the last five years. Most of those sources have been extremely successful, providing intense, stable and reliable beams of highly charged ions for cyclotron injection or atomic physics research. However, some of the operational features of those sources disagreed with commonly accepted theories on ECR source operation. To explain the observed behavior of actual sources, it was found necessary to refine some of the crude ideas we had about ECR sources. Some of those new propositions are explained, and used to make some extrapolations on the possible future developments in ECR sources

  8. An optical emission spectroscopy study of the plasma generated in the DC HF CVD nucleation of diamond

    Energy Technology Data Exchange (ETDEWEB)

    Larijani, M.M. [Nuclear Research Centre for Agriculture and Medicine, AEOI, P.O. Box 31485-498, Karaj (Iran, Islamic Republic of)]. E-mail: mmojtahedzadeh@nrcam.org; Le Normand, F. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France); Cregut, O. [Groupe Surfaces-Interfaces, IPCMS, UMR 7504 CNRS, BP 20, 67037 Strasbourg Cedex 2 (France)

    2007-02-15

    Optical emission spectroscopy (OES) was used to study the plasma generated by the activation of the gas phase CH{sub 4} + H{sub 2} both by hot filaments and by a plasma discharge (DC HF CVD) during the nucleation of CVD diamond. The effects of nucleation parameters, such as methane concentration and extraction potential, on the plasma chemistry near the surface were investigated. The density of the diamond nucleation and the quality of the diamond films were studied by scanning electron microscopy (SEM) and Raman scattering, respectively. The OES results showed that the methane concentration influenced strongly the intensity ratio of H{sub {beta}}-H{sub {alpha}} implying an increase of electron mean energy, as well as CH, CH{sup +}, C{sub 2}. A correlation between the relative increase of CH{sup +} and the diamond nucleation density was found, conversely the increase of C{sub 2} contributed to the introduction of defects in the diamond nuclei.

  9. Testing methods of ECR ion source experimental platform

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2006-12-01

    The principle and structure of ECR ion source experimental platform were introduce. The testing methods of the parameters of single main component and the comprehensive parameters under the condition of certain beam current and beam spot diameter were summarized in process of manufacturing. Some appropriate testing dates were given. The existent questions (the parameters of plasma density in discharge chamber and accurate hydrogen flow, etc. can not be measured in operation) and resolutions were also put forward. (authors)

  10. A New ECR Ion Source for Nuclear Astrophysics Studies

    Science.gov (United States)

    Cesaratto, John M.

    2008-10-01

    The Laboratory for Experimental Nuclear Astrophysics (LENA) is a low energy facility designed to study nuclear reactions of astrophysical interest at energies which are important for nucleosysthesis. In general, these reactions have extremely small cross sections, requiring intense beams and efficient detection systems. Recently, a new, high intensity electron-cyclotron-resonance (ECR) ion source has been constructed (based on a design by Wills et al.[1]), which represents a substantial improvement in the capabilities of LENA. Beam is extracted from an ECR plasma excited at 2.45 GHz and confined by an array of permanent magnets. It has produced H^+ beams in excess of 1 mA on target over the energy range 100 - 200 keV, which greatly increases our ability to measure small cross sections. Initial measurements will focus on the ^23Na(p,γ)^24Mg reaction, which is of interest in a variety of astrophysical scenarios. The present uncertainty in the rate of this reaction is the result of an unobserved resonance expected at Elab =144 keV, which should be detectable using beams from the new ECR source. In collaboration with Arthur E. Champagne and Thomas B. Clegg, University of North Carolina, Chapel Hill and TUNL. [3pt] [1] J. S. C. Wills et al., Rev. Sci. Instrum. 69, 65 (1999).

  11. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki [National Inst. of Radiological Sciences, Chiba (Japan); Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu [Accelerator Engineering Corporation, Chiba (Japan)

    2001-11-19

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  12. Metallic vapor supplying by the electron bombardment for a metallic ion production with an ECR ion source

    International Nuclear Information System (INIS)

    Kitagawa, Atsushi; Sasaki, Makoto; Muramatsu, Masayuki; Jincho, Kaoru; Sasaki, Noriyuki; Sakuma, Tetsuya; Takasugi, Wataru; Yamamoto, Mitsugu

    2001-01-01

    To produce the metallic ion beam for the injection into the Heavy Ion Medical Accelerator in Chiba (HIMAC) at the National Institute of Radiological Sciences (NIRS), a new gas supply method has been developed for an 18 GHz ECR ion source (NIRS-HEC). A metallic target rod at a high positive potential is melted by the electron bombardment technique. The evaporated gas with a maximum flow rate of 50A/sec is supplied into the ECR plasma in case of Fe metal. (author)

  13. Design of the compact permanent-magnet ECR ion source

    International Nuclear Information System (INIS)

    Park, J. Y.; Ahn, J. K.; Lee, H. S.; Won, M. S.; Lee, B. S.; Bae, J. S.; Bang, J. K.

    2009-01-01

    The Electron Cyclotron Resonance Ion Sources (ECRIS) for multiply charged ion beams keep regularly improving and expanding since the pioneer time of R. Geller and his coworkers about twenty years age. It has been widely utilized in a variety of research areas ranging from atomic and nuclear physics to material sciences. Because of the unique capability of producing highly charged ion beams, the ECR ion source has become increasingly popular in heavy-ion accelerators where the principle of acceleration sensitively depends on the charge-to-mass ratio (q=M) of the injected positive ion beam. The potential usages of beam based research development is still developing and there are plenty of rooms to be part of it. On the basis of ECR ion source technology, we will explore possible applications in the field of plasma technology, radiation technology, plastic deformation, adding more and new functionality by implantation, MEMS applications, developing new generation mass analysis system, fast neutron radiography system, etc

  14. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  15. Status and special features of the Atomki ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); Racz, R. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem ter 18/c (Hungary); University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary); Palinkas, J. [University of Debrecen, H-4010 Debrecen, Egyetem ter 1 (Hungary)

    2012-02-15

    The ECR ion source has been operating in ATOMKI (Debrecen) since 1996. During the past 15 years lots of minor and numerous major technical modifications have been carried out on the ECRIS. Many of these changes aimed the increasing of beams charge, intensity, and the widening of the ion choice. Another group of the modifications were performed to develop special, non-standard operation modes or to produce peculiar plasmas and beams.

  16. New materials for advanced lithium battery. Especially on plasma-assisted CVD of TiS sub 2

    Energy Technology Data Exchange (ETDEWEB)

    Kikkawa, Shin' ichi [Osaka Univ. (Japan)

    1989-03-15

    Intercalation can be applied to cathode reaction of rechargeable battery. Three kinds of candidate materials, TiS{sub 2}, NbS{sub 3} and alkali transition metal dioxides such as LiCoO{sub 2} were studied as the battery cathode. TiS{sub 2} is closest to a practical usage. Lithium ion has to diffuse in the TiS{sub 2} crystal lattice during the intercalation. Large surface area is necessary to obtain high current density. Preferred orientation of the crystallite is also required in its film formation to further enhance its current density. CVD reactor was used to obtain TiS{sub 2} fine powder and its thin film. Black fine power was obtained on a thin film deposited on a glass substrate. The powder was obtained in high yield under a pressure of 120 Pa at the electrode center where the plasma density was high. In conclusion, TiS{sub 2} fine power with submicron diameter and also highly preferred oriented TiS{sub 2} thin film were obtained using plasma-CVD. 21 refs., 8 figs.

  17. Synergy between plasma-assisted ALD and roll-to-roll atmospheric pressure PE-CVD processing of moisture barrier films on polymers

    NARCIS (Netherlands)

    Starostin, S.A.; Keuning, W.; Schalken, J.R.G.; Creatore, M.; Kessels, W.M.M.; Bouwstra, J.B.; Sanden, van de M.C.M.; Vries, de H.W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  18. Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers

    NARCIS (Netherlands)

    Starostin, S. A.; Keuning, W.; Schalken, J.; Creatore, M.; Kessels, W. M. M.; Bouwstra, J. B.; van de Sanden, M. C. M.; de Vries, H. W.

    2016-01-01

    The synergy between fast (1600 nm · min−1), roll-to-roll plasma-enhanced chemical vapor deposited (PE-CVD) SiO2 layers and plasma-assisted atomic layer deposited (PA-ALD) ultra-thin Al2O3 films has been investigated in terms of moisture permeation barrier properties. The effective and intrinsic

  19. Investigation of particle reduction and its transport mechanism in UHF-ECR dielectric etching system

    International Nuclear Information System (INIS)

    Kobayashi, Hiroyuki; Yokogawa, Ken'etsu; Maeda, Kenji; Izawa, Masaru

    2008-01-01

    Control of particle transport was investigated by using a UHF-ECR etching apparatus with a laser particle monitor. The particles, which float at a plasma-sheath boundary, fall on a wafer when the plasma is turned off. These floating particles can be removed from the region above the wafer by changing the plasma distribution. We measured the distribution of the rotational temperature of nitrogen molecules across the wafer to investigate the effect of the thermophoretic force. We found that mechanisms of particle transport in directions parallel to the wafer surface can be explained by the balance between thermophoretic and gas viscous forces

  20. Electron cyclotron resonance plasmas and electron cyclotron resonance ion sources: Physics and technology (invited)

    International Nuclear Information System (INIS)

    Girard, A.; Hitz, D.; Melin, G.; Serebrennikov, K.

    2004-01-01

    Electron cyclotron resonance (ECR) ion sources are scientific instruments particularly useful for physics: they are extensively used in atomic, nuclear, and high energy physics, for the production of multicharged beams. Moreover, these sources are also of fundamental interest for plasma physics, because of the very particular properties of the ECR plasma. This article describes the state of the art on the physics of the ECR plasma related to multiply charged ion sources. In Sec. I, we describe the general aspects of ECR ion sources. Physics related to the electrons is presented in Sec. II: we discuss there the problems of heating and confinement. In Sec. III, the problem of ion production and confinement is presented. A numerical code is presented, and some particular and important effects, specific to ECR ion sources, are shown in Sec. IV. Eventually, in Sec. V, technological aspects of ECR are presented and different types of sources are shown

  1. Improvement of highly charged ion output from an ECR source

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1995-01-01

    The physical limitations of the highly charged ion production in the ECR source is analyzed in this report. General methods to increase the output ion current and the attainable charged states of heavy ions are discussed. Some new ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the mathematical simulation of heavy ion production in the ECR ion source is used for numerical experiments to test these ways for improving the operation of the ECR source. (orig.)

  2. Application of ECR ion source beams in atomic physics

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, F.W.

    1987-01-01

    The availability of intense, high charge state ion beams from ECR ion sources has had significant impact not only on the upgrading of cyclotron and synchrotron facilities, but also on multicharged ion collision research, as evidenced by the increasing number of ECR source facilities used at least on a part time basis for atomic physics research. In this paper one such facility, located at the ORNL ECR source, and dedicated full time to the study of multicharged ion collisions, is described. Examples of applications of ECR ion source beams are given, based on multicharged ion collision physics studies performed at Oak Ridge over the last few years. 21 refs., 18 figs., 2 tabs.

  3. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Wintec

    it is possible to control the flux and energy of ions im- pinging on the ... wave ECR plasma CVD facility set up in our laboratory. Figure 1 ... Microwaves are launched in the wave-guide ... mode converter TE10 to TM01 and a sliding short circuit.

  4. Introduction to ECR [electron cyclotron resonance] sources in electrostatic machines

    International Nuclear Information System (INIS)

    Olsen, D.K.

    1989-01-01

    Electron Cyclotron Resonance (ECR) ion source technology has developed rapidly since the original pioneering work of R. Geller and his group at Grenoble in the early 1970s. These ion sources are capable of producing intense beams of highly charged positive ions and are used extensively for cyclotron injection, linac injection, and atomic physics research. In this paper, the possible use of ECR heavy-ion sources in the terminals of electrostatic machines is discussed. The basic concepts of ECR sources are reviewed in the next section using the ORNL source as a model. The possible advantages of ECR sources over conventional negative ion injection and foil stripping are discussed in Section III. The last section describes the possible installation of an ECR source in a large machine such as the HHIRF 25-MV Pelletron. 6 refs., 4 figs., 1 tab

  5. Surface modification on 304 SS by plasma-immersed ion implantation to improve the adherence of a CVD diamond film

    Energy Technology Data Exchange (ETDEWEB)

    Nono, M.C.A.; Corat, E.J. (Instituto Nacional de Pesquisas Espaciais, Sao Jose dos Campos, SP (Brazil)); Ueda, M.; Stellati, C.; Barroso, J.J.; Conrad, J.R.; Shamim, M.; Fetherston, P.; Sridharan, K.

    1999-02-01

    The weak adherence of chemical vapor deposited (CVD) diamond films on steel substrates is an important factor that limits the technological applications of these materials. We are interested in enhancing the film-to-substrate adherence by using substrate surfaces with a previous modification by plasma-immersed ion implantation (PIII). In this work we present and discuss the preliminary results on phase formation, microstructure and adherence evaluations. CVD diamond films were deposited on 304 SS, the surface of which was modified by implanted carbon ions. The samples were first submitted to implantation with 30 keV carbon ions at different doses. Later, these surfaces were examined by Auger spectroscopy (SAM), scanning electron microscopy (SEM) and X-ray diffraction. We observed a metastable carbide phase formed from carbon and iron, which is considered to be a good polycrystalline material for the nucleation of CVD diamond crystals. The CVD diamond nucleation and film growth were observed by SEM and Raman spectroscopy. These results are discussed with the emphasis on the carbon diffusion barrier on the substrate surfaces. The preliminary results of diamond growth were encouraging. (orig.) 7 refs.

  6. Manufacture of an experimental platform with ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Hu Yonghong; Li Yan

    2007-12-01

    The working principle and basal configuration and fabricative process of ECR ion source are introdced. Regarding as an experimental and test device, the experimental platform of ECR ion source may expediently regulate every parameter of ion source, and achieve good character of beam current. Through improving on the components, ECR ion source can is modulated in best state. Above results may be used in the running and debugging of neutron generator. Therefore, the experimental platform of ECR ion source is the necessary equipment of large beam current neutron generator. Comparing the experimental platform of ECR ion source with domestic ones and the overseas ones, it mainly be used in the simulation experiments about neutron generator. It is compact and experimental platform mode in structure. It can focus the beam current and measure many parameters on line in function. The problem of lower beam current to discover is resolved in debugging of the device. The measurement results indicate that the technology character of the device have achieved design requirements. (authors)

  7. Characterization and modelling of microwave multi dipole plasmas. Application to multi dipolar plasma assisted sputtering; Caracterization et modelisation des plasmas micro-onde multi-dipolaires. Application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, Tan Vinh [Universite Joseph Fourier/CNRS-IN2P3, 53 Avenue des Martyrs, F-38026 Grenoble (France)

    2006-07-01

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mTorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mTorr argon pressures. The modelling of electron trajectories near

  8. FABRICATION OF CNTS BY TOLUENE DECOMPOSITION IN A NEW REACTOR BASED ON AN ATMOSPHERIC PRESSURE PLASMA JET COUPLED TO A CVD SYSTEM

    Directory of Open Access Journals (Sweden)

    FELIPE RAMÍREZ-HERNÁNDEZ

    2017-03-01

    Full Text Available Here, we present a method to produce carbon nanotubes (CNTs based on the coupling between two conventional techniques used for the preparation of nanostructures: an arc-jet as a source of plasma and a chemical vapour deposition (CVD system. We call this system as an “atmospheric pressure plasma (APP-enhanced CVD” (APPE-CVD. This reactor was used to grow CNTs on non-flat aluminosilicate substrates by the decomposition of toluene (carbon source in the presence of ferrocene (as a catalyst. Both, CNTs and by-products of carbon were collected at three different temperatures (780, 820 and 860 °C in different regions of the APPE-CVD system. These samples were analysed by thermogravimetric analysis (TGA and DTG, scanning electron microscopy (SEM and Raman spectroscopy in order to determine the effect of APP on the thermal stability of the as-grown CNTs. It was found that the amount of metal catalyst in the synthesised CNTs is reduced by applying APP, being 820 °C the optimal temperature to produce CNTs with a high yield and carbon purity (95 wt. %. In contrast, when the synthesis temperature was fixed at 780 °C or 860 °C, amorphous carbon or CNTs with different structural defects, respectively, was formed through APEE-CVD reactor. We recommended the use of non-flat aluminosilicate particles as supports to increase CNT yield and facilitate the removal of deposits from the substrate surface. The approach that we implemented (to synthesise CNTs by using the APPE-CVD reactor may be useful to produce these nanostructures on a gram-scale for use in basic studies. The approach may also be scaled up for mass production.

  9. Design and field configuration for a 14.4 GHz ECR ion source in Kolkata

    International Nuclear Information System (INIS)

    Rashid, M.H.; Bose, D.K.; Mallik, C.; Bhandari, R.K.

    2001-01-01

    The K500 cyclotron under construction will be capable of accelerating ions like O 6+ , Ne 4+ , Ar 16+ , Kr 27+ etc. We aim to get ∼200 euA maximum intensity of the extracted beam of O 6+ from the ion source and decided to have >2B ECR magnetic field on the cylindrical surface and the injection ends of the plasma chamber (P Ch) and slightly less than this at the extraction end. The success of the high field operation of ECRs at other places (U-AECR at LBL) suggests generation of proper magnetic field configuration for the 14.4 GHz microwave heating. The absolute composite magnetic field have been evaluated due to the coils (C1,C2) at the two ends and a -ve coil (NC) at the mid-length and a Halbach type sextupole (PM-Hex)

  10. Forming method of a functional layer-built film by micro-wave plasma CVD

    Energy Technology Data Exchange (ETDEWEB)

    Saito, Keishi

    1988-11-18

    In forming an amorphous semi-conductor material film, the micro-wave plasma CVD cannot be generally used because of such demerits as film-separation, low yield, columnar structure in the film, and problems in the optical and electrical properties. In this invention, a specific substrate is placed in a layer-built film forming unit which is capable of maintaining vacuum; raw material gas for the film formation is introduced; plasma is generated by a micro-wave energy to decompose the raw material gas, thus forming the layer-built film on the substarte. Then a film is made by adding a specific amount of calcoganide-containing gas to the raw material gas. By this, the utilization efficiency of the raw material gas gets roughly 100% and both the adhesion to the substrate and the structural flexibility of the layer-built film increase, enhancing the yield of forming various functional elements (sensor, solar cell, thin transistor film, etc.), and thus greatly reducing the production cost. 6 figs., 7 tabs.

  11. Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available In this article, and in a companion paper by Hamrin et al. (2009 [Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in Earth's plasma sheet. From more than 80 Cluster plasma sheet crossings (660 h data at the altitude of about 15–20 RE in the summer and fall of 2001, we have identified 116 Concentrated Load Regions (CLRs and 35 Concentrated Generator Regions (CGRs. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have estimated typical values of the scale size and life time of the CLRs and the CGRs. We find that a majority of the observed ECRs are rather stationary in space, but varying in time. Assuming that the ECRs are cylindrically shaped and equal in size, we conclude that the typical scale size of the ECRs is 2 RE≲ΔSECR≲5 RE. The ECRs hence occupy a significant portion of the mid altitude plasma sheet. Moreover, the CLRs appear to be somewhat larger than the CGRs. The life time of the ECRs are of the order of 1–10 min, consistent with the large scale magnetotail MHD simulations of Birn and Hesse (2005. The life time of the CGRs is somewhat shorter than for the CLRs. On time scales of 1–10 min, we believe that ECRs rise and vanish in significant regions of the plasma sheet, possibly oscillating between load and generator character. It is probable that at least some of the observed ECRs oscillate energy back and forth in the plasma sheet instead of channeling it to the ionosphere.

  12. Characteristics of MINI ECR ion source

    Energy Technology Data Exchange (ETDEWEB)

    Saitoh, Yuichi; Yokota, Watalu [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    1997-03-01

    A very compact electron cyclotron resonance ion source (MINI ECR) was manufactured to extend available energy ranges of ion beams by applying multiply charged ions to electrostatic accelerators. The magnetic field to confine a plasma is formed only by small permanent magnets and the microwave power up to 15 W is generated by a compact transistor amplifier in order to install the ion source at a narrow high-voltage terminal where the electrical power feed is restricted. The magnet assembly is 12 cm in length and 11 cm in diameter, and forms a mirror field with the maximum strength of 0.55 T. The total power consumption of the source is below 160 W. The performance of the source was tested in a bench stand. The results of Ar, Xe, O, and N ion generation are reported in this paper. (author)

  13. Experiments on a 14.5 GHz ECR source

    International Nuclear Information System (INIS)

    Hill, C.E.; Langbein, K.

    1996-01-01

    The 14.5 GHz ECR4 source supplied to CERN in the framework of the Heavy Ion Facility collaboration provided Pb 27+ operational beams to a new custom built linac in 1994. This source, which operates in the pulsed 'afterglow' mode, quickly met its design specification of 80 eμA and now provides currents >100 eμA regularly. Early source tests showed the existence of extremely stable modes of operation. In the search for higher intensities a number of experiments have been performed on plasma gas composition, RF power matching, extraction, beam pulse compression and a biased dynode. The results of these tests will be presented along with further ideas to improve source performance. (author)

  14. Microwave Coupling to ECR and Alternative Heating Methods

    CERN Document Server

    Celona, L.

    2013-12-16

    The Electron Cyclotron Resonance Ion Source (ECRIS) is nowadays the most effective device that can feed particle accelerators in a continuous and reliable way, providing high-current beams of low- and medium-charge-state ions and relatively intense currents for highly charged ions. The ECRIS is an important tool for research with ion beams (in surface, atomic, and nuclear science) while, on the other hand, it implies plasma under extreme conditions and thus constitutes an object of scientific interest in itself. The fundamental aspect of the coupling between the electromagnetic wave and the plasma is hereinafter treated together with some variations to the classical ECR heating mechanism, with particular attention being paid to the frequency tuning effect and two-frequency heating. Considerations of electron and ion dynamics will be presented together with some recent observations connecting the beam shape with the frequency of the electromagnetic wave feeding the cavity. The future challenges of higher-charg...

  15. Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available In this article, and in a companion paper by Hamrin et al. (2009 [Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in Earth's plasma sheet. From more than 80 Cluster plasma sheet crossings (660 h data at the altitude of about 15–20 RE in the summer and fall of 2001, we have identified 116 Concentrated Load Regions (CLRs and 35 Concentrated Generator Regions (CGRs. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have estimated typical values of the scale size and life time of the CLRs and the CGRs. We find that a majority of the observed ECRs are rather stationary in space, but varying in time. Assuming that the ECRs are cylindrically shaped and equal in size, we conclude that the typical scale size of the ECRs is 2 RE≲ΔSECR≲5 RE. The ECRs hence occupy a significant portion of the mid altitude plasma sheet. Moreover, the CLRs appear to be somewhat larger than the CGRs. The life time of the ECRs are of the order of 1–10 min, consistent with the large scale magnetotail MHD simulations of Birn and Hesse (2005. The life time of the CGRs is somewhat shorter than for the CLRs. On time scales of 1–10 min, we believe that ECRs rise and vanish in significant regions of the plasma sheet, possibly oscillating between load and generator character. It is probable that at least some of the observed ECRs oscillate energy back and forth in the plasma sheet instead of channeling it to the ionosphere.

  16. Characterization and modeling of multi-dipolar microwave plasmas: application to multi-dipolar plasma assisted sputtering; Caracterisation et modelisation des plasmas micro-onde multi-dipolaires: application a la pulverisation assistee par plasma multi-dipolaire

    Energy Technology Data Exchange (ETDEWEB)

    Tran, T.V

    2006-12-15

    The scaling up of plasma processes in the low pressure range remains a question to be solved for their rise at the industrial level. One solution is the uniform distribution of elementary plasma sources where the plasma is produced via electron cyclotron resonance (ECR) coupling. These elementary plasma sources are made up of a cylindrical permanent magnet (magnetic dipole) set at the end of a coaxial microwave line. Although of simple concept, the optimisation of these dipolar plasma sources is in fact a complex problem. It requires the knowledge, on one hand, of the configurations of static magnetic fields and microwave electric fields, and, on the other hand, of the mechanisms of plasma production in the region of high intensity magnetic field (ECR condition), and of plasma diffusion. Therefore, the experimental characterisation of the operating ranges and plasma parameters has been performed by Langmuir probes and optical emission spectroscopy on different configurations of dipolar sources. At the same time, in a first analytical approach, calculations have been made on simple magnetic field configurations, motion and trajectory of electrons in these magnetic fields, and the acceleration of electrons by ECR coupling. Then, the results have been used for the validation of the numerical modelling of the electron trajectories by using a hybrid PIC (particle-in-cell) / MC (Monte Carlo) method. The experimental study has evidenced large operating domains, between 15 and 200 W of microwave power, and from 0.5 to 15 mtorr argon pressure. The analysis of plasma parameters has shown that the region of ECR coupling is localised near the equatorial plane of the magnet and dependent on magnet geometry. These characterizations, applied to a cylindrical reactor using 48 sources, have shown that densities between 10{sup 11} and 10{sup 12} cm{sup -3} could be achieved in the central part of the volume at a few mtorr argon pressures. The modelling of electron trajectories near

  17. Characterization of electron cyclotron resonance hydrogen plasmas

    International Nuclear Information System (INIS)

    Outten, C.A.

    1990-01-01

    Electron cyclotron resonance (ECR) plasmas yield low energy and high ion density plasmas. The characteristics downstream of an ECR hydrogen plasma were investigated as a function of microwave power and magnetic field. A fast-injection Langmuir probe and a carbon resistance probe were used to determine plasma potential (V p ), electron density (N e ), electron temperature (T e ), ion energy (T i ), and ion fluence. Langmuir probe results showed that at 17 cm downstream from the ECR chamber the plasma characteristics are approximately constant across the center 7 cm of the plasma for 50 Watts of absorbed power. These results gave V p = 30 ± 5 eV, N e = 1 x 10 8 cm -3 , and T e = 10--13 eV. In good agreement with the Langmuir probe results, carbon resistance probes have shown that T i ≤ 50 eV. Also, based on hydrogen chemical sputtering of carbon, the hydrogen (ion and energetic neutrals) fluence rate was determined to be 1 x 10 16 /cm 2 -sec. at a pressure of 1 x 10 -4 Torr and for 50 Watts of absorbed power. 19 refs

  18. Lipids, atherosclerosis and CVD risk: is CRP an innocent bystander?

    DEFF Research Database (Denmark)

    Nordestgaard, B G; Zacho, J

    2009-01-01

    AIM: To evaluate recent human studies with respect to the interpretation of whether elevated plasma levels of C-reactive protein (CRP) cause cardiovascular disease (CVD), or whether elevated CRP levels more likely is an innocent bystander. DATA SYNTHESIS: Elevated CRP concentrations...... and vulnerability of atherosclerotic plaques, and thus simply an innocent bystander in CVD....

  19. Design study of electron cyclotron resonance-ion plasma accelerator for heavy ion cancer therapy

    International Nuclear Information System (INIS)

    Inoue, T.; Sugimoto, S.; Sasai, K.; Hattori, T.

    2014-01-01

    Electron Cyclotron Resonance-Ion Plasma Accelerator (ECR-IPAC) device, which theoretically can accelerate multiple charged ions to several hundred MeV with short acceleration length, has been proposed. The acceleration mechanism is based on the combination of two physical principles, plasma electron ion adiabatic ejection (PLEIADE) and Gyromagnetic Autoresonance (GYRAC). In this study, we have designed the proof of principle machine ECR-IPAC device and simulated the electromagnetic field distribution generating in the resonance cavity. ECR-IPAC device consisted of three parts, ECR ion source section, GYRAC section, and PLEIADE section. ECR ion source section and PLEIADE section were designed using several multi-turn solenoid coils and sextupole magnets, and GYRAC section was designed using 10 turns coil. The structure of ECR-IPAC device was the cylindrical shape, and the total length was 1024 mm and the maximum diameter was 580 mm. The magnetic field distribution, which maintains the stable acceleration of plasma, was generated on the acceleration center axis throughout three sections. In addition, the electric field for efficient acceleration of electrons was generated in the resonance cavity by supplying microwave of 2.45 GHz

  20. Correlation of CVD Diamond Electron Emission with Film Properties

    Science.gov (United States)

    Bozeman, S. P.; Baumann, P. K.; Ward, B. L.; Nemanich, R. J.; Dreifus, D. L.

    1996-03-01

    Electron field emission from metals is affected by surface morphology and the properties of any dielectric coating. Recent results have demonstrated low field electron emission from p-type diamond, and photoemission measurements have identified surface treatments that result in a negative electron affinity (NEA). In this study, the field emission from diamond is correlated with surface treatment, surface roughness, and film properties (doping and defects). Electron emission measurements are reported on diamond films synthesized by plasma CVD. Ultraviolet photoemission spectroscopy indicates that the CVD films exhibit a NEA after exposure to hydrogen plasma. Field emission current-voltage measurements indicate "threshold voltages" ranging from approximately 20 to 100 V/micron.

  1. High excitation of the species in nitrogen–aluminum plasma generated by electron cyclotron resonance microwave discharge of N2 gas and pulsed laser ablation of Al target

    International Nuclear Information System (INIS)

    Liang, Peipei; Li, Yanli; Cai, Hua; You, Qinghu; Yang, Xu; Huang, Feiling; Sun, Jian; Xu, Ning; Wu, Jiada

    2014-01-01

    A reactive nitrogen–aluminum plasma generated by electron cyclotron resonance (ECR) microwave discharge of N 2 gas and pulsed laser ablation of an Al target is characterized spectroscopically by time-integrated and time-resolved optical emission spectroscopy (OES). The vibrational and rotational temperatures of N 2 species are determined by spectral simulation. The generated plasma strongly emits radiation from a variety of excited species including ambient nitrogen and ablated aluminum and exhibits unique features in optical emission and temperature evolution compared with the plasmas generated by a pure ECR discharge or by the expansion of the ablation plume. The working N 2 gas is first excited by ECR discharge and the excitation of nitrogen is further enhanced due to the fast expansion of the aluminum plume induced by target ablation, while the excitation of the ablated aluminum is prolonged during the plume expansion in the ECR nitrogen plasma, resulting in the formation of strongly reactive nitrogen–aluminum plasma which contains highly excited species with high vibrational and rotational temperatures. The enhanced intensities and the prolonged duration of the optical emissions of the combined plasma would provide an improved analytical capability for spectrochemical analysis. - Highlights: • ECR discharge and pulsed laser ablation generate highly excited ECR–PLA plasma. • The expansion of PLA plasma results in excitation enhancement of ECR plasma species. • The ECR plasma leads to excitation prolongation of PLA plasma species. • The ECR–PLA plasma emits strong emissions from a variety of excited species. • The ECR–PLA plasma maintains high vibrational–rotational temperatures for a long time

  2. Plasma Diagnostics in High Density Reactors

    International Nuclear Information System (INIS)

    Daltrini, A. M.; Moshkalyov, S.; Monteiro, M. J. R.; Machida, M.; Kostryukov, A.; Besseler, E.; Biasotto, C.; Diniz, J. A.

    2006-01-01

    Langmuir electric probes and optical emission spectroscopy diagnostics were developed for applications in high density plasmas. These diagnostics were employed in two plasma sources: an electron cyclotron resonance (ECR) plasma and an RF driven inductively coupled plasma (ICP) plasma. Langmuir probes were tested using a number of probing dimensions, probe tip materials, circuits for probe bias and filters. Then, the results were compared with the optical spectroscopy measurements. With these diagnostics, analyses of various plasma processes were performed in both reactors. For example, it has been shown that species like NH radicals generated in gas phase can have critical impact on films deposited by ECR plasmas. In the ICP source, plasmas in atomic and molecular gases were shown to have different spatial distributions, likely due to nonlocal electron heating. The low-to-high density transitions in the ICP plasma were also studied. The role of metastables is shown to be significant in Ar plasmas, in contrast to plasmas with additions of molecular gases

  3. Present status of FLNR (JINR) ECR ion sources

    International Nuclear Information System (INIS)

    Bogomolov, S.; Efremov, A.; Loginov, V.; Lebedev, A.; Yazvitsy, N.; Bekhterev, V.; Kostukhov, Y.; Gulbekian, G.; Gikal, B.; Drobin, V.; Seleznev, V.; Seleznev, V.

    2012-01-01

    Six ECR ion sources have been operated in the Flerov Laboratory of Nuclear Reactions (JINR). Two 14 GHz ECR ion sources (ECR4M and DECRIS-2) supply various ion species for the U400 and U400M cyclotrons correspondingly for experiments on the synthesis of heavy and exotic nuclei using ion beams of stable and radioactive isotopes. The 18 GHz DECRIS-SC ion source with superconducting magnet system produces ions from Ar up to W for solid state physics experiments and polymer membrane fabrication at the IC-100 cyclotron. The third 14 GHz ion source DECRIS-4 with 'flat' minimum of the axial magnetic field is used as a stand alone machine for test experiments and also for experiments on ion modification of materials. The other two compact ECR ion sources with all permanent magnet configuration have been developed for the production of single charged ions and are used at the DRIBs installation and at the MASHA mass-spectrometer. In this paper, present status of the ion sources, recent developments and plans for modernization are reported. The paper is followed by the slides of the presentation. (authors)

  4. Results of measurements of the ion temperature profile of ECR heated plasmas in the L-2M stellarator

    International Nuclear Information System (INIS)

    Voronov, G.S.; Voronova, E.V.; Grebenshchikov, S.E.

    2005-01-01

    After boronization of the vacuum chamber of the L-2M stellarator, the confinement characteristics and the electron temperature profile changed markedly. In this connection, our immediate task was to carry out studies of the behavior of the ion temperature under these conditions. Previous measurements of Ti were performed by analyzing the energy distribution of fast hydrogen ions produced by charge exchange. In recent studies, the ion temperature was determined from Doppler broadening of spectral lines of impurity ions. With the help of a set of mirrors, the plasma radiation was focused on the entrance slit of a VMS-1 monochromator (D/F=1:6.5, F=600 mm, 1200 lines/mm,1.3 nm/mm, 200 - 800 nm). The detector was a CCD plate (1040 1 140 pixels of size 16 1 6 ∝ m) covered in part with an opaque screen. The plasma spectrum produced in the uncovered area was rapidly scanned and copied into the covered region. With this partial exposition method, the rate of recording was successfully increased up to 1000 frames per second. The instrument function of the whole system was 0.04 nm, which corresponds to Ti ∼1 eV for hydrogen and ∼17 eV for boron ions. The plasma ion temperature is considerably higher, so the accuracy of measurements of Ti is limited primarily by a low intensity of signals from the plasma with a low impurity concentration. The results of measurements of the evolution of HeII, BII, and BIV ions temperature during the ECR heating of a helium plasma are shown in the figure. The plasma density in these experiments was ∼2.10 19 m -3 , and the gyrotron pulse power was ∼200 kW. The results of measurements of Ti were compared with the time evolution of the ion temperature calculated by using the TRANSZ code. The latter includes a complete set of neoclassical equations and involves additional anomalous fluxes corresponding to accepted empirical scalings. The calculated values of Ti are in fair agreement with the measured ones

  5. Growth and characterization of nanodiamond layers prepared using the plasma-enhanced linear antennas microwave CVD system

    Energy Technology Data Exchange (ETDEWEB)

    Fendrych, Frantisek; Taylor, Andrew; Peksa, Ladislav; Kratochvilova, Irena; Kluiber, Zdenek; Fekete, Ladislav [Institute of Physics, Academy of Sciences of the Czech Republic, v.v.i, Na Slovance 2, CZ-18221 Prague 8 (Czech Republic); Vlcek, Jan [Department of Physics and Measurement, Institute of Chemical Technology Prague, Technicka 5, CZ-16628 Prague 6 (Czech Republic); Rezacova, Vladimira; Petrak, Vaclav [Faculty of Biomedical Engineering, Czech Technical University, Sitna 3105, CZ-27201 Kladno 2 (Czech Republic); Liehr, Michael [Leybold Optics Dresden GmbH, Zur Wetterwarte 50, D-01109 Dresden (Germany); Nesladek, Milos, E-mail: fendrych@fzu.c [IMOMEC division, IMEC, Institute for Materials Research, University Hasselt, Wetenschapspark 1, B-3590 Diepenbeek (Belgium)

    2010-09-22

    Industrial applications of plasma-enhanced chemical vapour deposition (CVD) diamond grown on large area substrates, 3D shapes, at low substrate temperatures and on standard engineering substrate materials require novel plasma concepts. Based on the pioneering work of the group at AIST in Japan, the high-density coaxial delivery type of plasmas has been explored (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). However, an important challenge is to obtain commercially interesting growth rates at very low substrate temperatures. In this work we introduce the concept of novel linear antenna sources, designed at Leybold Optics Dresden, using high-frequency pulsed MW discharge with a high plasma density. This type of pulse discharges leads to the preparation of nanocrystalline diamond (NCD) thin films, compared with ultra-NCD thin films prepared in (Tsugawa et al 2006 New Diamond Front. Carbon Technol. 16 337-46). We present optical emission spectroscopy data for the CH{sub 4}-CO{sub 2}-H{sub 2} gas chemistry and we discuss the basic properties of the NCD films grown.

  6. Structural Transformation upon Nitrogen Doping of Ultrananocrystalline Diamond Films by Microwave Plasma CVD

    Directory of Open Access Journals (Sweden)

    Chien-Chung Teng

    2009-01-01

    Full Text Available The molecular properties and surface morphology of undoped and N-doped ultra-nanocrystalline diamond (UNCD films deposited by microwave plasma CVD with addition of nitrogen are investigated with various spectroscopic techniques. The results of spatially resolved Raman scattering, ATR/FT-IR and XPS spectra show more amorphous and sp2/sp3 ratio characteristics in N-doped UNCD films. The surface morphology in AFM scans shows larger nanocrystalline diamond clusters in N-doped UNCD films. Incorporation of nitrogen into UNCD films has promoted an increase of amorphous sp2-bonded carbons in the grain boundaries and the size of nanocrystalline diamond grains that are well correlated to the reported enhancement of conductivity and structural changes of UNCD films.

  7. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  8. Efficient Consumer Response (ECR: a survey of the Australian grocery industry

    Directory of Open Access Journals (Sweden)

    Paula Swatman

    1998-05-01

    Full Text Available Efficient consumer response (ECR is a U.S. supply chain management strategy which attempts to address the inefficiencies which have led to excessive inventory and unnecessary costs at all levels within the grocery industry supply chain. This paper discusses the traditional grocery store format, the supermarket, and the ways in which inefficient business practices developed in the U.S. grocery supply chain; and discusses the major business activities needed for successful implementation of ECR. The paper then presents a brief summary of the results of a survey of ECR knowledge and usage within the Australian grocery industry, which is the initial phase of a long term research project whose main purpose is to evaluate ECR as it applies to that industry.

  9. Improvement of highly charged ion production in the ECR source of heavy ions

    International Nuclear Information System (INIS)

    Shirkov, G.D.

    1996-01-01

    Some physical limitations of the highly charged ion production in the ECR source are analyzed in this report. A few possible ways to improve the output of highly charged ions from the ECR source for heavy ions are proposed. A new library of computer codes for the numerical simulation of heavy ion production in the ECR ion source is used to examine these ways to improve the ECR source operation according to the CERN program of heavy ion acceleration. copyright 1996 American Institute of Physics

  10. Status of ECR ion sources at JAERI

    CERN Document Server

    Yokota, W; Nara, T; Ishi, Y; Arakawa, K; Ohkoshi, K

    1999-01-01

    At the Takasaki site of Japan Atomic Energy Research Institute, four ECR ion sources were purchased or developed so far. This paper will report their performance, modification and status. The outlines for each source are as follows; 1. OCTOPUS purchased from IBA s.a. has been in use with a cyclotron since 1990. The gas feed system was modified to change gas species within 10 minutes to avoid impurity ions in the cocktail beam acceleration technique of the cyclotron. 2. ECR-18 with 18-GHz microwave has a solenoid coil between a pair of mirror coils to change mirror ratio in a wide range. A bump between mirror peaks in the original axial field distribution was removed by halving the solenoid length. The performance in generating high charge state ions was significantly improved as a result. 3. HYPERNANOGAN was purchased from PANTECHNIK s.a. and installed in the cyclotron system this year. Test operation was successfully made with generation of Ar, Pb and Ta ions. 4. MINI ECR is a full permanent magnet source wi...

  11. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  12. Design of a 'two-ion-source' charge breeder with a dual frequency ECR ion source

    International Nuclear Information System (INIS)

    Naik, D.; Naik, V.; Chakrabarti, A.; Dechoudhury, S.; Nayak, S.K.; Pandey, H.K.; Nakagawa, T.

    2005-01-01

    A charge breeder, 'two-ion-source' has been designed which consists of a surface ionisation source followed by an ECR ion source working in two-frequency mode. In this system low charge state ion beam (1+)of radioactive atoms are obtained from the first ion source close to the target chamber and landed into the ECR where those are captured and become high charged state after undergoing a multi ionisation process. This beam dynamics design has been done to optimise the maximum possible transfer of 1 + beam from the first ion source into the ECR, its full capture within the ECR zone and design of an efficient dual frequency ECR. The results shows that 1 + beam of 100 nA and 1μA (A=100) are successfully transmitted and it's beam size at the centre of ECR zone are 12 mm and 21 mm respectively, which are very less than 65 mm width ECR zone of dual frequency ECR heating at 14 GHz and 10 GHz. (author)

  13. Development of CVD Diamond for Industrial Applications Final Report CRADA No. TC-2047-02

    Energy Technology Data Exchange (ETDEWEB)

    Caplan, M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Olstad, R. [General Atomics, San Diego, CA (United States); Jory, H. [Communications and Power Industries, Palo Alto, CA (United States); Vikharov, A. L. [Russian Academy of Sciences (RAS), Moscow (Russian Federation)

    2017-09-08

    This project was a collaborative effort to develop and demonstrate a new millimeter microwave assisted chemical vapor deposition(CVD) process for manufacturing large diamond disks with greatly reduced processing times and costs from those now available. In the CVD process, carbon based gases (methane) and hydrogen are dissociated into plasma using microwave discharge and then deposited layer by layer as polycrystalline diamond onto a substrate. The available low frequency (2.45GHz) microwave sources used elsewhere (De Beers) result in low density plasmas and low deposition rates: 4 inch diamond disks take 6-8 weeks to process. The new system developed in this project uses a high frequency 30GHz Gyrotron as the microwave source and a quasi-optical CVD chamber resulting in a much higher density plasma which greatly reduced the diamond processing times (1-2 weeks)

  14. On-line measurement of microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang; Li Xiaoyun

    2005-01-01

    It is a new technology to apply an ECR ion source to the neutron generator. Because of the structure limitation, working state of the ECR ion source could not be judged by the color of gas discharging in discharge chamber. Therefore, it was hard to estimate if the ECR ion source was working properly in the neutron generator. The method to resolve the problem was described in this paper. The microwave power was measured on-line by a directional coupler and a small microwave power meter. The ion beam current could be educed from the measured incidence microwave power, and discharge state in discharge chamber could be determined. (authors)

  15. P-type sp3-bonded BN/n-type Si heterodiode solar cell fabricated by laser-plasma synchronous CVD method

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Nagata, Takahiro; Chikyo, Toyohiro; Sato, Yuhei; Watanabe, Takayuki; Hirano, Daisuke; Takizawa, Takeo; Nakamura, Katsumitsu; Hashimoto, Takuya; Nakamura, Takuya; Koga, Kazunori; Shiratani, Masaharu; Yamamoto, Atsushi

    2009-01-01

    A heterojunction of p-type sp 3 -bonded boron nitride (BN) and n-type Si fabricated by laser-plasma synchronous chemical vapour deposition (CVD) showed excellent rectifying properties and proved to work as a solar cell with photovoltaic conversion efficiency of 1.76%. The BN film was deposited on an n-type Si (1 0 0) substrate by plasma CVD from B 2 H 6 + NH 3 + Ar while doping of Si into the BN film was induced by the simultaneous irradiation of an intense excimer laser with a pulse power of 490 mJ cm -2 , at a wavelength of 193 nm and at a repetition rate of 20 Hz. The source of dopant Si was supposed to be the Si substrate ablated at the initial stage of the film growth. The laser enhanced the doping (and/or diffusion) of Si into BN as well as the growth of sp 3 -bonded BN simultaneously in this method. P-type conduction of BN films was determined by the hot (thermoelectric) probe method. The BN/Si heterodiode with an essentially transparent p-type BN as a front layer is supposed to efficiently absorb light reaching the active region so as to potentially result in high efficiency.

  16. Linear antenna microwave plasma CVD diamond deposition at the edge of no-growth region of C-H-O ternary diagram

    Czech Academy of Sciences Publication Activity Database

    Potocký, Štěpán; Babchenko, Oleg; Hruška, Karel; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2612-2615 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GBP108/12/G108; GA ČR GAP205/12/0908 Institutional research plan: CEZ:AV0Z10100521 Keywords : C-H-O phase diagram * nanocrystalline diamond * plasma enhanced CVD * Raman spectroscopy * SEM Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  17. Development of superconducting magnets for RAON 28 GHz ECR ion source.

    Science.gov (United States)

    Heo, Jeongil; Choi, Sukjin; Kim, Yonghwan; Hong, In-Seok

    2016-02-01

    RAON, a 28 GHz electron cyclotron resonance ion source (ECR IS), was designed and tested as a Rare Isotope Science Project. It is expected that RAON would provide not only rare-isotope beams but also stable heavy ions ranging from protons to uranium. In order to obtain the steady heavy-ion beam required for ECR IS, we must use a 28 GHz microwave source as well as a high magnetic field. A superconducting magnet using a NbTi wire was designed and manufactured for producing the ECR IS and a test was conducted. In this paper, the design and fabrication of the superconducting magnet for the ECR IS are presented. Experimental results show that the quench current increases whenever quenching occurs, but it has not yet reached the designed current. The experiment is expected to reveal the ideal conditions required to reach the designed current.

  18. [Developing the Japanese version of the Adult Attachment Style Scale (ECR)].

    Science.gov (United States)

    Nakao, Tatsuma; Kato, Kazuo

    2004-06-01

    This study attempted to adapt into Japanese the Adult Attachment Style Scale (ECR: Experiences in Close Relationships inventory) that was constructed by Brennan, Clark, and Shaver (1998), based on 14 existing scales. Of 387 respondents, 231 who reported having been or are currently involved in romantic relationships were employed for final analysis. We examined validities of the Japanese version of ECR in the two ways: (1) Examining the correlations between "Anxiety" and Self-esteem scale by Rosenberg (1965) which were theoretically related to Self-view, and the correlations between "Avoidance" and Other-view scale by Kato (1999b) which were theoretically related to Other-view; (2) whether or not ECR represents the features of four attachment styles as classified by Relationship Questionnaire (RQ; Bartholomew & Horowitz, 1991). The results supported our expectations. This Japanese version of ECR was demonstrated to have adequate psychometric properties in validity and reliability.

  19. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    Science.gov (United States)

    Alton, G. D.; Bilheux, H.

    2004-05-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j+ext, and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j+ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects.

  20. Extraction of space-charge-dominated ion beams from an ECR ion source: Theory and simulation

    International Nuclear Information System (INIS)

    Alton, G.D.; Bilheux, H.

    2004-01-01

    Extraction of high quality space-charge-dominated ion beams from plasma ion sources constitutes an optimization problem centered about finding an optimal concave plasma emission boundary that minimizes half-angular divergence for a given charge state, independent of the presence or lack thereof of a magnetic field in the extraction region. The curvature of the emission boundary acts to converge/diverge the low velocity beam during extraction. Beams of highest quality are extracted whenever the half-angular divergence, ω, is minimized. Under minimum half-angular divergence conditions, the plasma emission boundary has an optimum curvature and the perveance, P, current density, j +ext , and extraction gap, d, have optimum values for a given charge state, q. Optimum values for each of the independent variables (P, j +ext and d) are found to be in close agreement with those derived from elementary analytical theory for extraction with a simple two-electrode extraction system, independent of the presence of a magnetic field. The magnetic field only increases the emittances of beams through additional aberrational effects caused by increased angular divergences through coupling of the longitudinal to the transverse velocity components of particles as they pass though the mirror region of the electron cyclotron resonance (ECR) ion source. This article reviews the underlying theory of elementary extraction optics and presents results derived from simulation studies of extraction of space-charge dominated heavy-ion beams of varying mass, charge state, and intensity from an ECR ion source with emphasis on magnetic field induced effects

  1. Fast camera studies at an electron cyclotron resonance table plasma generator.

    Science.gov (United States)

    Rácz, R; Biri, S; Hajdu, P; Pálinkás, J

    2014-02-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the "big" ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  2. Fast camera studies at an electron cyclotron resonance table plasma generator

    International Nuclear Information System (INIS)

    Rácz, R.; Biri, S.; Hajdu, P.; Pálinkás, J.

    2014-01-01

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper

  3. Fast camera studies at an electron cyclotron resonance table plasma generator

    Energy Technology Data Exchange (ETDEWEB)

    Rácz, R., E-mail: rracz@atomki.hu [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary); Biri, S. [Institute for Nuclear Research (ATOMKI), H-4026 Debrecen, Bem tér 18/c (Hungary); Hajdu, P.; Pálinkás, J. [Department of Experimental Physics, University of Debrecen, H-4032 Debrecen, Egyetem tér 1 (Hungary)

    2014-02-15

    A simple table-size ECR plasma generator operates in the ATOMKI without axial magnetic trap and without any particle extraction tool. Radial plasma confinement is ensured by a NdFeB hexapole. The table-top ECR is a simplified version of the 14 GHz ATOMKI-ECRIS. Plasma diagnostics experiments are planned to be performed at this device before installing the measurement setting at the “big” ECRIS. Recently, the plasma generator has been operated in pulsed RF mode in order to investigate the time evolution of the ECR plasma in two different ways. (1) The visible light radiation emitted by the plasma was investigated by the frames of a fast camera images with 1 ms temporal resolution. Since the visible light photographs are in strong correlation with the two-dimensional spatial distribution of the cold electron components of the plasma it can be important to understand better the transient processes just after the breakdown and just after the glow. (2) The time-resolved ion current on a specially shaped electrode was measured simultaneously in order to compare it with the visible light photographs. The response of the plasma was detected by changing some external setting parameters (gas pressure and microwave power) and was described in this paper.

  4. Effect of a pulsating electric field on ECR heating in the CERA-RX(C) X-ray generator

    Energy Technology Data Exchange (ETDEWEB)

    Balmashnov, A. A., E-mail: abalmashnov@sci.pfu.edu.ru; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M., E-mail: anumnov@yandex.ru [Peoples’ Friendship University of Russia (Russian Federation)

    2016-03-15

    3D particle-in-cell plasma simulations for the field configurations implemented in the CERA-RX(C) ECR X-ray generator (2.45 GHz) have been conducted. Dependences of the energy spectra of electrons incident on the target electrode on the amplitude and frequency of pulsations of the electric field in a megahertz range are derived. The simulation data are compared with the results of the full-scale experiment.

  5. Development of ECR ion source for the HIMAC medical accelerator

    International Nuclear Information System (INIS)

    Kitagawa, A.; Yamada, S.; Sekiguchi, M.

    1992-01-01

    The development of the ECR ion source for the HIMAC injector is reported. The HIMAC facility has two types of the ion source, one is the PIG ion source and the other is the ECR ion source. The ECR ion source is especially expected long lifetime, easy operation, and easy maintenance for the medical use. Now, the system of the ion source is under construction. However, the tests of fundamental performances have been started. In the present tests, the output electrical currents of Ions are 1300 eμA of He 1+ , 210 eμA of Ne 3+ , and 100 eμA of Ar 6+ . And the good stability of the extracted beam is acquired. These performances satisfied the requirements for the radiotherapy. (author)

  6. Characteristics of electron cyclotron waves creating field-aligned and transverse plasma-potential structures

    International Nuclear Information System (INIS)

    Takahashi, K; Kaneko, T; Hatakeyama, R; Fukuyama, A

    2009-01-01

    Characteristics of electromagnetic waves of azimuthal mode number m = ±1 are investigated experimentally, analytically and numerically when the waves triggering the field-aligned and transverse plasma-potential structure modification near an electron cyclotron resonance (ECR) point are injected into an inhomogeneously magnetized plasma with high-speed ion flow. The waves of m = +1 and -1 modes generate an electric double layer near the ECR point at the radially central and peripheral areas of the plasma column, respectively, and the transverse electric fields are consequently formed. At these areas the waves have a right-handed polarization and are absorbed through the ECR mechanism, where the experimental and analytical results do show the polarization reversal along the radial axis. The numerical results by plasma analysis by finite element method (FEM)/wave analysis by FEM (PAF/WF) code show that the wave-absorption area is localized at the radially central and peripheral areas for m = +1 and -1 mode waves, respectively, being consistent with the experimental and analytical ones.

  7. Microwave plasma for materials treatment; Plasmas de microondas para tratamiento de materiales

    Energy Technology Data Exchange (ETDEWEB)

    Camps, E.; Garcia, J.L.; Muhl, S.; Alvarez F, O.; Chavez C, J. [Instituto Nacional de Investigaciones Nucleares, A.P. 18-1027, 11801 Mexico D.F. (Mexico)

    1997-07-01

    The microwave discharges of the Electron Cyclotron Resonance (Ecr) type are capable to generate plasma with relatively high ionization coefficients which can vary between 1 and 10 % also they are realized in low pressures at 10 {sup -4} Torr. order generating at this time high concentrations of neutral excited chemical species which result in that the chemical processes can be realized with much greater velocity as in another systems. In this work it was studied and characterized a microwave discharge type Ecr using for this electric probes and optical emission spectroscopy. The characterization was carried out with the purpose of optimizing the plasma parameters and to establish a control over the same one doing so that the experiments have a greater reproducibility and a major work efficiency. (Author)

  8. Deposition of low stress, high transmittance SiC as an x-ray mask membrane using ECR plasma CVD

    CERN Document Server

    Lee, S Y; Lim, S T; Ahn, J H

    1998-01-01

    SiC for x-ray mask membrane is deposited by Electron Cyclotron Resonance plasma Chemical Vapor Deposition from SiH sub 4 /CH sub 4 Ar mixtures. Stoichiometric SiC is deposited at SiH sub 4 /CH sub 4 ratio of 0.4, deposition temperature of 600.deg.C and microwave power of 500 W with +- 5% thickness uniformity, As-deposited film has compressive residual stress, very smooth surface (31 A rms) and high optical transmittance of 90% at 633 nm wavelength. The microstructure of this film consists of the nanocrystalline particle (100 A approx 200A) embedded in amorphous matrix. Residual stress can be turned to tensile stress via Rapid Thermal Annealing in N sub 2 atmosphere, while suppressing structural change during annealing, As a result, smooth (37 A rms) SiC film with moderate tensile stress and high optical transmittance (85% at 633 nm wavelength) is obtained.

  9. Developmental activities of the 18 GHz high temperature superconducting ECR ion source, PKDELIS, for the high current injector at IUAC

    International Nuclear Information System (INIS)

    Rodrigues, G.; Lakshmy, P.S.; Mathur, Y.; Ahuja, R.; Dutt, R.N.; Rao, U.K.; Mandal, A.; Kanjilal, D.; Roy, A.

    2011-01-01

    Various developmental activities of the 18 GHz High Temperature Superconducting ECR Ion Source, PKDELIS have been carried out as a part of the High Current Injector programme. Emittance measurements using a simple technique has given important inputs for the design of downstream accelerators like RFQ, DTL and low beta cavities. The techniques allows for emittance matching by varying the emittance parameters to match with the acceptance of the accelerators. X-ray Beamstrahlung measurements from ECR plasma has shown that it is a diagnostic tool to optimize the production of highly charged ions. The ion optics through the low energy beam transport section has been benchmarked with various codes and given a handle to optimize the transmission. New techniques to improve the extraction efficiency of highly charged ions has been developed. (author)

  10. Preliminary experiment of non-induced plasma current startup on SUNIST spherical tokamak

    International Nuclear Information System (INIS)

    He Yexi; Zhang Liang; Xie Lifeng; Tang Yi; Yang Xuanzong; Fu Hongjun

    2005-01-01

    Non-inductive plasma current startup is an important motivation on the SUNIST spherical tokamak. In this experiment, a 100 kW, 2.45 GHz magnetron microwave system has been applied to the plasma current startup. Besides the toroidal field, a vertical field was applied to generate a preliminary toroidal plasma current without action of the central solenoid. As the evidence of the plasma current startup by the vertical field drift effect, the direction of the plasma current is changed with the changing direction of the vertical field during ECR startup discharge. We have also observed the plasma current maximum by scanning the vertical field in both directions. Additionally, we have used electrode discharge to assist the ECR current startup. (author)

  11. Fullerene-rare gas mixed plasmas in an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Asaji, T., E-mail: asaji@oshima-k.ac.jp; Ohba, T. [Oshima National College of Maritime Technology, 1091-1 Komatsu, Suo-oshima, Oshima, Yamaguchi 742-2193 (Japan); Uchida, T.; Yoshida, Y. [Bio-Nano Electronics Research Centre, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Minezaki, H.; Ishihara, S. [Graduate School of Engineering, Toyo University, 2100 Kujirai, Kawagoe, Saitama 350-8585 (Japan); Racz, R.; Biri, S. [Institute of Nuclear Research (ATOMKI), H-4026 Debrecen, Bem Tér 18/c (Hungary); Muramatsu, M.; Kitagawa, A. [National Institute of Radiological Sciences (NIRS), 4-9-1 Anagawa, Inage-ku, Chiba 263-8555 (Japan); Kato, Y. [Graduate School of Engineering, Osaka University, 2-1 Yamada-oka, Suita, Osaka 565-0871 (Japan)

    2014-02-15

    A synthesis technology of endohedral fullerenes such as Fe@C{sub 60} has developed with an electron cyclotron resonance (ECR) ion source. The production of N@C{sub 60} was reported. However, the yield was quite low, since most fullerene molecules were broken in the ECR plasma. We have adopted gas-mixing techniques in order to cool the plasma and then reduce fullerene dissociation. Mass spectra of ion beams extracted from fullerene-He, Ar or Xe mixed plasmas were observed with a Faraday cup. From the results, the He gas mixing technique is effective against fullerene destruction.

  12. The ECR heavy-ion source for ATLAS

    International Nuclear Information System (INIS)

    Pardo, R.C.; Billquist, P.J.

    1989-01-01

    The ATLAS PII-ECR ion source is the first ECR ion source to be designed for operation in a high voltage platform. The source system is required to provide beams of heavy ions with a velocity of 0.01c for subsequent acceleration by the superconducting ATLAS Positive Ion Injector Linac. At present, the ability of the system to provide high charge state ions with velocities up to .01c is probably unique and as such has generated significant interest in the atomic physics community. A beamline for atomic physics has been installed and is now in use. The source began operation in October, 1987. The source capabilities and operating experiences to date will be discussed. 6 refs., 3 figs., 3 tabs

  13. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters

    International Nuclear Information System (INIS)

    Adrouche, N.

    2006-09-01

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne 9+- argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne 9+ with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne 9+ beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  14. Adhesion of non-selective CVD tungsten to silicon dioxide

    International Nuclear Information System (INIS)

    Woodruff, D.W.; Wilson, R.H.; Sanchez-Martinez, R.A.

    1986-01-01

    Adhesion of non-selective, CVD tungsten to silicon dioxide is a critical issue in the development of tungsten as a metalization for VLSI circuitry. Without special adhesion promoters, tungsten deposited from WF/sub 6/ and H/sub 2/ has typically failed a standard tape test over all types of silicon oxides and nitrides. The reasons for failure of thin films, and CVD tungsten in particular are explored along with standard techniques for improving adhesion of thin films. Experiments are reported which include a number of sputtered metals as adhesion promoters, as well as chemical and plasma treatment of the oxide surface. Sputtered molybdenum is clearly the superior adhesion promoting layer from these tests. Traditional adhesion layers such as chromium or titanium failed as adhesion layers for CVD tungsten possibly due to chemical reactions between the WF/sub 6/ and Cr or Ti

  15. Fast mega pixels video imaging of a toroidal plasma in KT5D device

    International Nuclear Information System (INIS)

    Xu Min; Wang Zhijiang; Lu Ronghua; Sun Xiang; Wen Yizhi; Yu Changxuan; Wan Shude; Liu Wandong; Wang Jun; Xiao Delong; Yu Yi; Zhu Zhenghua; Hu Linyin

    2005-01-01

    A direct imaging system, viewing visible light emission from plasmas tangentially or perpendicularly, has been set up on the KT5D toroidal device to monitor the real two-dimensional profiles of purely ECR generated plasmas. This system has a typical spatial resolution of 0.2 mm (1280x1024 pixels) when imaging the whole cross section. Interesting features of ECR plasmas have been found. Different from what classical theories have expected, a resonance layer with two or three bright spots, rather than an even vertical band, has been observed. In addition, images also indicate an intermittent splitting and drifting character of the plasmas

  16. ECR heavy-ion source for the LBL 88-inch cyclotron

    International Nuclear Information System (INIS)

    Clark, D.J.; Kalnins, J.G.; Lyneis, C.M.

    1983-03-01

    An Electron Cyclotron Resonance (ECR) heavy-ion source is under construction at the LBL 88-Inch Cyclotron. This source will produce very-high-charge-state heavy ions, such as 0 8 + and Ar 12 + , which will increase cyclotron energies by a factor of 2-4, up to A = 80. It is a two-stage source using room-temperature coils, a permanent-magnet sextupole, and a 6-9 GHz microwave system. Design features include adjustable first-to-second-stage plasma coupling, a variable second-stage mirror ratio, high-conductance radial pumping of the second stage, and a beam-diagnostic system. A remotely movable extraction electrode will optimize extraction efficiency. The project includes construction of a transport line and improvements to the cyclotron axial-injection system. The construction period is expected to be two years

  17. Study and development of a new ECR source creating an intense light ions beam

    International Nuclear Information System (INIS)

    Nyckees, S.

    2012-01-01

    This thesis is in the context of study and design of a new ECR light ion source on LEDA (Laboratory of Research and Development of Accelerators - CEA Saclay), named ALISES (Advanced Light Ions Source Extraction System). As a first step, the magnetic, electrical and mechanical design of the new source is described. Then, simulations were performed to determine the reduction of emittance growth taking into account the reduction of the length of the LBE (Low Energy Beam Line) provided by the source ALISES. With this source, it's also possible to realize a study on the dimensions of the cylindrical plasma chamber. Simulations were performed to better understand the interaction between radiofrequency wave and plasma. Subsequently, experiments on the source ALISES helped highlight, understand and solve problems in the Penning discharges inside the accelerator column. Measurements performed on the plasma have yielded the assumption that the electrons are heated at the entrance of the plasma chamber and thermalized along its entire length to achieve an energy corresponding to the maximum of the ionization cross section for hydrogen. (author) [fr

  18. The role of (sub)-surface oxygen on the surface electronic structure of hydrogen terminated (100) CVD diamond

    NARCIS (Netherlands)

    Deferme, W.; Tanasa, G.; Amir, J.; Haenen, K.; Nesladek, M.; Flipse, C.F.J.

    2006-01-01

    In this work, scanning tunnelling microscopy (STM) and scanning tunnelling spectroscopy (STS) were applied to investigate the surface morphol. and the surface electronic structure of plasma-treated (100)-oriented CVD diamond films. These films were hydrogenated using a conventional MWPE-CVD

  19. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  20. Surface interaction of polyimide with oxygen ECR plasma

    International Nuclear Information System (INIS)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P.S.; Bhoraskar, V.N.; Mandle, A.B.; Ganeshan, V.; Bhoraskar, S.V.

    2004-01-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis

  1. Surface interaction of polyimide with oxygen ECR plasma

    Science.gov (United States)

    Naddaf, M.; Balasubramanian, C.; Alegaonkar, P. S.; Bhoraskar, V. N.; Mandle, A. B.; Ganeshan, V.; Bhoraskar, S. V.

    2004-07-01

    Polyimide (Kapton-H), was subjected to atomic oxygen from an electron cyclotron resonance plasma. An optical emission spectrometer was used to characterize the atomic oxygen produced in the reactor chamber. The energy of the ions was measured using a retarding field analyzer, placed near the substrate. The density of atomic oxygen in the plasma was estimated using a nickel catalytic probe. The surface wettability of the polyimide samples monitored by contact angle measurements showed considerable improvement when treated with plasma. X-ray photoelectron spectroscopy and Fourier transform infrared spectroscopic studies showed that the atomic oxygen in the plasma is the main specie affecting the surface chemistry and adhesion properties of polyimide. The improvement in the surface wettability is attributed to the high degree of cross-linking and large concentration of polar groups generated in the surface region of polyimide, after plasma treatment. The changes in the surface region of polyimide were observed by atomic force microscopic analysis.

  2. Plasma diagnostics of the SIMPA Ecr ion source by X-ray spectroscopy, Collisions of H-like Neon ions with Argon clusters; Diagnostic du plasma de la source d'ions ECR SIMPA par spectroscopie X, Collision d'ions neon hydrogenoides avec des agregats d'argon

    Energy Technology Data Exchange (ETDEWEB)

    Adrouche, N

    2006-09-15

    The first part of this thesis is devoted to the SIMPA ECR ion source characterization, first, I explored the ion source's capacities on the point of view of extracted currents for three elements, argon, krypton and neon. By analyzing the Bremsstrahlung spectra, I determined the electronic temperature in the plasma and the electronic and ionic densities. In a second time, I recorded high resolution X-spectra of argon and krypton plasma's. By taking into account the principal mechanisms of production of a K hole in the ions inside the plasma, I determined the ionic densities of the high charge states of argon. Lastly, I highlighted a correlation between the ions charge states densities with the intensities of extracted currents. The second part of the thesis is devoted to Ne{sup 9+-} argon clusters collisions. First, I presented simple and effective theoretical models allowing to describe the phenomena occurring during a collision, from the point of view of the projectile. I carried out a simulation for a collision of an ion Ne{sup 9+} with an argon cluster of a given size, which has enabled us to know the energy levels populated during the electronic capture and to follow the number of electrons in each projectile shell. Lastly, I presented the first results of a collision between a Ne{sup 9+} beam and argon clusters. These results, have enabled me by using projectile X-ray spectroscopy during the ions-clusters collision, to evidence a strong clustering of targets atoms and to highlight an electronic multi-capture in the projectile ion excited states. (author)

  3. Studies on fundamental technologies for producing tokamak-plasma

    International Nuclear Information System (INIS)

    Matsuzaki, Yoshimi

    1987-10-01

    The report describes studies on fundamental technologies to produce tokamak-plasma of the JFT-2 and JFT-2M tokamaks. (1) In order to measure the particle number of residual gases, calibration methods of vacuum gauges have been developed. (2) Devices for a Taylor-type discharge cleaning (TDC), a glow discharge cleaning (GDC) and ECR discharge cleaning (ECR-DC) have been made and the cleaning effects have been investigated. In TDC the most effective plasma for cleaning is obtained in the plasma with 5 eV of electron temperature. GDC is effective in removing carbon impurities, but is less effective for removing oxygen impurities. ECR-DC has nearly the similar effect as TDC. The cleaning effect of these three types were studied by comparing the properties of resulting tokamak plasmas in the JFT-2M tokamak. (3) Experimental studies of pre-ionization showed as following results; A simple pre-ionization equipment as a hot-electron-gun and a J x B gun was effective in reducing breakdown voltage. An ordinary mode wave of the electron cyclotron frequency was very effective for pre-ionization. The RF power whose density is 3.6 x 10 -2 W/cm 3 produced plasma of an electron density of 5 x 10 11 cm -3 . In this case, it is possible to start up with negligible consumption of the magnetic flux caused by the plasma resistance. (4) Concerning to studies on plasma control, the following results were obtained; In order to obtain constant plasma current, a pulse forming network was constructed and sufficient constant plasma current was achieved. In applying an iso-flux method for measuring the plasma position, it is no problem practically to use only one loop-coil and one magnetic probe. (author)

  4. On-line measurement of the microwave power in ECR ion source

    International Nuclear Information System (INIS)

    Zhou Changgeng; Kang Wu; Hu Yonghong; Li Yan; Lou Benchao; Zu Xiulan; Xiong Riheng; Chen Junguang

    2005-01-01

    It is a new technology that ECR ion source is applied in the neutron generator. Because of effect of the structure, working state of ECR ion source could not be judged by the color of gas discharging in discharging chamber as doing in high frequency ion source. Therefore, state adjusting of ECR ion source was difficult in running of the neutron generator. The method to resolve the question is described in this paper. The micro-wave power was measured in case of running by using the method of directional coupler adding small microwave power meter. Because both were in the direct proportion, the ion beam current could be educed from microwave incidence power measured, and discharge state in discharge chamber could be judged. Finally, the neutron generator might be operated in best running state. (authors)

  5. Microwave plasma CVD of oxide films relating to high Tc Bi-Sr-Ca-Cu-O superconductor

    International Nuclear Information System (INIS)

    Hashimoto, T.; Kosaka, T.; Yoshida, Y.; Yoshimoto, M.; Koinuma, H.

    1989-01-01

    Microwave plasma CVD was applied to the synthesis of Bi, Sr, Ca, and Cu oxide films at relatively low temperatures. Gas source materials used were Bi(C 6 H 5 ) 3 , Sr(PPM) 2 , Ca(PPM) 2 , and Cu(HFA) 2 , where PPM and HFA represent C 2 F 5 COCHCOC(CH 3 ) 3 and CF 3 COCHCOCF 3 , respectively. Films were deposited on MgO (100) substrate at temperatures between 200 C and 400 C under an atmosphere of 1000mTorr Ar-O 2 (50/100) mixture which was partially excited by plasma. From Bi(C 6 H 5 ) 3 , Bi 2 O 3 was formed at 200 C without containing carbon above the detection level by XPS analysis. From Cu(HFA) 2 , CuO was prepared at 400 C by increasing oxygen partial pressure to 0.1Torr. (At lower oxygen partial pressure, CuF 2 or amorphous films were deposited.) From Sr(PPM) 2 and Ca(PPM) 2 , SrF 2 and CaF 2 were obtained at 400 C. The attempt to fabricate superconducting films is also reported

  6. Status report of pelletron accelerator and ECR based heavy ion accelerator programme

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR Pelletron Accelerator is completing twenty seven years of round-the-clock operation, serving diverse users from institutions within and outside DAE. Over the years, various developmental activities and application oriented programs have been initiated at Pelletron Accelerator Facility, resulting into enhanced utilization of the accelerator. We have also been pursuing an ECR based heavy ion accelerator programme under XII th Plan, consisting of an 18 GHz superconducting ECR (Electron Cyclotron Resonance) ion source and a room temperature RFQ (Radio Frequency Quadrupole) followed by low and high beta superconducting niobium resonator cavities. This talk will provide the current status of Pelletron Accelerator and the progress made towards the ECR based heavy ion accelerator program at BARC. (author)

  7. Field-aligned plasma-potential structure formed by local electron cyclotron resonance

    International Nuclear Information System (INIS)

    Hatakeyama, Rikizo; Kaneko, Toshiro; Sato, Noriyoshi

    2001-01-01

    The significance of basic experiments on field-aligned plasma-potential structure formed by local electron cyclotron resonance (ECR) is claimed based on the historical development of the investigation on electric double layer and electrostatic potential confinement of open-ended fusion-oriented plasmas. In the presence of a single ECR point in simple mirror-type configurations of magnetic field, a potential dip (thermal barrier) appears around this point, being followed by a subsequent potential hump (plug potential) along a collisionless plasma flow. The observed phenomenon gives a clear-cut physics to the formation of field-aligned plug potential with thermal barrier, which is closely related to the double layer formation triggered by a negative dip. (author)

  8. Valorization of ECR sources

    CERN Document Server

    2003-01-01

    One way to limit the size of particle accelerators is to use intense multicharged ion beams. Thus, compact, low cost and reliable sources have been developed. These sources are based on the electron cyclotron resonance (ECR) principle and need no cathode nor filament. A prototype named Nanogan has been developed for the Spiral project of the Ganil accelerator (Caen, France). Then, this technology has been transferred toward other research domains and industrial applications, like the ion implantation in micro-electronics components. (J.S.)

  9. Plasma accumulation in the HF supplemented mirror trap

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.; Golovaniksky, K.S.; Karyaka, V.I.

    1975-01-01

    The confinement of plasma bunches in the mirror trap with the combined barrier at ECR is experimentally studied. Under fulfilment of the phase autofocusing condition the reflection of electrons by the combined barrier is quasiadiabatic and plasma losses are determined by perpendicular diffusion. (Auth.)

  10. Permanent magnet electron cyclotron resonance plasma source with remote window

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1995-01-01

    An electron cyclotron resonance (ECR) plasma has been used in conjunction with a solid metal sputter target for Cu deposition over 200 mm diameters. The goal is to develop a deposition system and process suitable for filling submicron, high-aspect ratio ULSI features. The system uses a permanent magnet for creation of the magnetic field necessary for ECR, and is significantly more compact than systems equipped with electromagnets. A custom launcher design allows remote microwave injection with the microwave entrance window shielded from the copper flux. When microwaves are introduced at an angle with respect to the plasma, high electron densities can be produced with a plasma frequency significantly greater than the electron cyclotron frequency. Copper deposition rates of 1000 A/min have been achieved

  11. Present status of the NIRS-ECR ion source for the HIMAC

    International Nuclear Information System (INIS)

    Kitagawa, A.; Matsushita, H.; Shibuya, S.

    1995-01-01

    The present status of NIRS-ECR ion source for the Heavy Ion Medical Accelerator in Chiba (HIMAC) at National Institute of Radiological Sciences (NIRS) is reported. The beam intensity of the NIRS-ECR was increased by modifications on the magnetic field structure, chamber cooling system, vacuum conductance and the extraction configuration. The output current of Ar 6+ reached 365 eμA after improvements. The good stability, easy operation, and good reproducibility were realized. (author)

  12. Extreme ultraviolet narrow band emission from electron cyclotron resonance plasmas

    International Nuclear Information System (INIS)

    Zhao, H. Y.; Zhao, H. W.; Sun, L. T.; Zhang, X. Z.; Wang, H.; Ma, B. H.; Li, X. X.; Zhu, Y. H.; Sheng, L. S.; Zhang, G. B.; Tian, Y. C.

    2008-01-01

    Extreme ultraviolet lithography (EUVL) is considered as the most promising solution at and below dynamic random access memory 32 nm half pitch among the next generation lithography, and EUV light sources with high output power and sufficient lifetime are crucial for the realization of EUVL. However, there is no EUV light source completely meeting the requirements for the commercial application in lithography yet. Therefore, ECR plasma is proposed as a novel concept EUV light source. In order to investigate the feasibility of ECR plasma as a EUV light source, the narrow band EUV power around 13.5 nm emitted by two highly charged ECR ion sources--LECR2M and SECRAL--was measured with a calibrated EUV power measurement tool. Since the emission lines around 13.5 nm can be attributed to the 4d-5p transitions of Xe XI or the 4d-4f unresolved transition array of Sn VIII-XIII, xenon plasma was investigated. The dependence of the EUV throughput and the corresponding conversion efficiency on the parameters of the ion source, such as the rf power and the magnetic confinement configurations, were preliminarily studied

  13. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  14. Developments of ECR Sources and Associated Equipment

    International Nuclear Information System (INIS)

    Bieth, C.; Kantas, S.; Sortais, P.

    2002-01-01

    PANTECHNIK s.a. has improved and created ECR using room temperature coils, superconducting coils at 30K or permanent magnets ECR. Some of these sources are devoted to particular applications: ion implantation, nuclear and atomic physic, Proton and Hadrontherapy, radioactive beam. Stability and reproducibility have been particularly 1.0 Operational Improvements 1.1 High charge state for nuclear physics, atomic physic and RIB beam The HYPERNANOGAN [1] source (fig1) has been modified to run at 18GHz, and improved at 14.5GHz. The XENON spectrum (fig2) at 14.5GHz shows a Beam current of 3 μAe; for the charge state 30 + . At 18 GHz, the preliminary results indicate an improvement of 18% for Ar 8+ and 50% on Ar 9+ . In the atomic physics field our sources have been used to study the effect of extremely charged ions on a semiconductor surface ( Coulomb explosion)

  15. Investigation and application of microwave electron cyclotron resonance plasma physical vapour deposition

    International Nuclear Information System (INIS)

    Ren Zhaoxing; Sheng Yanya; Shi Yicai; Wen Haihu; Cao Xiaowen

    1991-06-01

    The evaporating deposition of Ti film and Cu film by using microwave electron cyclotron resonance (ECR) technique was investigated. It deposition rate was about 50 nm/min and the temperature of the substrate was 50∼150 deg C. The thin amorphous films with strong adherent force were obtained. The sputtering deposition with ECR plasma was studied by employing higher plasma density and ionicity and negative substrate potential to make YBaCuO superconducting film. Its film was compact and amorphous with a thickness of 1.0 μm and the deposition rate was about 10 nm/min. The results show that this technique can initiate a high density and high ionicity plasma at lower gas pressure (10 -2 ∼10 -3 Pa). This plasma is the most suitable plasma source in thin film deposition process and surface treatment technique

  16. 400 kV injector compact ECR ion source

    International Nuclear Information System (INIS)

    Constantin, F.; Catana, D.; Macovei, M.; Ivanov, E.

    1997-01-01

    Obtaining multiple ionised ions is a fundamental problem for some applications and research. Multiple ionised ions can be produced from electronic bombardment, when n·τ≥5·10 9 cm -3 · s, where n is the density of electrons (in cm -3 ) and τ is the time of interaction between electrons and ions . The relative speed of electrons and ions determines the equilibrium between the stripping process of the atom's electrons and their capture. An ion source with high ionisation efficiency and large output current is the ECR source (Electron Cyclotron Resonance). Using an ECR source with permanent magnets as ion source for the injector will lead to following advantages: - the possibility to obtain multiple ionised particles; - an increase of ion beam intensities; - the expanding of accelerator activities; - a longer working time, due to magnetron lifetime. The ECR ion source is robust, compact and capable of high intensities of extracted ion current. The large functional domain for the residual gas pressure allows the production of multiple charged ions. The source can be easily integrated in the TRILAC's injection structure. We realised a compact microwave ion source which has an axial magnetic field generated by a permanent magnet of Co-Sm. 1200 G magnetic field is greater than the 875 G magnetic field corresponding to the electron-cyclotron frequency of 2.45 GHz. The microwave generator is a magnetron (2.45 GHz and 200 W in continuos wave). The microwave is fed through a coaxial connector on the top of flange. The test was made on He gas at a pressure between 8· 10 -4 and 5·10 -2 torr. The ion beam current was measured vs. extracted potential from 3 kV to 10 kV and has a dependence according to U 3/2 law. A maximal ion current of 300 μA at 10 kV extraction potential was measured. Dimension of ECR ion source, including Einzel lens are φ=12 cm and h=16 cm. (authors)

  17. Results of a new ''OCTOPUS'' ECR ion source at 6.4 GHz

    International Nuclear Information System (INIS)

    Dupont, C.; Jongen, Y.; Arakawa, K.; Yokota, W.; Satoh, T.; Tachikawa, T.

    1990-01-01

    The first OCTOPUS electron cyclstron resonance (ECR) multicharged heavy ion source was built in 1985 at the Centre de Recherches du Cyclotron of the University of Louvain (Belgium). This first source used an ECR frequency of 14.3 GHz in the injector stage and 8.5 GHz in the main confinement stage. A new OCTOPUS source has now been built for a new cyclotron to be installed at the Japan Atomic Energy Research Institute (JAERI). The design of this new OCTOPUS source is identical to the first OCTOPUS source, but uses an ECR frequency of 6.4 GHz in the main confinement stage. The experimental results are described, and a comparison is made between the two sources. However, the available data does not allow any clear conclusion to be drawn on frequency scaling

  18. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    Energy Technology Data Exchange (ETDEWEB)

    Muguira, L., E-mail: lmuguira@essbilbao.org [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Portilla, J. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain); Etxebarria, V. [University of Basque Country (UPV/EHU), Department of Electricity and Electronics, Science and Technology Faculty, 48940 Leioa (Bizkaia) (Spain); Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D. [ESS-Bilbao, Edificio Rectorado, Vivero de Empresas, 48940 Leioa (Bizkaia) (Spain)

    2014-03-21

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  19. Automated system for efficient microwave power coupling in an S-band ECR ion source driven under different operating conditions

    International Nuclear Information System (INIS)

    Muguira, L.; Portilla, J.; Gonzalez, P.J.; Garmendia, N.; Feuchtwanger, J.; Etxebarria, V.; Eguiraun, M.; Arredondo, I.; Miracoli, R.; Belver, D.

    2014-01-01

    This article presents an automated system for optimizing the microwave power coupling to the plasma generated in a proton/deuteron Electron Cyclotron Resonance (ECR) source, based on a specific model of a rectangular waveguide triple-stub tuner and the integrated measurement and control electronics, helping to get stable plasma states. The control and improvement of the RF power absorption into the plasma is a complex process, essential for the ion source development and optimization under different operating conditions. A model and a matching algorithm for the triple-stub tuner have been developed and, besides, different methods to accurately measure the power transfer in a waveguide RF system have been studied and deployed in the ESS-Bilbao ion source system. The different parts have been integrated through a controller, which allows to run an automatic plasma matching system in closed loop. The behavior of the system implemented for low and high power regimes has been tested under different conditions: with several load impedances, with plasma inside the chamber, in continuous wave and pulsed wave operation modes, demonstrating power absorption typically over 90% in all the ion source configurations. The developed system allows to achieve significant improvement in the ECR ion source power absorption efficiency, both in continuous and pulsed mode. The automatic tuning unit enhances the system operation finding an optimum solution much faster than manually, also behaving as an adaptive system able to respond in few pulses to ion source configuration changes to maintain the power coupling as high as possible. - Highlights: • An automated system optimizing plasma and microwave power interaction is presented. • A model and a matching algorithm for the triple-stub tuner have been developed. • Different methods to measure the power transfer have been studied and deployed. • The system works for low or high power regimes under different ion source conditions.

  20. Compact permanent magnet H⁺ ECR ion source with pulse gas valve.

    Science.gov (United States)

    Iwashita, Y; Tongu, H; Fuwa, Y; Ichikawa, M

    2016-02-01

    Compact H(+) ECR ion source using permanent magnets is under development. Switching the hydrogen gas flow in pulse operations can reduce the gas loads to vacuum evacuation systems. A specially designed piezo gas valve chops the gas flow quickly. A 6 GHz ECR ion source equipped with the piezo gas valve is tested. The gas flow was measured by a fast ion gauge and a few ms response time is obtained.

  1. Niobium thin film coating on a 500-MHz copper cavity by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Haipeng Wang; Genfa Wu; H. Phillips; Robert Rimmer; Anne-Marie Valente; Andy Wu

    2005-05-16

    A system using an Electron Cyclotron Resonance (ECR) plasma source for the deposition of a thin niobium film inside a copper cavity for superconducting accelerator applications has been designed and is being constructed. The system uses a 500-MHz copper cavity as both substrate and vacuum chamber. The ECR plasma will be created to produce direct niobium ion deposition. The central cylindrical grid is DC biased to control the deposition energy. This paper describes the design of several subcomponents including the vacuum chamber, RF supply, biasing grid and magnet coils. Operational parameters are compared between an operating sample deposition system and this system. Engineering work progress toward the first plasma creation will be reported here.

  2. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  3. Korean plasma-material interaction researches/facilities

    International Nuclear Information System (INIS)

    Chung, K.-S.; Woo, H.-J.; Cho, S.-G.

    2013-01-01

    Various PMI facilities have been developed recently in Korea, such as DiPS, MP2, ECR plasma, a segmented plasma torch system, e-beam accelerator, and the TReD (Transport and Removal experiment of Dust) device. In this paper, these devices are briefly to be explained in terms of objective and specifications along with initial experimental results. (J.P.N.)

  4. Rippled plasma wall accelerating structures

    International Nuclear Information System (INIS)

    Cavenago, M.

    1992-01-01

    A concept to form a hot, pulsed, inhomogeneous plasma and to use it as a linac structure is presented. The plasma spatial distribution is controlled by an external magnetic field and by the location of thermionic emitters; microwave ECR heating at frequency ω 1 favours plasma build up and reduces plasma resistivity. A shorter microwave pulse with frequency ω 2 ≠ ω 1 excites a longitudinal mode. An expression for the maximum attainable accelerating field is found. A linearized theory of accelerating modes is given. (Author) 6 refs., 3 figs

  5. Geomagnetic activity effects on plasma sheet energy conversion

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2010-10-01

    Full Text Available In this article we use three years (2001, 2002, and 2004 of Cluster plasma sheet data to investigate what happens to localized energy conversion regions (ECRs in the plasma sheet during times of high magnetospheric activity. By examining variations in the power density, E·J, where E is the electric field and J is the current density obtained by Cluster, we have studied the influence on Concentrated Load Regions (CLRs and Concentrated Generator Regions (CGRs from variations in the geomagnetic disturbance level as expressed by the Kp, the AE, and the Dst indices. We find that the ECR occurrence frequency increases during higher magnetospheric activities, and that the ECRs become stronger. This is true both for CLRs and for CGRs, and the localized energy conversion therefore concerns energy conversion in both directions between the particles and the fields in the plasma sheet. A higher geomagnetic activity hence increases the general level of energy conversion in the plasma sheet. Moreover, we have shown that CLRs live longer during magnetically disturbed times, hence converting more electromagnetic energy. The CGR lifetime, on the other hand, seems to be unaffected by the geomagnetic activity level. The evidence for increased energy conversion during geomagnetically disturbed times is most clear for Kp and for AE, but there are also some indications that energy conversion increases during large negative Dst. This is consistent with the plasma sheet magnetically mapping to the auroral zone, and therefore being more tightly coupled to auroral activities and variations in the AE and Kp indices, than to variations in the ring current region as described by the Dst index.

  6. A new approach for calculation of volume confined by ECR surface and its area in ECR ion source

    International Nuclear Information System (INIS)

    Filippov, A.V.

    2007-01-01

    The volume confined by the resonance surface and its area are important parameters of the balance equations model for calculation of ion charge-state distribution (CSD) in the electron-cyclotron resonance (ECR) ion source. A new approach for calculation of these parameters is given. This approach allows one to reduce the number of parameters in the balance equations model

  7. Study of the triton-burnup process in different JET scenarios using neutron monitor based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Nemtsev, G., E-mail: g.nemtsev@iterrf.ru; Amosov, V.; Meshchaninov, S.; Rodionov, R. [Institution “Project center ITER,” Moscow (Russian Federation); Popovichev, S. [CCFE, Culham Science Centre, Abingdon OX14 3DB (United Kingdom); Collaboration: EUROfusion Consortium, JET, Culham Science Centre, Abingdon OX14 3DB (United Kingdom)

    2016-11-15

    We present the results of analysis of triton burn-up process using the data from diamond detector. Neutron monitor based on CVD diamond was installed in JET torus hall close to the plasma center. We measure the part of 14 MeV neutrons in scenarios where plasma current varies in a range of 1-3 MA. In this experiment diamond neutron monitor was also able to detect strong gamma bursts produced by runaway electrons arising during the disruptions. We can conclude that CVD diamond detector will contribute to the study of fast particles confinement and help predict the disruption events in future tokamaks.

  8. Design of small ECR ion source for neutron generator

    International Nuclear Information System (INIS)

    Zhou Changgeng; Lou Benchao; Zu Xiulan; Yang Haisu; Xiong Riheng

    2003-01-01

    The principles, structures and characteristics of small ECR (Electron Cyclotron Resonance) ion source used in the neutron generator are introduced. The processes of the design and key technique and innovations are described. (authors)

  9. Deposition and micro electrical discharge machining of CVD-diamond layers incorporated with silicon

    Science.gov (United States)

    Kühn, R.; Berger, T.; Prieske, M.; Börner, R.; Hackert-Oschätzchen, M.; Zeidler, H.; Schubert, A.

    2017-10-01

    In metal forming, lubricants have to be used to prevent corrosion or to reduce friction and tool wear. From an economical and ecological point of view, the aim is to avoid the usage of lubricants. For dry deep drawing of aluminum sheets it is intended to apply locally micro-structured wear-resistant carbon based coatings onto steel tools. One type of these coatings are diamond layers prepared by chemical vapor deposition (CVD). Due to the high strength of diamond, milling processes are unsuitable for micro-structuring of these layers. In contrast to this, micro electrical discharge machining (micro EDM) is a suitable process for micro-structuring CVD-diamond layers. Due to its non-contact nature and its process principle of ablating material by melting and evaporating, it is independent of the hardness, brittleness or toughness of the workpiece material. In this study the deposition and micro electrical discharge machining of silicon incorporated CVD-diamond (Si-CVD-diamond) layers were presented. For this, 10 µm thick layers were deposited on molybdenum plates by a laser-induced plasma CVD process (LaPlas-CVD). For the characterization of the coatings RAMAN- and EDX-analyses were conducted. Experiments in EDM were carried out with a tungsten carbide tool electrode with a diameter of 90 µm to investigate the micro-structuring of Si-CVD-diamond. The impact of voltage, discharge energy and tool polarity on process speed and resulting erosion geometry were analyzed. The results show that micro EDM is a suitable technology for micro-structuring of silicon incorporated CVD-diamond layers.

  10. 14 MV pelletron accelerator and superconducting ECR ion source

    International Nuclear Information System (INIS)

    Gupta, A.K.

    2015-01-01

    The BARC-TIFR 14UD Pelletron Accelerator at Mumbai has completed more than two and a half decade of successful operation. The accelerator is primarily used for basic research in the fields of nuclear, atomic and molecular, condensed matter physics and material science. The application areas include accelerator mass spectrometry, production of track-etch membranes, radioisotopes production, radiation damage studies and secondary neutron production for cross section measurement etc. Over the years, numerous developmental activities have been carried out in-house that have resulted in improving the overall performance and uptime of the accelerator and has also made possible to initiate variety of application oriented programmes. Since the SF 6 pressure vessels have been in operation for about 29 years, a comprehensive refurbishment and retrofitting work is carried out to comply with the safety recommendations. Recently, the beam trials were conducted with 18 GHz superconducting ECR (Electron Cyclotron Resonance) Ion Source system at Van-de-Graaff as per BARC Safety Council permission. Various ion beams with different charge states were extracted and mass analyzed and the beam quality was measured by recording their transverse emittance in situ. Experimental measurements pertaining to projectile X-rays Spectroscopy were carried out using variety of ion beams at variable energies. The superconducting Linac booster provides additional acceleration to the ions from Pelletron injector up to A ∼60 region with E∼5 MeV/A. In order to cover the entire mass range of the elements across the periodic table, an ECR based heavy ion accelerator was initiated under plan project. This heavy ion accelerator essentially comprises of a superconducting ECR ion source, room temperature RFQ (Radio Frequency Quadrupole) followed by superconducting Niobium resonators as accelerating elements. This talk will provide an overview of the developmental activities and the safety features

  11. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  12. CVD - main concepts, applications and restrictions

    International Nuclear Information System (INIS)

    Bliznakovska, B.; Milosevski, M.; Krawczynski, S.; Meixner, C.; Koetter, H.R.

    1993-01-01

    Despite of the fact that the existing literature covering the last two decades is plentiful with data related to CVD, this document is an attempt to provide to a reader a concise information about the nature of CVD technique at production of technologically important materials as well as to point at special references. The text is devided into three separate sections. The first section, The Main Features of CVD, is intended to give a complete comprehensive picture of the CVD technique through process description and characterization. The basic principles of thermodynamics, CVD chemical reactions classification, CVD chemical kinetics aspects and physics of CVD (with particular attention on the gas-flow phenomena) are included. As an additional aspect, in CVD unavoidable aspect however, the role of the coating/substrate compatibility on the overall process was outlined. The second section, CVD Equipment, concerns on the pecularities of the complete CVD unit pointing out the individual significances of the separate parts, i.e. pumping system, reactor chamber, control system. The aim of this section is to create to a reader a basic understanding of the arising problems but connected to be actual CVD performance. As a final goal of this review the reader's attention is turned upon the CVD applications for production of an up-to-date important class of coatings such as multilayer coatings. (orig.)

  13. Experimental studies of 2.45 GHz ECR ion sources for the production of high intensity currents

    International Nuclear Information System (INIS)

    Coly, A.

    2010-12-01

    This thesis is the result of a collaboration between the Pantechnik company and the LPSC (Laboratory of subatomic physics and cosmology of Grenoble). It consisted in the development of a new test bench dedicated to the characterization of a 2.45 GHz ECR ion sources with the aim of the production of high currents beams for industrial purposes. Two ECR ions sources with different magnetic structures have been tested around the same RF injection system. A new 2.45 GHz ECRIS, named SPEED, featuring a dipolar magnetic field at the extraction has been designed and tested. A study of the beam extraction in the dipolar magnetic field is proposed. First tests have shown a total ionic current density of about 10 mA/cm 2 with a 900 W RF power. Tests with hydrogen plasma have shown a maximum of current on the H 2 + species. Recommendations are given to modify the magnetic structure to improve the H + production yield. The MONO1000 ion source has been tested at high RF power with a wave guide type injection system. Intense total ionic current densities have been measured up to about 95 mA/cm 2 with a diode extraction system. First results using an improved 5 electrode extraction system are presented. (author)

  14. Electron cyclotron resonance heating in a short cylindrical plasma ...

    Indian Academy of Sciences (India)

    The power mode conversion efficiency is estimated to be ... has also found application in electron cyclotron current drive (ECCD) in fusion ... (few GHz) of microwave sources, a small linear ECR plasma system can also serve ..... References.

  15. Comparative evaluation of CVD diamond technologies

    Energy Technology Data Exchange (ETDEWEB)

    Anthony, T.R. [General Electric Corporate Research & Development Center, Schenectady, NY (United States)

    1993-01-01

    Chemical vapor deposition (CVD) of diamonds occurs from hydrogen-hydrocarbon gas mixtures in the presence of atomic hydrogen at subatmospheric pressures. Most CVD methods are based on different means of generating and transporting atomic hydrogen in a particular system. Evaluation of these different techniques involves their capital costs, material costs, energy costs, labor costs and the type and quality of diamond that they produce. Currently, there is no universal agreement on which is the best technique and technique selection has been largely driven by the professional background of the user as well as the particular application of interest. This article discusses the criteria for evaluating a process for low-pressure deposition of diamond. Next, a brief history of low-pressure diamond synthesis is reviewed. Several specific processes are addressed, including the hot filament process, hot filament electron-assisted chemical vapor deposition, and plasma generation of atomic hydrogen by glow discharge, microwave discharge, low pressure radio frequency discharge, high pressure DC discharge, high pressure microwave discharge jets, high pressure RF discharge, and high and low pressure flames. Other types of diamond deposition methods are also evaluated. 101 refs., 15 figs.

  16. A study on the design of hexapole in an 18-GHz ECR ion source for heavy ion accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhan; Wei, Shaoqing; Lee, Sang Jin [Uiduk University, Gyeongju (Korea, Republic of); Choi, Suk Jin [Rare Isotope Science Project, Institute for Basic Science, Daejeon (Korea, Republic of)

    2016-06-15

    High charge state electron cyclotron resonance (ECR) ion source is important on the performance of heavy ion accelerators. In this paper, a low temperature superconductor (LTS) was used to make a hexapole coil for an 18-GHz ECR ion source. Several hexapole structures, including racetrack, graded racetrack, and saddle were implemented and analyzed for the hexapole-in-solenoid ECR ion source system. Under the appropriate radial confinement field, the smaller outer radius of hexapole can be better for the solenoid design. Saddle hexapole was selected by comparing the wire length, maximum outer radius of the hexapole, the Lorentz force at the end part of the hexapole and the maximum magnetic field in the coil. Based on saddle hexapole, a new design for hexapoles, the snake hexapole, was developed in this paper. By comparative analysis of the Lorentz force at the end part of the saddle and snake hexapoles, the snake hexapole is much better in the ECR ion source system. The suggested design for the ECR ion source with the snake hexapole is presented in this paper.

  17. Response of CVD diamond detectors to alpha radiation

    Energy Technology Data Exchange (ETDEWEB)

    Souw, E.-K. [Brookhaven National Lab., Upton, NY (United States); Meilunas, R.J. [Northrop-Grumman Corporation, Bethpage, NY 11714-3582 (United States)

    1997-11-21

    This article describes some results from an experiment with CVD diamond films used as {alpha} particle detectors. It demonstrates that bulk polarization can be effectively stopped within a reasonable time interval. This will enable detector calibration and quantitative measurement. A possible mechanism for the observed polarization quenching is discussed. It involves two types of carrier traps and a tentative band-gap model derived from the results of photoconductive current measurements. The experiment was set up mainly to investigate {alpha} detection properties of polycrystalline diamond films grown by the technique of microwave plasma enhanced chemical vapor deposition. For comparison, two commercially purchased diamond wafers were also investigated, i.e., one grown by the DC arc jet method, and the other, a type-IIa natural diamond wafer (not preselected). The best response to {alpha} particles was obtained using diamond thin-films grown by the microwave PECVD method, followed by the type-IIa natural diamond, and finally, the CVD diamond grown by the DC arc jet technique. (orig.). 43 refs.

  18. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source.

    Science.gov (United States)

    Izotov, I V; Razin, S V; Sidorov, A V; Skalyga, V A; Zorin, V G; Bagryansky, P A; Beklemishev, A D; Prikhodko, V V

    2012-02-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap ("vortex" confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of "vortex" confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  19. Influence of the shear flow on electron cyclotron resonance plasma confinement in an axisymmetric magnetic mirror trap of the electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Izotov, I. V.; Razin, S. V.; Sidorov, A. V.; Skalyga, V. A.; Zorin, V. G.; Bagryansky, P. A.; Beklemishev, A. D.; Prikhodko, V. V.

    2012-01-01

    Influence of shear flows of the dense plasma created under conditions of the electron cyclotron resonance (ECR) gas breakdown on the plasma confinement in the axisymmetric mirror trap (''vortex'' confinement) was studied experimentally and theoretically. A limiter with bias potential was set inside the mirror trap for plasma rotation. The limiter construction and the optimal value of the potential were chosen according to the results of the preliminary theoretical analysis. This method of ''vortex'' confinement realization in an axisymmetric mirror trap for non-equilibrium heavy-ion plasmas seems to be promising for creation of ECR multicharged ion sources with high magnetic fields, more than 1 T.

  20. FY1995 development of a clean CVD process by evaluation and control of gas phase nucleation phenomena; 1995 nendo kisokaku seisei gensho no hyoka to seigyo ni yoru clean CVD process no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-03-01

    The purpose of this study is to develop a high-rate and clean chemical vapor deposition (CVD) process as a breakthrough technique to overcome the problems that particles generated in the gas phase during CVD process for preparation of functional thin films cause reduced product yield and deterioration of the films. In the CVD process proposed here, reactant gas and generated particles are electrically charged to control the motion of them with an electric field. In this study, gas-phase nucleation phenomena are evaluated both theoretically and experimentally. A high-rate, ionized CVD method is first developed, in which reactant gas and generated particles are charged with negative ions generated from a radioisotope source and the UV/photoelectron method, and the motion of the charged gas and particles is controlled with an electric field. Charging and transport processes of fine particles are then investigated experimentally and theoretically to develop a clean CVD method in which generated particles are removed with the electric forces. As a result, quantitative evaluation of the charging and transport process was made possible. We also developed devices for measuring the size distribution and concentration of fine particles in low pressure gas such as those found in plasma CVD processes. In addition, numerical simulation and experiments in this study for a TEOS/O{sub 3} CVD process to prepare thin films could determine reaction rates which have not been known so far and give information on selecting good operation conditions for the process. (NEDO)

  1. Towards Coleoptera-specific high-throughput screening systems for compounds with ecdysone activity: development of EcR reporter assays using weevil (Anthonomus grandis)-derived cell lines and in silico analysis of ligand binding to A. grandis EcR ligand-binding pocket.

    Science.gov (United States)

    Soin, Thomas; Iga, Masatoshi; Swevers, Luc; Rougé, Pierre; Janssen, Colin R; Smagghe, Guy

    2009-08-01

    Molting in insects is regulated by ecdysteroids and juvenile hormones. Several synthetic non-steroidal ecdysone agonists are on the market as insecticides. These ecdysone agonists are dibenzoylhydrazine (DBH) analogue compounds that manifest their toxicity via interaction with the ecdysone receptor (EcR). Of the four commercial available ecdysone agonists, three (tebufenozide, methoxyfenozide and chromafenozide) are highly lepidopteran specific, one (halofenozide) is used to control coleopteran and lepidopteran insects in turf and ornamentals. However, compared to the very high binding affinity of these DBH analogues to lepidopteran EcRs, halofenozide has a low binding affinity for coleopteran EcRs. For the discovery of ecdysone agonists that target non-lepidopteran insect groups, efficient screening systems that are based on the activation of the EcR are needed. We report here the development and evaluation of two coleopteran-specific reporter-based screening systems to discover and evaluate ecdysone agonists. The screening systems are based on the cell lines BRL-AG-3A and BRL-AG-3C that are derived from the weevil Anthonomus grandis, which can be efficiently transduced with an EcR reporter cassette for evaluation of induction of reporter activity by ecdysone agonists. We also cloned the almost full length coding sequence of EcR expressed in the cell line BRL-AG-3C and used it to make an initial in silico 3D-model of its ligand-binding pocket docked with ponasterone A and tebufenozide.

  2. Development of the balance equations model for calculation of ion charge-state distribution in ECR ion sources

    International Nuclear Information System (INIS)

    Filippov, A.V.; Shirkov, G.D.; Consoli, F.; Gammino, S.; Ciavola, G.; Celona, L.; Barbarino, S.

    2008-01-01

    The investigation of the widespread model for the calculation of ion charge-state distributions (CSD) in electron cyclotron-resonance ion source based on the set of balance equations is given. The modification of this model that allows one to describe the confinement and accumulation processes of highly charged ions in ECR plasma for gas mixing case more precisely is discussed. The new approach for the time confinement calculation (ions and electrons) based on the theory of Pastukhov is offered, viz. - calculation of confinement times during two step minimization of special type functionals. The results obtained by this approach have been compared with available experimental data

  3. ECR-based atomic collision physics research at ORNL

    International Nuclear Information System (INIS)

    Meyer, F.W.; Bannister, M.E.; Hale, J.W.; Havener, C.C.

    1997-01-01

    After a brief summary of the present capability and configuration of the ORNL Multicharged Ion Research Facility (MIRF), and of upcoming upgrades and expansions, the presently on-line atomic collisions experiments are described. In the process, the utility of intense, cw ion beams extracted from ECR ion sources for low-signal rate experiments is illustrated

  4. Innovative ion sources for accelerators: the benefits of the plasma technology

    Czech Academy of Sciences Publication Activity Database

    Gammino, S.; Ciavola, G.; Celona, L.; Torrisi, L.; Ando, L.; Presti, M.; Láska, Leoš; Krása, Josef; Wolowski, J.

    2004-01-01

    Roč. 54, Suppl. C (2004), s. C883-C888 ISSN 0011-4626. [Symposium on Plasma Physics and Technology /21./. Praha, 14.06.2004-17.06.2004] R&D Projects: GA AV ČR IAA1010405 Institutional research plan: CEZ:AV0Z1010921 Keywords : plasma sources * ion sources * proton sources * ECR Subject RIV: BL - Plasma and Gas Discharge Physics Impact factor: 0.292, year: 2004

  5. Synthesis of crystalline Ge nanoclusters in PE-CVD-deposited SiO2 films

    DEFF Research Database (Denmark)

    Leervad Pedersen, T.P.; Skov Jensen, J.; Chevallier, J.

    2005-01-01

    The synthesis of evenly distributed Ge nanoclusters in plasma-enhanced chemical-vapour-deposited (PE-CVD) SiO2 thin films containing 8 at. % Ge is reported. This is of importance for the application of nanoclusters in semiconductor technology. The average diameter of the Ge nanoclusters can...

  6. Heavy ion beams from the new Hungarian ECR ion source

    International Nuclear Information System (INIS)

    Biri, S.; Valek, A.; Ditroi, F.; Koivisto, H.; Arje, J.; Stiebing, K.; Schmidt, L.

    1998-01-01

    The first beams of highly charged ions in Hungary were obtained in fall of 1996. The new 14.5 GHz ECR ion source of ATOMKI produced beams of multiply charged ions with remarkable intensities at first experiments. Since then, numerous further developments were carried out. An external electrondonor electrode drastically increased the plasma density and, consequently, the intensity of highly charged ions. These upgrades concentrated mainly on beams from gaseous elements and were carried out by the ECRIS team of ATOMKI. Another series of experiments - ionising from solids - however, was done in the framework of an international collaboration. The first metal ion beam has been extracted from the ECRIS in November 1997 using the known method of Metal Ions from Volatile Compounds (MIVOC). The possibility to put the MIVOC chamber inside the ion source was also tested and the dosing regulation problem of metal vapours inside the ion source was solved. As a result, beams of more than 10 μA of highly charged Fe and Ni ions were produced. (author)

  7. Electron cyclotron resonance hydrogen/helium plasma characterization and simulation of pumping in tokamaks

    International Nuclear Information System (INIS)

    Outten, C.A.

    1992-01-01

    Electron Cyclotron Resonance (ECR) plasmas have been employed to simulate the plasma conditions at the edge of a tokamak in order to investigate hydrogen/helium uptake in thin metal films. The process of microwave power absorption, important to characterizing the ECR plasma source, was investigated by measuring the electron density and temperature with a Langmuir probe and optical spectroscopy as a function of the magnetic field gradient and incident microwave power. A novel diagnostic, carbon resistance probe, provided a direct measure of the ion energy and fluence while measurements from a Langmuir probe were used for comparison. The Langmuir probe gave a plasma potential minus floating potential of 30 ± 5 eV, in good agreement with the carbon resistance probe result of ion energy ≤ 40 eV. The measured ion energy was consistent with the ion energy predicted from a model based upon divergent magnetic field extraction. Also, based upon physical sputtering of the carbon, the hydrogen fluence rate was determined to be 1 x 10 16 /cm 2 -sec for 50 Watts of incident microwave power. ECR hydrogen/helium plasmas were used to study preferential pumping of helium in candidate materials for tokamak pump-limiters: nickel, vanadium, aluminum, and nickel/aluminum multi-layers. Nickel and vanadium exhibited similar pumping capacities whereas aluminum showed a reduced capacity due to increased sputtering. A helium retention model based upon ion implantation ranges and sputtering rates agreed with the experimental data. A new multilayer/bilayer pumping concept showed improved pumping above that for single element films

  8. Modelling RF-plasma interaction in ECR ion sources

    Directory of Open Access Journals (Sweden)

    Mascali David

    2017-01-01

    Full Text Available This paper describes three-dimensional self-consistent numerical simulations of wave propagation in magnetoplasmas of Electron cyclotron resonance ion sources (ECRIS. Numerical results can give useful information on the distribution of the absorbed RF power and/or efficiency of RF heating, especially in the case of alternative schemes such as mode-conversion based heating scenarios. Ray-tracing approximation is allowed only for small wavelength compared to the system scale lengths: as a consequence, full-wave solutions of Maxwell-Vlasov equation must be taken into account in compact and strongly inhomogeneous ECRIS plasmas. This contribution presents a multi-scale temporal domains approach for simultaneously including RF dynamics and plasma kinetics in a “cold-plasma”, and some perspectives for “hot-plasma” implementation. The presented results rely with the attempt to establish a modal-conversion scenario of OXB-type in double frequency heating inside an ECRIS testbench.

  9. Plasma calprotectin and its association with cardiovascular disease manifestations, obesity and the metabolic syndrome in type 2 diabetes mellitus patients

    DEFF Research Database (Denmark)

    Pedersen, Lise; Nybo, M.; Poulsen, M. K.

    2014-01-01

    Background: Plasma calprotectin is a potential biomarker of cardiovascular disease (CVD), insulin resistance (IR), and obesity. We examined the relationship between plasma calprotectin concentrations, CVD manifestations and the metabolic syndrome (MetS) in patients with type 2 diabetes mellitus (T2......DM) in order to evaluate plasma calprotectin as a risk assessor of CVD in diabetic patients without known CVD. Methods: An automated immunoassay for determination of plasma calprotectin was developed based on a fecal Calprotectin ELIA, and a reference range was established from 120 healthy adults...... associated with obesity, MetS status, autonomic neuropathy, PAD, and MI. However, plasma calprotectin was not an independent predictor of CVD, MI, autonomic neuropathy or PAD....

  10. Preliminary Ionization Efficiencies of 11C and 14O with the LBNL ECR Ion Sources

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Cerny, J.; Guo, F.Q.; Joosten, R.; Larimer, R.M.; Lyneis, C.M.; McMahan, P.; Norman, E.B.; O'Neil, J.P.; Powell, J.; Rowe, M.W.; VanBrocklin, H.F.; Wutte, D.; Xu, X.J.; Haustein, P.

    1998-01-01

    High charge states, up to fully stripped 11 C and 14 O ion, beams have been produced with the electron cyclotron resonance ion sources (LBNL, ECR and AECR-U) at Lawrence Berkeley National Laboratory. The radioactive atoms of 11 C and 14 O were collected in batch mode with an LN 2 trap and then bled into the ECR ion sources. Ionization efficiency as high as 11% for 11 C 4+ was achieved

  11. Toroidal plasma enhanced CVD of diamond films

    International Nuclear Information System (INIS)

    Zvanya, John; Cullen, Christopher; Morris, Thomas; Krchnavek, Robert R.; Holber, William; Basnett, Andrew; Basnett, Robert; Hettinger, Jeffrey

    2014-01-01

    An inductively coupled toroidal plasma source is used as an alternative to microwave plasmas for chemical vapor deposition of diamond films. The source, operating at a frequency of 400 kHz, synthesizes diamond films from a mixture of argon, methane, and hydrogen. The toroidal design has been adapted to create a highly efficient environment for diamond film deposition: high gas temperature and a short distance from the sample to the plasma core. Using a toroidal plasma geometry operating in the medium frequency band allows for efficient (≈90%) coupling of AC line power to the plasma and a scalable path to high-power and large-area operation. In test runs, the source generates a high flux of atomic hydrogen over a large area, which is favorable for diamond film growth. Using a deposition temperature of 900–1050 °C and a source to sample distance of 0.1–2.0 cm, diamond films are deposited onto silicon substrates. The results showed that the deposition rate of the diamond films could be controlled using the sample temperature and source to sample spacing. The results also show the films exhibit good-quality polycrystalline diamond as verified by Raman spectroscopy, x-ray diffraction, and scanning electron microscopy. The scanning electron microscopy and x-ray diffraction results show that the samples exhibit diamond (111) and diamond (022) crystallites. The Raman results show that the sp 3 peak has a narrow spectral width (FWHM 12 ± 0.5 cm −1 ) and that negligible amounts of the sp 2 band are present, indicating good-quality diamond films

  12. Superhydrophobic Copper Surfaces with Anticorrosion Properties Fabricated by Solventless CVD Methods.

    Science.gov (United States)

    Vilaró, Ignasi; Yagüe, Jose L; Borrós, Salvador

    2017-01-11

    Due to continuous miniaturization and increasing number of electrical components in electronics, copper interconnections have become critical for the design of 3D integrated circuits. However, corrosion attack on the copper metal can affect the electronic performance of the material. Superhydrophobic coatings are a commonly used strategy to prevent this undesired effect. In this work, a solventless two-steps process was developed to fabricate superhydrophobic copper surfaces using chemical vapor deposition (CVD) methods. The superhydrophobic state was achieved through the design of a hierarchical structure, combining micro-/nanoscale domains. In the first step, O 2 - and Ar-plasma etchings were performed on the copper substrate to generate microroughness. Afterward, a conformal copolymer, 1H,1H,2H,2H-perfluorodecyl acrylate-ethylene glycol diacrylate [p(PFDA-co-EGDA)], was deposited on top of the metal via initiated CVD (iCVD) to lower the surface energy of the surface. The copolymer topography exhibited a very characteristic and unique nanoworm-like structure. The combination of the nanofeatures of the polymer with the microroughness of the copper led to achievement of the superhydrophobic state. AFM, SEM, and XPS were used to characterize the evolution in topography and chemical composition during the CVD processes. The modified copper showed water contact angles as high as 163° and hysteresis as low as 1°. The coating withstood exposure to aggressive media for extended periods of time. Tafel analysis was used to compare the corrosion rates between bare and modified copper. Results indicated that iCVD-coated copper corrodes 3 orders of magnitude slower than untreated copper. The surface modification process yielded repeatable and robust superhydrophobic coatings with remarkable anticorrosion properties.

  13. Effect of ECR on the Learning and Memory Dysfunction of the Rats Induced by Aβ25-35 Involved in ChAT Activity

    Institute of Scientific and Technical Information of China (English)

    YANGSu-Fen; YANGZheng-Qin; LiYu; WuQin; HUANGXie-Nan; SUNAn-Sheng; ZHOUQi-Xin; SHIJing-Shan

    2004-01-01

    Objective: To explore the mechanism of Ecdysterone (ECR) in prevention of learning and memory dysfunction of the rats induced by β-amyloid peptide ( Aβ25-35 ). Methods: Ninety Wistar male rats were randomly divided into five groups, the control group, the model group, the treated groups (ECR 4mg·kg-1 and ECR 8mg·kg-1 and Nimodipine

  14. 1+-n+ ECR ION SOURCE DEVELOPMENT TEST STAND

    International Nuclear Information System (INIS)

    Donald P. May

    2006-01-01

    A test stand for the investigation of 1+-n+ charge boosting using an ECR ion sources is currently being assembled at the Texas A and M Cyclotron Institute. The ultimate goal is to relate the charge-boosting of ions of stable species to possible charge-boosting of ions of radioactive species extracted from the diverse, low-charge-state ion sources developed for radioactive ion beams

  15. Observation of high-temperature bubbles in an ECR plasma

    Science.gov (United States)

    Terasaka, K.; Yoshimura, S.; Tanaka, M. Y.

    2018-05-01

    Creation and annihilation of high-temperature bubbles have been observed in an electron cyclotron resonance plasma. The electron temperature in the bubble core is three times higher than that in the ambient region, and the size perpendicular to the magnetic field is much smaller than the plasma diameter. Formation of a bubble accompanies large negative spikes in the floating potential of a Langmuir probe, and the spatiotemporal behavior of the bubble has been visualized with a high-impedance wire grid detector. It is found that the bubble is in a prolate spheroidal shape with the axis along the magnetic field and occurs randomly in time and independently in space.

  16. ECR plasma source in a flaring magnetic field

    International Nuclear Information System (INIS)

    Meis, C.; Compant La Fontaine, A.; Louvet, P.

    1992-01-01

    The propagation and absorption of an electromagnetic wave, near the electron cyclotron zone, of a cold plasma (T e ∼ 1-5 eV) confined in a flaring magnetic field is studied. The case of both extraordinary and ordinary modes has been considered. Temperature effects and electron-neutral collisions have been taken account in the dielectric tensor

  17. Optical study of defects in nanodiamond films grown in linear antenna microwave plasma CVD from H.sub.2./sub./CH.sub.4./sub./CO.sub.2./sub. gas mixture

    Czech Academy of Sciences Publication Activity Database

    Varga, Marián; Remeš, Zdeněk; Babchenko, Oleg; Kromka, Alexander

    2012-01-01

    Roč. 249, č. 12 (2012), s. 2635-2639 ISSN 0370-1972 R&D Projects: GA ČR(CZ) GAP108/11/0794; GA ČR GAP205/12/0908; GA MŠk LH12236 Institutional research plan: CEZ:AV0Z10100521 Keywords : nanocrystalline diamond * optical spectroscopy * wide band gap semiconductors * pulsed linear plasma CVD Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.489, year: 2012

  18. Future prospect of remote Cat-CVD on the basis of the production, transportation and detection of H atoms

    International Nuclear Information System (INIS)

    Umemoto, Hironobu; Matsumura, Hideki

    2008-01-01

    The future prospect of remote Cat-CVD, in which the decomposition and the deposition chambers are separated, is discussed on the basis of the absolute density measurements of H atoms. It is now well recognized that uniform deposition is possible on a large area without plasma damages by Cat-CVD. However, we may not overlook the demerits in Cat-CVD. One of the demerits is the poisoning of the catalyzer surfaces by the material gases, both temporary and permanent. One technique to overcome this problem is remote Cat-CVD. The question is how to separate the decomposition and deposition areas. If the separation is not enough, there should be back diffusion of the material gases, which will poison the catalyzers. If the separation is too tight, radicals may not effuse out from the decomposition chamber. These problems are discussed and it is shown that SiO 2 coating to reduce the radical recombination rates on walls is promising. The possibility of the polytetrafluoroethene coating by Cat-CVD is also discussed

  19. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    International Nuclear Information System (INIS)

    Takahashi, Masayuki; Ohnishi, Naofumi

    2016-01-01

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  20. Plasma filamentation and shock wave enhancement in microwave rockets by combining low-frequency microwaves with external magnetic field

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Masayuki, E-mail: m.takahashi@al.t.u-tokyo.ac.jp [Department of Aeronautics and Astronautics, The University of Tokyo, Bunkyo-ku 113-8656 (Japan); Ohnishi, Naofumi [Department of Aerospace Engineering, Tohoku University, Sendai 980-8579 (Japan)

    2016-08-14

    A filamentary plasma is reproduced based on a fully kinetic model of electron and ion transports coupled with electromagnetic wave propagation. The discharge plasma transits from discrete to diffusive patterns at a 110-GHz breakdown, with decrease in the ambient pressure, because of the rapid electron diffusion that occurs during an increase in the propagation speed of the ionization front. A discrete plasma is obtained at low pressures when a low-frequency microwave is irradiated because the ionization process becomes more dominant than the electron diffusion, when the electrons are effectively heated by the low-frequency microwave. The propagation speed of the plasma increases with decrease in the incident microwave frequency because of the higher ionization frequency and faster plasma diffusion resulting from the increase in the energy-absorption rate. An external magnetic field is applied to the breakdown volume, which induces plasma filamentation at lower pressures because the electron diffusion is suppressed by the magnetic field. The thrust performance of a microwave rocket is improved by the magnetic fields corresponding to the electron cyclotron resonance (ECR) and its higher-harmonic heating, because slower propagation of the ionization front and larger energy-absorption rates are obtained at lower pressures. It would be advantageous if the fundamental mode of ECR heating is coupled with a lower frequency microwave instead of combining the higher-harmonic ECR heating with the higher frequency microwave. This can improve the thrust performance with smaller magnetic fields even if the propagation speed increases because of the decrease in the incident microwave frequency.

  1. Effect of magnetic field gradient on power absorption in compact microwave plasma sources

    International Nuclear Information System (INIS)

    Dey, Indranuj; Shamim, Md.; Bhattacharjee, Sudeep

    2006-01-01

    We study the effect of the change in magnetic field gradient at the electron cyclotron resonance (ECR) point, on the generated plasma for two different cylindrical minimum B-field configurations, viz. the hexapole and the octupole. The plasma parameters such as the electron and ion density, electron temperature including the wave field characteristics (B-field and E-field) in the plasma will be measured and compared for the two configurations. (author)

  2. Advancement of highly charged ion beam production by superconducting ECR ion source SECRAL (invited)

    International Nuclear Information System (INIS)

    Sun, L.; Lu, W.; Zhang, W. H.; Feng, Y. C.; Qian, C.; Ma, H. Y.; Zhang, X. Z.; Zhao, H. W.; Guo, J. W.; Yang, Y.; Fang, X.

    2016-01-01

    At Institute of Modern Physics (IMP), Chinese Academy of Sciences (CAS), the superconducting Electron Cyclotron Resonance (ECR) ion source SECRAL (Superconducting ECR ion source with Advanced design in Lanzhou) has been put into operation for about 10 years now. It has been the main working horse to deliver intense highly charged heavy ion beams for the accelerators. Since its first plasma at 18 GHz, R&D work towards more intense highly charged ion beam production as well as the beam quality investigation has never been stopped. When SECRAL was upgraded to its typical operation frequency 24 GHz, it had already showed its promising capacity of very intense highly charged ion beam production. And it has also provided the strong experimental support for the so called scaling laws of microwave frequency effect. However, compared to the microwave power heating efficiency at 18 GHz, 24 GHz microwave heating does not show the ω 2 scale at the same power level, which indicates that microwave power coupling at gyrotron frequency needs better understanding. In this paper, after a review of the operation status of SECRAL with regard to the beam availability and stability, the recent study of the extracted ion beam transverse coupling issues will be discussed, and the test results of the both TE 01 and HE 11 modes will be presented. A general comparison of the performance working with the two injection modes will be given, and a preliminary analysis will be introduced. The latest results of the production of very intense highly charged ion beams, such as 1.42 emA Ar 12+ , 0.92 emA Xe 27+ , and so on, will be presented

  3. Developmental regulation of ecdysone receptor (EcR and EcR-controlled gene expression during pharate-adult development of honeybees (Apis mellifera.

    Directory of Open Access Journals (Sweden)

    Tathyana Rachel Palo Mello

    2014-12-01

    Full Text Available Major developmental transitions in multicellular organisms are driven by steroid hormones. In insects, these, together with juvenile hormone (JH, control development, metamorphosis, reproduction and aging, and are also suggested to play an important role in caste differentiation of social insects. Here, we aimed to determine how EcR transcription and ecdysteroid titers are related during honeybee postembryonic development and what may actually be the role of EcR in caste development of this social insect. In addition, we expected that knocking-down EcR gene expression would give us information on the participation of the respective protein in regulating downstream targets of EcR. We found that in Apis mellifera females, EcR-A is the predominantly expressed variant in postembryonic development, while EcR-B transcript levels are higher in embryos, indicating an early developmental switch in EcR function. During larval and pupal stages, EcR-B expression levels are very low, while EcR-A transcripts are more variable and abundant in workers compared to queens. Strikingly, these transcript levels are opposite to the ecdysteroid titer profile. 20-hydroxyecdysone (20E application experiments revealed that low 20E levels induce EcR expression during development, whereas high ecdysteroid titers seem to be repressive. By means of RNAi-mediated knockdown (KD of both EcR transcript variants we detected the differential expression of 234 poly-A+ transcripts encoding genes such as CYPs, MRJPs and certain hormone response genes (Kr-h1 and ftz-f1. EcR-KD also promoted the differential expression of 70 miRNAs, including highly conserved ones (e.g. miR-133 and miR-375, as well honeybee-specific ones (e.g. miR-3745 and miR-3761. Our results put in evidence a broad spectrum of EcR-controlled gene expression during postembryonic development of honeybees, revealing new facets of EcR biology in this social insect.

  4. Electron cyclotron resonance ion source plasma characterization by X-ray spectroscopy and X-ray imaging

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, David, E-mail: davidmascali@lns.infn.it; Castro, Giuseppe; Celona, Luigi; Neri, Lorenzo; Gammino, Santo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Biri, Sándor; Rácz, Richárd; Pálinkás, József [Institute for Nuclear Research (Atomki), Hungarian Academy of Sciences, Bem tér 18/c, H-4026 Debrecen (Hungary); Caliri, Claudia [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università degli Studi di Catania, Dip.to di Fisica e Astronomia, via Santa Sofia 64, 95123 Catania (Italy); Romano, Francesco Paolo [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); CNR, Istituto per i Beni Archeologici e Monumentali, Via Biblioteca 4, 95124 Catania (Italy); Torrisi, Giuseppe [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy); Università Mediterranea di Reggio Calabria, DIIES, Via Graziella, I-89100 Reggio Calabria (Italy)

    2016-02-15

    An experimental campaign aiming to investigate electron cyclotron resonance (ECR) plasma X-ray emission has been recently carried out at the ECRISs—Electron Cyclotron Resonance Ion Sources laboratory of Atomki based on a collaboration between the Debrecen and Catania ECR teams. In a first series, the X-ray spectroscopy was performed through silicon drift detectors and high purity germanium detectors, characterizing the volumetric plasma emission. The on-purpose developed collimation system was suitable for direct plasma density evaluation, performed “on-line” during beam extraction and charge state distribution characterization. A campaign for correlating the plasma density and temperature with the output charge states and the beam intensity for different pumping wave frequencies, different magnetic field profiles, and single-gas/gas-mixing configurations was carried out. The results reveal a surprisingly very good agreement between warm-electron density fluctuations, output beam currents, and the calculated electromagnetic modal density of the plasma chamber. A charge-coupled device camera coupled to a small pin-hole allowing X-ray imaging was installed and numerous X-ray photos were taken in order to study the peculiarities of the ECRIS plasma structure.

  5. CVD-graphene for low equivalent series resistance in rGO/CVD-graphene/Ni-based supercapacitors

    Science.gov (United States)

    Kwon, Young Hwi; Kumar, Sunil; Bae, Joonho; Seo, Yongho

    2018-05-01

    Reduced equivalent series resistance (ESR) is necessary, particularly at a high current density, for high performance supercapacitors, and the interface resistance between the current collector and electrode material is one of the main components of ESR. In this report, we have optimized chemical vapor deposition-grown graphene (CVD-G) on a current collector (Ni-foil) using reduced graphene oxide as an active electrode material to fabricate an electric double layer capacitor with reduced ESR. The CVD-G was grown at different cooling rates—20 °C min‑1, 40 °C min‑1 and 100 °C min‑1—to determine the optimum conditions. The lowest ESR, 0.38 Ω, was obtained for a cell with a 100 °C min‑1 cooling rate, while the sample without a CVD-G interlayer exhibited 0.80 Ω. The CVD-G interlayer-based supercapacitors exhibited fast CD characteristics with high scan rates up to 10 Vs‑1 due to low ESR. The specific capacitances deposited with CVD-G were in the range of 145.6 F g‑1–213.8 F g‑1 at a voltage scan rate of 0.05 V s‑1. A quasi-rectangular behavior was observed in the cyclic voltammetry curves, even at very high scan rates of 50 and 100 V s‑1, for the cell with optimized CVD-G at higher cooling rates, i.e. 100 °C min‑1.

  6. VUV emission spectroscopy diagnostics of a 14 GHz ECR negative hydrogen ion source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, R., E-mail: duo0364@mail4.doshisha.ac.jp; Ichikawa, T.; Kasuya, T.; Wada, M. [Graduate School of Science and Engineering, Doshisha University, Kyotanabe, Kyoto 610-0394 (Japan); Nishiura, M. [Graduate School of Frontier Sciences The University of Tokyo, Kashiwara, Chiba 277-8561 (Japan); Shimozuma, T. [National lnstitute for Fusion Science, Toki, Gifu 509-5292 (Japan)

    2015-04-08

    Vacuum Ultra Violet(VUV) emission from a 4 cm diameter 2 cm long compact ion source excited by 14 GHz microwave has been investigated. Intensity ratio of band spectrum emission near Ly-α to Ly-α line spectrum is determined from the measured spectrum. which shows preferential excitation of molecules near the entrance of microwave input power. The ratio does not depend strongly upon pressure nor the input microwave power when the intensity is integrated over the volume of the plasma. The spatial distribution of the spectrum intensity ratio exhibits concentrations near microwave inlet and the opposite side where the microwave matching structure is located. The ratio at these peripheral regions is about two times as high as that of the central region. The ratio increased in proportion to the ion source pressure up to about 3.0 Pa, indicating efficient production of high energy electrons by ECR up to this pressure.

  7. ECRIPAC: A new concept for the production and acceleration to very high energies of multiply charged ions using an ECR plasma

    International Nuclear Information System (INIS)

    Geller, R.; Golovanivsky, K.; Melin, G.

    1991-01-01

    A concept of a new ECR device aimed to produce pulsed beams of ions accelerated up to 0.5 GeV/nucleon without the help of any conventional accelerator is exposed. The main idea is the conjunction of two fundamental physical principles experienced formerly in the PLEIADE and GYRAC devices. With the new concept the authors propose to produce, using a very compact device, high energy ion beams with energies and intensities adequate for particle, nuclear and atomic physics as well as for modern technologies and medicine

  8. Turbostratic stacked CVD graphene for high-performance devices

    Science.gov (United States)

    Uemura, Kohei; Ikuta, Takashi; Maehashi, Kenzo

    2018-03-01

    We have fabricated turbostratic stacked graphene with high-transport properties by the repeated transfer of CVD monolayer graphene. The turbostratic stacked CVD graphene exhibited higher carrier mobility and conductivity than CVD monolayer graphene. The electron mobility for the three-layer turbostratic stacked CVD graphene surpassed 10,000 cm2 V-1 s-1 at room temperature, which is five times greater than that for CVD monolayer graphene. The results indicate that the high performance is derived from maintenance of the linear band dispersion, suppression of the carrier scattering, and parallel conduction. Therefore, turbostratic stacked CVD graphene is a superior material for high-performance devices.

  9. Development of KU-band waveguide break for ECR-3 ion source

    International Nuclear Information System (INIS)

    Misra, Anuraag; Prasad, R.K.; Nabhiraj, P.Y.; Mallik, C.

    2011-01-01

    This article describes the analytical design, simulation results, engineering design and testing of WR-62 waveguide break for ECR-3 ion source and it also emphasizes on the estimation of far-field radiation with the use of advanced 3D codes. (author)

  10. Mechanics-driven patterning of CVD graphene for roll-based manufacturing process

    Science.gov (United States)

    Kim, Sang-Min; Jang, Bongkyun; Jo, Kyungmin; Kim, Donghyuk; Lee, Jihye; Kim, Kyung-Shik; Lee, Seung-Mo; Lee, Hak-Joo; Han, Seung Min; Kim, Jae-Hyun

    2017-06-01

    Graphene is considered as a promising material for flexible and transparent electrodes due to its outstanding electrical, optical, and mechanical properties. Efforts to mass-produce graphene electrodes led to the development of roll-to-roll chemical vapor deposition (CVD) graphene growth and transfer, and the only remaining obstacle to the mass-production of CVD graphene electrodes is a cost-effective patterning technique that is compatible with the roll-to-roll manufacturing. Herein, we propose a mechanics-driven technique for patterning graphene synthesized on copper foil (commonly used in roll-to-roll manufacturing). The copper foil is exposed to high temperature for a prolonged period during the CVD growth of graphene, and thus can result in recrystallization and grain growth of the copper foil and thereby reducing to the yield strength. This softening behavior of the copper was carefully controlled to allow simple stamp patterning of the graphene. The strength of the underlying substrate was controlled for the accuracy of the residual patterns. The proposed stamp patterning technique is mask-less and photoresist-free, and can be performed at room temperature without high-energy sources such as lasers or plasma. To demonstrate the capability of this process to produce a continuous electrode, a transparent in-plane supercapacitor was fabricated using the proposed patterning technique.

  11. Design of the compact ECR ion source for heavy-ion therapy

    International Nuclear Information System (INIS)

    Muramatsu, M.; Kitagawa, A.; Sato, S.; Sato, Y.; Yamada, S.; Hattori, T.; Shibuya, S.

    1999-01-01

    Heavy ion cancer treatment is successfully being done at the Heavy Ion Medical Accelerator in Chiba (HIMAC). Design philosophy for the ion sources for medical facilities are as follows: sufficient beam intensity, a few hundred eμA; long lifetime with good stability; easy operation and easy maintenance; and compactness. In order to develop such source for future heavy-ion facilities, we have tested compact electron cyclotron resonance (ECR) ion sources using permanent magnets both for axial and radial confinement of hot electrons. Since the yield of C 2+ ion in the firstly-developed source (2.45 GHz ECR) was 15 eμA and far below the medical requirement (-150 eμA for the HIMAC), a new source has been proposed, having the frequency of 10 GHz. The extracted intensity of C 4+ (and C 2+ ) ions is expected to be higher than 200 eμA. (author)

  12. ECR ion source for variable energy cyclotron

    Energy Technology Data Exchange (ETDEWEB)

    Bose, D K; Taki, G S; Nabhiraj, P Y; Pal, G; Dasgupta, B; Mallik, C; Das, S K; Bandopadhaya, D K; Bhandari, R K [Variable Energy Cyclotron Centre, Calcutta (India)

    1995-09-01

    Some performance characteristics of 6.4 GHz two stage ECR ion source which was under development at this centre is presented. The present ion source will facilitate acceleration of light heavy ions with the existing k=130 variable energy cyclotron. Multiply charged heavy ion (MCHI) beam from the source will also be utilized for atomic physics studies. Oxygen beam has already been used for ion implantation studies. The external injection system under development is nearing completion. Heavy ion beam from cyclotron is expected by end of 1995. (author).

  13. Optimization of ECR-breakdown and plasma discharge formation on T-10 tokamak, using X-mode second harmonic of ECR.

    Directory of Open Access Journals (Sweden)

    Roy I.

    2012-09-01

    Full Text Available In order to obtain breakdown and suitable plasma parameters for low-voltage OH start-up, high level of EC-power was injected into T-10 tokamak. Input HF-power was varied in the range of 0.15–1.0 MW. Two HF-launcher systems with different output beams allowed to inject EC-waves with maximum power density 0.25 MW/cm2 and 0.01 MW/cm2. Dependence of breakdown time delay on HF-power was obtained. It was shown, that optimal plasma parameters were achieved in presence of plasma equilibrium currents I=3 kA (input HF-power=1.0 MW. Electron temperature Te=100÷150 eV and electron density ne=5·1012 cm−3 was measured in these discharges. These parameters remained constant during full HF-pulse-length.

  14. Model of charge-state distributions for electron cyclotron resonance ion source plasmas

    Directory of Open Access Journals (Sweden)

    D. H. Edgell

    1999-12-01

    Full Text Available A computer model for the ion charge-state distribution (CSD in an electron cyclotron resonance ion source (ECRIS plasma is presented that incorporates non-Maxwellian distribution functions, multiple atomic species, and ion confinement due to the ambipolar potential well that arises from confinement of the electron cyclotron resonance (ECR heated electrons. Atomic processes incorporated into the model include multiple ionization and multiple charge exchange with rate coefficients calculated for non-Maxwellian electron distributions. The electron distribution function is calculated using a Fokker-Planck code with an ECR heating term. This eliminates the electron temperature as an arbitrary user input. The model produces results that are a good match to CSD data from the ANL-ECRII ECRIS. Extending the model to 1D axial will also allow the model to determine the plasma and electrostatic potential profiles, further eliminating arbitrary user input to the model.

  15. Plasmas in compact traps: From ion sources to multidisciplinary research

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Galatà, A.; Romano, F. P.; Gammino, S.

    2017-09-01

    In linear (minimum-B) magneto-static traps dense and hot plasmas are heated by electromagnetic radiation in the GHz domain via the Electron Cyclotron Resonance (ECR). The values of plasma density, temperature and confinement times ( n_eτ_i>10^{13} cm ^{-3} s; T_e>10 keV) are similar to the ones of thermonuclear plasmas. The research in this field -devoted to heating and confinement optimization- has been supported by numerical modeling and advanced diagnostics, for probing the plasma especially in a non-invasive way. ECR-based systems are nowadays able to produce extremely intense (tens or hundreds of mA) beams of light ions (p, d, He), and relevant currents of heavier elements (C, O, N) up to heavy ions like Xe, Pb, U. Such beams can be extracted from the trap by a proper electrostatic system. The above-mentioned properties make these plasmas very attractive for interdisciplinary researches also, such as i) nuclear decays rates measurements in stellar-like conditions, ii) energy conversion studies, being exceptional sources of short-wavelength electromagnetic radiation (EUV, X-rays, hard X-rays and gammas, useful in material science and archaeometry), iii) environments allowing precise spectroscopical measurements as benchmarks for magnetized astrophysical plasmas. The talk will give an overview about the state-of-the-art in the field of intense ion sources, and some new perspectives for interdisciplinary research, with a special attention to the developments based at INFN-LNS.

  16. Low-temperature formation of crystalline Si:H/Ge:H heterostructures by plasma-enhanced CVD in combination with Ni-nanodots seeding nucleation

    Science.gov (United States)

    Lu, Yimin; Makihara, Katsunori; Takeuchi, Daichi; Ikeda, Mitsuhisa; Ohta, Akio; Miyazaki, Seiichi

    2017-06-01

    Hydrogenated microcrystalline (µc) Si/Ge heterostructures were prepared on quartz substrates by plasma-enhanced chemical vapor deposition (CVD) from VHF inductively coupled plasma of SiH4 just after GeH4 employing Ni nanodots (NDs) as seeds for crystalline nucleation. The crystallinity of the films and the progress of grain growth were characterized by Raman scattering spectroscopy and atomic force microscopy (AFM), respectively. When the Ge films were grown on Ni-NDs at 250 °C, the growth of µc-Ge films with crystallinity as high as 80% was realized without an amorphous phase near the Ge film/quartz substrate interface. After the subsequent Si film deposition at 250 °C, fine grains were formed in the early stages of film growth on µc-Ge films with compositional mixing (µc-Si0.85Ge0.15:H) caused by the release of large lattice mismatch between c-Si and c-Ge. With further increase in Si:H film thickness, the formation of large grain structures accompanied by fine grains was promoted. These results suggest that crystalline Si/Ge heterojunctions can be used for efficient carrier collection in solar cell application.

  17. Ion-induced crystal damage during plasma-assisted MBE growth of GaN layers

    Science.gov (United States)

    Kirchner, V.; Heinke, H.; Birkle, U.; Einfeldt, S.; Hommel, D.; Selke, H.; Ryder, P. L.

    1998-12-01

    Gallium nitride layers were grown by plasma-assisted molecular-beam epitaxy on (0001)-oriented sapphire substrates using an electron cyclotron resonance (ECR) and a radio frequency (rf) plasma source. An applied substrate bias was varied from -200 to +250 V, resulting in a change of the density and energy of nitrogen ions impinging the growth surface. The layers were investigated by high-resolution x-ray diffractometry and high-resolution transmission electron microscopy (HRTEM). Applying a negative bias during growth has a marked detrimental effect on the crystal perfection of the layers grown with an ECR plasma source. This is indicated by a change in shape and width of (0002) and (202¯5) reciprocal lattice points as monitored by triple axis x-ray measurements. In HRTEM images, isolated basal plane stacking faults were found, which probably result from precipitation of interstitial atoms. The crystal damage in layers grown with a highly negative substrate bias is comparable to that observed for ion implantation processes at orders of magnitude larger ion energies. This is attributed to the impact of ions on the growing surface. None of the described phenomena was observed for the samples grown with the rf plasma source.

  18. CVD diamond windows for infrared synchrotron applications

    International Nuclear Information System (INIS)

    Sussmann, R.S.; Pickles, C.S.J.; Brandon, J.R.; Wort, C.J.H.; Coe, S.E.; Wasenczuk, A.; Dodge, C.N.; Beale, A.C.; Krehan, A.J.; Dore, P.; Nucara, A.; Calvani, P.

    1998-01-01

    This paper describes the attributes that make diamond a unique material for infrared synchrotron beam experiments. New developments in diamond synthesised by Chemical Vapour Deposition (CVD) promise to extend the range of applications which have been hitherto limited by the availability and cost of large-size single-crystal diamond. Polycrystalline CVD diamond components such as large (100 mm) diameter windows with extremely good transparency over a wide spectral range are now commercially available. Properties of CVD diamond of relevance to optical applications, such as mechanical strength, thermal conductivity and absolute bulk absorption, are discussed. It is shown that although some of the properties of CVD diamond (similar to other polycrystalline industrial ceramics) are affected by the grain structure, currently produced CVD diamond optical components have the quality and performance required for numerous demanding applications

  19. RF Plasma Source for Heavy Ion Beam Charge Neutralization

    Science.gov (United States)

    Efthimion, P. C.; Gilson, E.; Grisham, L.; Davidson, R. C.

    2003-10-01

    Highly ionized plasmas are being employed as a medium for charge neutralizing heavy ion beams in order to focus to a small spot size. Calculations suggest that plasma at a density of 1 - 100 times the ion beam density and at a length 0.1-0.5 m would be suitable for achieving a high level of charge neutralization. An ECR source has been built at the Princeton Plasma Physics Laboratory (PPPL) in support of the joint Neutralized Transport Experiment (NTX) at the Lawrence Berkeley National Laboratory (LBNL) to study ion beam neutralization with plasma. The ECR source operates at 13.6 MHz and with solenoid magnetic fields of 0-10 gauss. The goal is to operate the source at pressures 10-5 Torr at full ionization. The initial operation of the source has been at pressures of 10-4 - 10-1 Torr. Electron densities in the range of 10^8 - 10^11 cm-3 have been achieved. Recently, pulsed operation of the source has enabled operation at pressures in the 10-6 Torr range with densities of 10^11 cm-3. Near 100% ionization has been achieved. The source has been integrated with NTX and is being used in the experiments. The plasma is approximately 10 cm in length in the direction of the beam propagation. Modifications to the source will be presented that increase its length in the direction of beam propagation.

  20. CVD diamond Brewster window: feasibility study by FEM analyses

    Directory of Open Access Journals (Sweden)

    Vaccaro A.

    2012-09-01

    Full Text Available Chemical vapor deposition (CVD diamond windows are a crucial component in heating and current drive (H&CD applications. In order to minimize the amount of reflected power from the diamond disc, its thickness must match the desired beam wavelength, thus proper targeting of the plasma requires movable beam reflectors. This is the case, for instance, of the ITER electron cyclotron H&CD system. However, looking at DEMO, the higher heat loads and neutron fluxes could make the use of movable parts close to the plasma difficult. The issue might be solved by using gyrotrons able to tune the beam frequency to the desired resonance, but this concept requires transmission windows that work in a given frequency range, such as the Brewster window. It consists of a CVD diamond disc brazed to two copper cuffs at the Brewster angle. The brazing process is carried out at about 800°C and then the temperature is decreased down to room temperature. Diamond and copper have very different thermal expansion coefficients, therefore high stresses build up during the cool down phase that might lead to failure of the disc. Considering also the complex geometry of the window with the skewed position of the disc, analyses are required in the first place to check its feasibility. The cool down phase was simulated by FEM structural analyses for several geometric and constraint configurations of the window. A study of indirect cooling of the window by water was also performed considering a HE11 mode beam. The results are here reported.

  1. PANDORA, a new facility for interdisciplinary in-plasma physics

    Science.gov (United States)

    Mascali, D.; Musumarra, A.; Leone, F.; Romano, F. P.; Galatà, A.; Gammino, S.; Massimi, C.

    2017-07-01

    PANDORA, Plasmas for Astrophysics, Nuclear Decays Observation and Radiation for Archaeometry, is planned as a new facility based on a state-of-the-art plasma trap confining energetic plasma for performing interdisciplinary research in the fields of Nuclear Astrophysics, Astrophysics, Plasma Physics and Applications in Material Science and Archaeometry: the plasmas become the environment for measuring, for the first time, nuclear decay rates in stellar-like condition (such as 7Be decay and beta-decay involved in s-process nucleosynthesis), especially as a function of the ionization state of the plasma ions. These studies will give important contributions for addressing several astrophysical issues in both stellar and primordial nucleosynthesis environment ( e.g., determination of solar neutrino flux and 7Li Cosmological Problem), moreover the confined energetic plasma will be a unique light source for high-performance stellar spectroscopy measurements in the visible, UV and X-ray domains, offering advancements in observational astronomy. As to magnetic fields, the experimental validation of theoretical first- and second-order Landé factors will drive the layout of next-generation polarimetric units for the high-resolution spectrograph of the future giant telescopes. In PANDORA new plasma heating methods will be explored, that will push forward the ion beam output, in terms of extracted intensity and charge states. More, advanced and optimized injection methods of ions in an ECR plasma will be experimented, with the aim to optimize its capture efficiency. This will be applied to the ECR-based Charge Breeding technique, that will improve the performances of the SPES ISOL-facility at Laboratori Nazionali di Legnaro-INFN. Finally, PANDORA will be suitable for energy conversion, making the plasma a source of high-intensity electromagnetic radiation, for applications in material science and archaeometry.

  2. PANDORA, a new facility for interdisciplinary in-plasma physics

    Energy Technology Data Exchange (ETDEWEB)

    Mascali, D.; Gammino, S. [INFN-Laboratori Nazionali del Sud, Catania (Italy); Musumarra, A. [INFN-Laboratori Nazionali del Sud, Catania (Italy); University of Catania, Department of Physics and Astronomy, Catania (Italy); Leone, F. [INFN-Laboratori Nazionali del Sud, Catania (Italy); University of Catania, Department of Physics and Astronomy, Catania (Italy); INAF-OACT, Catania (Italy); Romano, F.P. [INFN-Laboratori Nazionali del Sud, Catania (Italy); CNR-IBAM, Catania (Italy); Galata, A. [INFN-Laboratori Nazionali di Legnaro, Legnaro (Italy); Massimi, C. [University of Bologna, Department of Physics and Astronomy, Bologna (Italy); INFN-Bologna, Bologna (Italy)

    2017-07-15

    PANDORA, Plasmas for Astrophysics, Nuclear Decays Observation and Radiation for Archaeometry, is planned as a new facility based on a state-of-the-art plasma trap confining energetic plasma for performing interdisciplinary research in the fields of Nuclear Astrophysics, Astrophysics, Plasma Physics and Applications in Material Science and Archaeometry: the plasmas become the environment for measuring, for the first time, nuclear decay rates in stellar-like condition (such as {sup 7}Be decay and beta-decay involved in s-process nucleosynthesis), especially as a function of the ionization state of the plasma ions. These studies will give important contributions for addressing several astrophysical issues in both stellar and primordial nucleosynthesis environment (e.g., determination of solar neutrino flux and {sup 7}Li Cosmological Problem), moreover the confined energetic plasma will be a unique light source for high-performance stellar spectroscopy measurements in the visible, UV and X-ray domains, offering advancements in observational astronomy. As to magnetic fields, the experimental validation of theoretical first- and second-order Lande factors will drive the layout of next-generation polarimetric units for the high-resolution spectrograph of the future giant telescopes. In PANDORA new plasma heating methods will be explored, that will push forward the ion beam output, in terms of extracted intensity and charge states. More, advanced and optimized injection methods of ions in an ECR plasma will be experimented, with the aim to optimize its capture efficiency. This will be applied to the ECR-based Charge Breeding technique, that will improve the performances of the SPES ISOL-facility at Laboratori Nazionali di Legnaro-INFN. Finally, PANDORA will be suitable for energy conversion, making the plasma a source of high-intensity electromagnetic radiation, for applications in material science and archaeometry. (orig.)

  3. Status of the high current permanent magnet 2.45 GHz ECR ion source at Peking University

    International Nuclear Information System (INIS)

    Peng, S.X.; Song, Z.Z.; Yu, J.X.; Ren, H.T.; Zhang, M.; Yuan, Z.X.; Lu, P.N.; Zhao, J.; Chen, J.E.; Guo, Z.Y.; Lu, Y.R.

    2012-01-01

    Several compact 2.45 GHz Electron Cyclotron Resonance Ion Sources (ECRIS) have been developed at Peking University for ion implantation, for the Separated Function Radio Frequency Quadrupole project (SFRFQ) and for the Peking University Neutron Imaging Facility project (PKUNIFTY). Studies on 2.45 GHz ECR ion sources are concentrated on methods of microwave coupling and microwave window design, magnetic field generation and configuration, as well as the extraction electrodes structure. Investigation also covers the influence of the size of plasma chamber on the discharge efficiency and species factor. Up to now, our sources have produced 25 mA of O + ions, 40 mA of He + ions, 10 mA of N + ions, 100 mA of H + ions and 83 mA of D + ions, respectively. The paper is followed by the slides of the presentation. (authors)

  4. Preriminary operation results of JAERI ECR ion source OCTOPUS

    International Nuclear Information System (INIS)

    Yokota, W.; Arakawa, K.; Tachikawa, T.; Satoh, T.; Dupont, C.; Jongen, Y.

    1990-01-01

    An ECR ion source, new OCTOPUS, was built for and AVF cyclotron of the Japan Atomic Energy Research Institute, Takasaki. The design of this source is almost identical to the first built OCTOPUS, except for the RF frequency for the 2nd stage. The first operation of the new OCTOPUS was performed. High intensity of X-ray leakage was measured outside the lead shield wall of the source. (author)

  5. CVD diamond for nuclear detection applications

    International Nuclear Information System (INIS)

    Bergonzo, P.; Brambilla, A.; Tromson, D.; Mer, C.; Guizard, B.; Marshall, R.D.; Foulon, F.

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-industrial basis, CVD diamond detectors have been fabricated for nuclear industry applications in hostile environments. Such devices can operate in harsh environments and overcome limitations encountered with the standard semiconductor materials. Of these, this paper presents devices for the monitoring of the alpha activity in corrosive nuclear waste solutions, such as those encountered in nuclear fuel assembly reprocessing facilities, as well as diamond-based thermal neutron detectors exhibiting a high neutron to gamma selectivity. All these demonstrate the effectiveness of a demanding industrial need that relies on the remarkable resilience of CVD diamond

  6. A superconducting RFQ for an ECR injector

    International Nuclear Information System (INIS)

    Ben-Zvi, I.

    1988-01-01

    The beam dynamics and resonator properties of a superconducting radio-frequency quadrupole (RFQ) for heavy ions are discussed. The motivation is its use as a very low velocity section following an electron cyclotron resonance (ECR) source for injection into a superconducting heavy-ion linac. The constraints on the design and performance of this accelerating structure are presented. Expressions for a limiting stable phase angle and longitudinal and transverse acceptance are derived. A numerical example is given, using the SUNYLAC linac at Sony Stony Brook. Beam-dynamics calculations with PARMTEQ are reported, verifying the theoretical beam-dynamics calculations. (author) 12 refs., 1 tab

  7. Tailoring of materials by atomic oxygen from ECR plasma source

    International Nuclear Information System (INIS)

    Naddaf, Munzer; Bhoraskar, S.V.

    2002-01-01

    Full text: An intense source of oxygen finds important applications in many areas of science, technology and industry. It has been successfully used for surface activation and cleaning in the electronic, chemical and automotive industries. Atomic oxygen and interaction with materials have also a significant importance in space science and technology. This paper describes the detailed studies related to the surface modification and processing of different materials, which include metals and polymers by atomic oxygen produced in microwave assisted electron cyclotron resonance plasma. The energy distribution of ions was measured as a function of plasma parameters and density measurements were supplemented by catalytic probe using nickel and oxidation of silver surface

  8. Diets high in resistant starch increase plasma levels of trimethylamine-N-oxide, a gut microbiome metabolite associated with CVD risk

    Energy Technology Data Exchange (ETDEWEB)

    Bergeron, Nathalie; Williams, Paul T.; Lamendella, Regina; Faghihnia, Nastaran; Grube, Alyssa; Li, Xinmin; Wang, Zeneng; Knight, Rob; Jansson, Janet K.; Hazen, Stanley L.; Krauss, Ronald M.

    2016-12-20

    Production of trimethylamine-N-oxide (TMAO), a biomarker of CVD risk, is dependent on intestinal microbiota, but little is known of dietary conditions promoting changes in gut microbial communities. Resistant starches (RS) alter the human microbiota. We sought to determine whether diets varying in RS and carbohydrate (CHO) content affect plasma TMAO levels. We also assessed postprandial glucose and insulin responses and plasma lipid changes to diets high and low in RS. In a cross-over trial, fifty-two men and women consumed a 2-week baseline diet (41 percentage of energy (%E) CHO, 40 % fat, 19 % protein), followed by 2-week high- and low-RS diets separated by 2-week washouts. RS diets were assigned at random within the context of higher (51–53 %E)v. lower CHO (39–40 %E) intake. Measurements were obtained in the fasting state and, for glucose and insulin, during a meal test matching the composition of the assigned diet. With lower CHO intake, plasma TMAO, carnitine, betaine andγ-butyrobetaine concentrations were higher after the high-v. low-RS diet (P<0·01 each). These metabolites were not differentially affected by highv. low RS when CHO intake was high. Although the high-RS meal reduced postprandial insulin and glucose responses when CHO intake was low (P<0·01 each), RS did not affect fasting lipids, lipoproteins, glucose or insulin irrespective of dietary CHO content. In conclusion, a lower-CHO diet high in RS was associated with higher plasma TMAO levels. These findings, together with the absence of change in fasting lipids, suggest that short-term high-RS diets do not improve markers of cardiometabolic health.

  9. REVIEW OF THE 11TH INTERNATIONAL WORKSHOP ON ECR ION SOURCES

    NARCIS (Netherlands)

    DRENTJE, AG

    At the Workshop, the operation of various new and existing ECR ion sources was reported, with most of the emphasis on new methods to improve the performance and extend the variety of species. Much attention was paid to theoretical aspects, in particular to the basic question of electron heating; a

  10. Fermented dairy food and CVD risk.

    Science.gov (United States)

    Tapsell, Linda C

    2015-04-01

    Fermented dairy foods such as yoghurt and cheese are commonly found in the Mediterranean diet. Recent landmark research has confirmed the effect of the Mediterranean diet on reducing the CVD risk, but the relative contributions of fermented dairy foods have not been fully articulated. The present study provides a review of the relationship between fermented dairy foods consumption and CVD risk in the context of the whole diet. Studies show that people who eat healthier diets may be more likely to consume yoghurt, so there is a challenge in attributing separate effects to yoghurt. Analyses from large population studies list yoghurt as the food most negatively associated with the risk of weight gain (a problem that may lead to CVD). There is some suggestion that fermented dairy foods consumption (yoghurt or cheese) may be associated with reduced inflammatory biomarkers associated with the development of CVD. Dietary trials suggest that cheese may not have the same effect on raising LDL-cholesterol levels as butter with the same saturated fat content. The same might be stated for yoghurt. The use of different probiotic cultures and other aspects of study design remain a problem for research. Nevertheless, population studies from a range of countries have shown that a reduced risk of CVD occurs with the consumption of fermented dairy foods. A combination of evidence is necessary, and more research is always valuable, but indications remain that fermented dairy foods such as cheese and yoghurt are integral to diets that are protective against CVD.

  11. Development and studies on a compact electron cyclotron resonance plasma source

    Science.gov (United States)

    Ganguli, A.; Tarey, R. D.; Arora, N.; Narayanan, R.

    2016-04-01

    It is well known that electron cyclotron resonance (ECR) produced plasmas are efficient, high-density plasma sources and have many industrial applications. The concept of a portable compact ECR plasma source (CEPS) would thus become important from an application point of view. This paper gives details of such a CEPS that is both portable and easily mountable on a chamber of any size. It uses a fully integrated microwave line operating at 2.45 GHz, up to 800 W, cw. The required magnetic field is produced by a set of suitably designed NdFeB ring magnets; the device has an overall length of  ≈60 cm and weighs  ≈14 kg including the permanent magnets. The CEPS was attached to a small experimental chamber to judge its efficacy for plasma production. In the pressure range of 0.5-10 mTorr and microwave power of  ≈400-500 W the experiments indicate that the CEPS is capable of producing high-density plasma (≈9  ×  1011-1012 cm-3) with bulk electron temperature in the range  ≈2-3 eV. In addition, a warm electron population with density and temperature in the range ≈7  ×  108-109 cm-3 and  ≈45-80 eV, respectively has been detected. This warm population plays an important role at high pressures in maintaining the high-density plasma, when plasma flow from the CEPS into the test chamber is strongly affected.

  12. An evolutionary conserved region (ECR in the human dopamine receptor D4 gene supports reporter gene expression in primary cultures derived from the rat cortex

    Directory of Open Access Journals (Sweden)

    Haddley Kate

    2011-05-01

    Full Text Available Abstract Background Detecting functional variants contributing to diversity of behaviour is crucial for dissecting genetics of complex behaviours. At a molecular level, characterisation of variation in exons has been studied as they are easily identified in the current genome annotation although the functional consequences are less well understood; however, it has been difficult to prioritise regions of non-coding DNA in which genetic variation could also have significant functional consequences. Comparison of multiple vertebrate genomes has allowed the identification of non-coding evolutionary conserved regions (ECRs, in which the degree of conservation can be comparable with exonic regions suggesting functional significance. Results We identified ECRs at the dopamine receptor D4 gene locus, an important gene for human behaviours. The most conserved non-coding ECR (D4ECR1 supported high reporter gene expression in primary cultures derived from neonate rat frontal cortex. Computer aided analysis of the sequence of the D4ECR1 indicated the potential transcription factors that could modulate its function. D4ECR1 contained multiple consensus sequences for binding the transcription factor Sp1, a factor previously implicated in DRD4 expression. Co-transfection experiments demonstrated that overexpression of Sp1 significantly decreased the activity of the D4ECR1 in vitro. Conclusion Bioinformatic analysis complemented by functional analysis of the DRD4 gene locus has identified a a strong enhancer that functions in neurons and b a transcription factor that may modulate the function of that enhancer.

  13. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  14. Diagnostics of microwave assisted electron cyclotron resonance plasma source for surface modification of nylon 6

    Science.gov (United States)

    More, Supriya E.; Das, Partha Sarathi; Bansode, Avinash; Dhamale, Gayatri; Ghorui, S.; Bhoraskar, S. V.; Sahasrabudhe, S. N.; Mathe, Vikas L.

    2018-01-01

    Looking at the increasing scope of plasma processing of materials surface, here we present the development and diagnostics of a microwave assisted Electron Cyclotron Resonance (ECR) plasma system suitable for surface modification of polymers. Prior to the surface-treatment, a detailed diagnostic mapping of the plasma parameters throughout the reactor chamber was carried out by using single and double Langmuir probe measurements in Ar plasma. Conventional analysis of I-V curves as well as the elucidation form of the Electron Energy Distribution Function (EEDF) has become the source of calibration of plasma parameters in the reaction chamber. The high energy tail in the EEDF of electron temperature is seen to extend beyond 60 eV, at much larger distances from the ECR zone. This proves the suitability of the rector for plasma processing, since the electron energy is much beyond the threshold energy of bond breaking in most of the polymers. Nylon 6 is used as a representative candidate for surface processing in the presence of Ar, H2 + N2, and O2 plasma, treated at different locations inside the plasma chamber. In a typical case, the work of adhesion is seen to almost get doubled when treated with oxygen plasma. Morphology of the plasma treated surface and its hydrophilicity are discussed in view of the variation in electron density and electron temperature at these locations. Nano-protrusions arising from plasma treatment are set to be responsible for the hydrophobicity. Chemical sputtering and physical sputtering are seen to influence the surface morphology on account of sufficient electron energies and increased plasma potential.

  15. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible microwave plasma methane pyrolysis reactor is proposed to recover hydrogen which is lost as methane in the...

  16. CVD diamond for nuclear detection applications

    CERN Document Server

    Bergonzo, P; Tromson, D; Mer, C; Guizard, B; Marshall, R D; Foulon, F

    2002-01-01

    Chemically vapour deposited (CVD) diamond is a remarkable material for the fabrication of radiation detectors. In fact, there exist several applications where other standard semiconductor detectors do not fulfil the specific requirements imposed by corrosive, hot and/or high radiation dose environments. The improvement of the electronic properties of CVD diamond has been under intensive investigations and led to the development of a few applications that are addressing specific industrial needs. Here, we report on CVD diamond-based detector developments and we describe how this material, even though of a polycrystalline nature, is readily of great interest for applications in the nuclear industry as well as for physics experiments. Improvements in the material synthesis as well as on device fabrication especially concern the synthesis of films that do not exhibit space charge build up effects which are often encountered in CVD diamond materials and that are highly detrimental for detection devices. On a pre-i...

  17. Microwave Excitation In ECRIS plasmas

    International Nuclear Information System (INIS)

    Ciavola, G.; Celona, L.; Consoli, F.; Gammino, S.; Maimone, F.; Barbarino, S.; Catalano, R. S.; Mascali, D.; Tumino, L.

    2007-01-01

    A number of phenomena related to the electron cyclotron resonance ion sources (ECRIS) has been better understood recently by means of the improvement of comprehension of the coupling mechanism between microwave generators and ECR plasma. In particular, the two frequency heating and the frequency tuning effect, that permit a remarkable increase of the current for the highest charge states ions, can be explained in terms of modes excitation in the cylindrical cavity of the plasma chamber. Calculations based on this theoretical approach have been performed, and the major results will be presented. It will be shown that the electric field pattern completely changes for a few MHz frequency variations and the changes in ECRIS performances can be correlated to the efficiency of the power transfer between electromagnetic field and plasma

  18. Ca-48 handling for a cyclotron ECR ion source to produce highly intense ion beams

    International Nuclear Information System (INIS)

    Lebedev, V.Ya.; Bogomolov, S.L.; Dmitriev, S.N.; Kutner, V.B.; Shamanin, A.N.; Yakushev, A.B.

    2002-01-01

    Production of highly intense ion beams of 48 Ca is one of the main tasks in experiments carried out within the framework of the synthesis of new superheavy elements. 48 Ca is very rare and expensive isotope, therefore there is necessity to reach the high intensity of ion beams of the isotope at a low consumption rate. Analysis and our preliminary experiments have showed that the best way of producing highly intense calcium ion beams is evaporation of metallic calcium in an ECR ion source. So we have developed a technique of metallic 48 Ca production by reducing CaO (this chemical form is available at the market with 40-80% of 48 Ca ) with aluminium powder. We used two tantalum crucibles: a larger, with a mixture of CaO + Al heated up to 1250 deg C, which was connected to the smaller (2 mm I.D. and 30 mm long) in which calcium vapour condensed. The temperature distribution in the small crucible was about 50 deg C at the bottom and about 500 deg C in the middle of the crucible. The pressure inside of the set-up was between 0.1 and 1 Pa. The production rate of metallic 48 Ca was 10-20 mg/h. The crucible with the condensed metallic Ca in argon atmosphere was transferred to the ECR-4M ion source, where it was inserted in a wired tubular oven and the calcium evaporation was controlled through the oven power supply. The application of metallic 48 Ca as the working substance for the ECR-4M ion source of the U-400 cyclotron of allowed us to approach a stable high intensity of 48 Ca ion beams: the intensities for the internal and external beams were 10 13 c -1 and 3.10 12 c -1 , respectively, at a consumption rate about 0.4 mg/h. A technique was developed for the reclamation of 48 Ca from the residue inside of the large crucible and from the inner parts of the ECR ion source. Extracting Ca from the inner parts of the ion source enabled us to save up to some 25% of the calcium used in the ECR ion source, so that the actual consumption rate was about 0.3 mg/h at the highest 48

  19. Simulation of the electromagnetic field in a cylindrical cavity of an ECR ions source

    Science.gov (United States)

    Estupiñán, A.; Orozco, E. A.; Dugar-Zhabon, V. D.; Murillo Acevedo, M. T.

    2017-12-01

    Now there are numerous sources for multicharged ions production, each being designed for certain science or technological objectives. Electron cyclotron resonance ion sources (ECRIS) are best suited for designing heavy ion accelerators of very high energies, because they can generate multicharged ion beams at relatively great intensities. In these sources, plasma heating and its confinement are effected predominantly in minimum-B magnetic traps, this type of magnetic trap consist of two current coils used for the longitudinal magnetic confinement and a hexapole system around the cavity to generate a transversal confinement of the plasma. In an ECRIS, the electron cyclotron frequency and the microwave frequency are maintained equal on a quasi-ellipsoidal surface localized in the trap volume. It is crucial to heat electrons to energies sufficient to ionize K- and L-levels of heavy atoms. In this work, we present the preliminary numerical results concerning the space distribution of TE 111 microwave field in a cylindrical cavity. The 3D microwave field is calculated by solving the Maxwell equations through the Yee’s method. The magnetic field of minimum-B configuration is determined using the Biot-Savart law. The parameters of the magnetic system are that which guarantee the ECR surface location in a zone of a reasonably high microwave tension. Additionally, the accuracy of electric and magnetic fields calculations are checked.

  20. MgO by injection CVD

    International Nuclear Information System (INIS)

    Abrutis, A.; Kubilius, V.; Teiserkis, A.; Bigelyte, V.; Vengalis, B.; Jukna, A.; Butkute, R.

    1997-01-01

    Epitaxial YBa 2 Cu 3 O 7 layers with 45 in-plane orientation have been grown by injection CVD on MgO substrates polished off-axis to within 1.4-1.9 of the [100] direction. This new single-source CVD process is based on computer-controlled injection of precise microdoses of a metal-organic precursor solution into a CVD reactor. A wide range of solution compositions was tested to investigate compositional effects on phase purity, surface morphology, texturing and superconducting properties of the prepared films. The highest quality films with pure 45 texture had a smooth surface, zero resistance T c (R=0) of 88-89 K, and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.) and critical current density J c (77 K) above 10 6 A/cm 2 . (orig.)

  1. Operational results and development of the E.C.R. sources and the injector into CYCLONE

    International Nuclear Information System (INIS)

    Bol, J.L.; Jongen, Y.; Lacroix, M.; Mathy, F.; Ryckewaert, G.

    1985-01-01

    A large superconducting ECR-source (ECREVIS) has been producing high charge state ions up to Xe 27+ for injection into CYCLONE and as a stand alone source for atomic physics for over two years now. An improved analysing system has been installed increasing greatly the acceptance without harming the resolution. Operational results of transmission and realistic charge state distributions are reported. The design of a more compact ECR-source, to be called OCTOPUS and using water cooled copper solenoids, an open permanent magnet octupole structure and an iron yoke is presented. This source will replace ECREVIS and it is expected to have better performance and to be more reliable and economical to operate

  2. Application of CVD diamond film for radiation detection

    International Nuclear Information System (INIS)

    Zhou Haiyang; Zhu Xiaodong; Zhan Rujuan

    2005-01-01

    With the development of diamond synthesis at low pressure, the CVD diamond properties including electronic characteristics have improved continuously. Now the fabrication of electronic devices based on the CVD diamond has been one of hot research subjects in this field. Due to many unique advantages, such as high signal-noise ratio, fast time response, and normal output in extremely harsh surrounding, the CVD diamond radiation detector has attracted more and more interest. In this paper, we have reviewed the development and status of the CVD diamond radiation detector. The prospect of this detector is described. (authors)

  3. Proceedings of the Japan-US workshop on plasma polarization spectroscopy and the fourth international symposium on plasma polarization spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Fujimoto, Takashi; Beiersdorfer, Peter [eds.

    2004-07-01

    The international meeting on Plasma Polarization Spectroscopy (PPS) was held at Kyoto University during February 4-6, 2004. This Proceedings book includes the summaries of the talks given in that meeting. Starting with the Overview talk by Csanak, the subjects cover: x-ray polarization experiments on z-pinches (plasma foci), and an x-pinch, a laser-produced plasma in a gas atmosphere, an interpretation of the polarized 1<- 0 x-ray laser line, polarization observation from various laser-produced plasmas including a recombining phase plasma, a report on the on-going project of a laser facility, several polarization observations on magnetically confined plasmas including the Large Helical Device and an ECR plasma, a new laser-induced fluorescence diagnostic method. On atomic physics side given are: various polarization measurements on EBIT, precision spectroscopy on the TEXTOR, user-friendly atomic codes. Instrumentation is also a subject of this book. The 18 of the presented papers are indexed individually. (J.P.N.)

  4. New developments in CVD diamond for detector applications

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; de Boer, W.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Dulinski, W.; Doroshenko, J.; van Eijk, B.; Fallou, A.; Fischer, P.; Fizzotti, F.; Furetta, C.; Gan, K. K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K. T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J. L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented.

  5. New developments in CVD diamond for detector applications

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W. [HEPHY, Vienna (Austria); Berdermann, E. [GSI, Darmstadt (Germany); Bergonzo, P.; Brambilla, A. [LETI/DEIN/SPE/CEA Saclay (France); Boer, W. de [Universitaet Karlsruhe, Karlsruhe (Germany); Bogani, F. [LENS, Florence (Italy); Borchi, E.; Bruzzi, M. [University of Florence (Italy); Colledani, C.; Dulinski, W. [LEPSI, IN2P3/CNRS-ULP, Strasbourg (France); Conway, J.; Doroshenko, J. [Rutgers University, Piscataway (United States); D' Angelo, P.; Furetta, C. [INFN, Milano (Italy); Dabrowski, W. [UMM, Cracow (Poland); Delpierre, P.; Fallou, A. [CPPM, Marseille (France); Eijk, B. van [NIKHEF, Amsterdam (Netherlands); Fischer, P. [Universitaet Bonn, Bonn (Germany); Fizzotti, F. [University of Torino (Italy); Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-07-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  6. New developments in CVD diamond for detector applications

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Brambilla, A.; Boer, W. de; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Dulinski, W.; Conway, J.; Doroshenko, J.; D'Angelo, P.; Furetta, C.; Dabrowski, W.; Delpierre, P.; Fallou, A.; Eijk, B. van; Fischer, P.; Fizzotti, F.; Gan, K.K.; Ghodbane, N.; Grigoriev, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kaplon, J.; Kass, R.; Keil, M.; Knoepfle, K.T.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Menichelli, D.; Meuser, S.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pernicka, M.; Perera, L.; Potenza, R.; Riester, J.L.; Roe, S.; Rudge, A.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Sutera, C.; Trischuk, W.; Tromson, D.; Tuve, C.; Vincenzo, B.; Weilhammer, P.; Wermes, N.; Wetstein, M.; Zeuner, W.; Zoeller, M.

    2004-01-01

    Chemical Vapor Deposition (CVD) diamond has been discussed extensively as an alternative sensor material for use very close to the interaction region of the LHC and other machines where extreme radiation conditions exist. During the last seven years the RD42 collaboration has developed diamond detectors and tested them with LHC electronics towards the end of creating a device usable by experiments. The most recent results of this work are presented. Recently, a new form of CVD diamond has been developed: single crystal CVD diamond which resolves many of the issues associated with poly-crystalline CVD material. The first tests of this material are also presented. (orig.)

  7. Micro-strip sensors based on CVD diamond

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M

    2000-10-11

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  8. Micro-strip sensors based on CVD Diamond

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zoeller, M M

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  9. Micro-strip sensors based on CVD diamond

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.

    2000-01-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation

  10. Micro-strip sensors based on CVD diamond

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; RD42 Collaboration

    2000-10-01

    In this article we present the performance of recent chemical vapour deposition (CVD) diamond micro-strip sensors in beam tests. In addition, we present the first comparison of a CVD diamond micro-strip sensor before and after proton irradiation.

  11. Enhanced confinement in electron cyclotron resonance ion source plasma.

    Science.gov (United States)

    Schachter, L; Stiebing, K E; Dobrescu, S

    2010-02-01

    Power loss by plasma-wall interactions may become a limitation for the performance of ECR and fusion plasma devices. Based on our research to optimize the performance of electron cyclotron resonance ion source (ECRIS) devices by the use of metal-dielectric (MD) structures, the development of the method presented here, allows to significantly improve the confinement of plasma electrons and hence to reduce losses. Dedicated measurements were performed at the Frankfurt 14 GHz ECRIS using argon and helium as working gas and high temperature resistive material for the MD structures. The analyzed charge state distributions and bremsstrahlung radiation spectra (corrected for background) also clearly verify the anticipated increase in the plasma-electron density and hence demonstrate the advantage by the MD-method.

  12. A measure of the interfacial shear strength between SiC(CVD)/B(CVD) filament--aluminum matrix by fragmentation method

    International Nuclear Information System (INIS)

    Jiang, Y.Q.; Chen, X.J.; Yang, D.M.; Fei, X.; Pan, J.

    1993-01-01

    The tensile specimens used are of dog-bone shape and consist of single axial SiC (CVD) /B (CVD) filament processed by CVD and embedded in a LD-2 aluminum alloy. Model composite specimens have been fabricated by a high pressure squeeze casting technique. This paper describes the application of an Acoustic Emission Technique for locating the position of fiber breaks and thus determining the length distribution of fiber fragments resulting when a composite specimen containing a single fiber is loaded to failure. The critical lengths (minimal lengths) are checked by Corrosion Method

  13. An assessment of radiotherapy dosimeters based on CVD grown diamond

    International Nuclear Information System (INIS)

    Ramkumar, S.; Buttar, C.M.; Conway, J.; Whitehead, A.J.; Sussman, R.S.; Hill, G.; Walker, S.

    2001-01-01

    Diamond is potentially a very suitable material for use as a dosimeter for radiotherapy. Its radiation hardness, the near tissue equivalence and chemical inertness are some of the characteristics of diamond, which make it well suited for its application as a dosimeter. Recent advances in the synthesis of diamond by chemical vapour deposition (CVD) technology have resulted in the improvement in the quality of material and increased its suitability for radiotherapy applications. We report in this paper, the response of prototype dosimeters based on two different types (CVD1 and CVD2) of CVD diamond to X-rays. The diamond devices were assessed for sensitivity, dependence of response on dose and dose rate, and compared with a Scanditronix silicon photon diode and a PTW natural diamond dosimeter. The diamond devices of CVD1 type showed an initial increase in response with dose, which saturates after ∼6 Gy. The diamond devices of CVD2 type had a response at low fields ( 1162.8 V/cm), the CVD2-type devices showed polarisation and dose-rate dependence. The sensitivity of the CVD diamond devices varied between 82 and 1300 nC/Gy depending upon the sample type and the applied voltage. The sensitivity of CVD diamond devices was significantly higher than that of natural diamond and silicon dosimeters. The results suggest that CVD diamond devices can be fabricated for successful use in radiotherapy applications

  14. Microwave plasma CVD of NANO structured tin/carbon composites

    Science.gov (United States)

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  15. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial

    Science.gov (United States)

    Wang, Dong D.; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A.; Willett, Walter C.; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B.; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A.; Hu, Frank B.

    2017-01-01

    Background Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between over-nutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. Methods The study population consisted of 980 participants from the PREDIMED trial, including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases), followed for up to 7.4 years. Participants were randomized to a Mediterranean diet (MedDiet) supplemented with extra-virgin olive oil, a MedDiet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of non-fatal acute myocardial infarction, non-fatal stroke, or cardiovascular death. Hazard Ratios (HRs) were estimated with weighted Cox regression models, using Barlow weights to account for the case-cohort design. Results The multivariable HRs [95% confidence interval (CI)] comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0 and C24:1 ceramides were 2.39 (1.49–3.83, P trend <0.001), 1.91 (1.21–3.01, P trend =0.003), 1.97 (1.21–3.01, P trend =0.004), and 1.73 (1.09–2.74, P trend =0.011), respectively. The ceramide score, calculated as a weighted sum of concentrations of four ceramides, was associated with a 2.18-fold higher risk of CVD across extreme quartiles (HR =2.18, 95% CI, 1.36–3.49, P trend <0.001). The association between baseline ceramide score and incident CVD varied significantly by treatment groups (P interaction =0.010). Participants with a higher ceramide score and assigned to either of the two active intervention arms of the trial showed similar CVD risk to those with a lower ceramide score, whereas participants

  16. Improvement of a microwave ECR plasma source for the plasma immersion ion implantation and deposition process

    International Nuclear Information System (INIS)

    Wu Hongchen; Zhang Huafang; Peng Liping; Jiang Yanli; Ma Guojia

    2004-01-01

    The Plasma Immersion Ion Implantation and Deposition (PIII and D) process has many advantages over the pure plasma immersion ion implantation or deposition. It can compensate for or eliminate the disadvantages of the shallow modification layer (for PIII) and increase the bond strength of the coating (of deposition). For this purpose, a new type of microwave plasma source used in the PIII and D process was developed, composed of a vacuum bend wave guide and a special magnetic circuit, so that the coupling window was protected from being deposited with a coating and bombarded by high-energy particles. So the life of the window is increased. To enhance the bonding between the coating and substrate a new biasing voltage is applied to the work piece so that the implantation and deposition (or hybrid process) can be completed in one vacuum cycle

  17. RF characteristic of MESFET on H-terminated DC arc jet CVD diamond film

    International Nuclear Information System (INIS)

    Liu, J.L.; Li, C.M.; Zhu, R.H.; Guo, J.C.; Chen, L.X.; Wei, J.J.; Hei, L.F.; Wang, J.J.; Feng, Z.H.; Guo, H.; Lv, F.X.

    2013-01-01

    Diamond has been considered to be a potential material for high-frequency and high-power electronic devices due to the excellent electrical properties. In this paper, we reported the radio frequency (RF) characteristic of metal-semiconductor field effect transistor (MESFET) on polycrystalline diamond films prepared by direct current (DC) arc jet chemical vapor deposition (CVD). First, 4 in polycrystalline diamond films were deposited by DC arc jet CVD in gas recycling mode with the deposition rate of 14 μm/h. Then the polished diamond films were treated by microwave hydrogen plasma and the 0.2 μm-gate-length MESFET was fabricated by using Au mask photolithography and electron beam (EB) lithography. The surface conductivity of the H-terminated diamond film and DC and RF performances of the MESFET were characterized. The results demonstrate that, the carrier mobility of 24.6 cm 2 /V s and the carrier density of 1.096 × 10 13 cm −2 are obtained on the surface of H-terminated diamond film. The FET shows the maximum transition frequency (f T ) of 5 GHz and the maximum oscillation frequency (f max ) of 6 GHz at V GS = −0.5 V and V DS = −8 V, which indicates that H-terminated DC arc jet CVD polycrystalline diamond is suitable for the development of high frequency devices.

  18. SERIJSKA POVEZAVA POS TERMINALA INGENICO 5100 Z NAPRAVO ECR

    OpenAIRE

    Černenšek, Matjaž

    2012-01-01

    Diplomsko delo predstavlja korak naprej k poenostavitvi in pospešitvi izvajanja postopkov finančnih transakcij na prodajnem mestu z uporabo plačilnih kartic in POS terminala. Predstavljena in definirana je ideja fizične povezave dveh naprav, vključenih v ta proces. To sta blagajniška naprava ECR in POS terminal, ki ju z uporabo serijske povezave povežemo tako, da si izmenjujeta podatke za uspešno izvršitev finančne transakcije in druge ključne informacije. Podrobneje je opisana fizična izvedb...

  19. Development of an Ethernet enabled microcontroller based module for Superconducting Cyclotron ECR beam line control

    International Nuclear Information System (INIS)

    Chatterjee, M.; Koley, D.; Nabhiraj, P.Y.

    2012-01-01

    An Ethernet enabled control and data acquisition module is developed for remote control and monitoring of the ECR beam line equipment of the Superconducting Cyclotron. The PIC microcontroller based module supports multiple general purpose analog and digital inputs and outputs for interfacing with various equipments and an embedded web server. The remote monitoring and control of the equipment are achieved through the web based user interface. The user authenticated access to control parameters and module configuration parameters ensures the operational safety of the equipment under control. This module is installed in Superconducting Cyclotron ECR beam line for the control and monitoring of vacuum pumping modules, comprising of pumps, gate valves and dual vacuum gauges. The installation of these modules results in a distributed control with localised field cabling and hence better fault diagnosis. (author)

  20. CVD Diamond Sensors In Detectors For High Energy Physics

    CERN Document Server

    AUTHOR|(INSPIRE)INSPIRE-00334150; Trischuk, William

    At the end of the next decade an upgrade of the Large Hadron Collider (LHC) to High Luminosity LHC (HL-LHC) is planned which requires the development of new radiation tolerant sensor technology. Diamond is an interesting material for use as a particle detector in high radiation environments. The large band gap ($5.47\\,\\text{eV}$) and the large displacement energy suggest that diamond is a radiation tolerant detector material. In this Thesis the capability of Chemical Vapor Deposition (CVD) diamond as such a sensor technology is investigated. The radiation damage constant for $800\\,\\text{MeV}$ protons is measured using single crystalline CVD (scCVD) and polycrystalline CVD (pCVD) diamonds irradiated to particle fluences up to $12 \\times 10^{15}\\,\\text{p/cm}^2$. In addition the signal response of a pCVD diamond detector after an irradiation to $12 \\times 10^{15}\\,\\text{p/cm}^2$ is investigated to determine if such a detector can be operated efficiently in the expected HL-LHC environment. By using electrodes em...

  1. Nitridation of porous GaAs by an ECR ammonia plasma

    International Nuclear Information System (INIS)

    Naddaf, M; Hullavarad, S S; Ganesan, V; Bhoraskar, S V

    2006-01-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy

  2. Nitridation of porous GaAs by an ECR ammonia plasma

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India); Department of Physics, Atomic Energy Commission of Syria, PO Box 6091, Damascus (Syrian Arab Republic); Hullavarad, S S [Center for Superconductivity Research, Department of Physics, University of Maryland, College Park, MD 20742 (United States); Ganesan, V [Inter University Consortium, Indore (India); Bhoraskar, S V [Center for Advanced Studies in Material Science and Solid State Physics, University of Pune, Pune 411 007 (India)

    2006-02-15

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 deg. C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 deg. C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  3. Nitridation of porous GaAs by an ECR ammonia plasma

    Science.gov (United States)

    Naddaf, M.; Hullavarad, S. S.; Ganesan, V.; Bhoraskar, S. V.

    2006-02-01

    The effect of surface porosity of GaAs on the nature of growth of GaN, by use of plasma nitridation of GaAs, has been investigated. Porous GaAs samples were prepared by anodic etching of n-type (110) GaAs wafers in HCl solution. Nitridation of porous GaAs samples were carried out by using an electron-cyclotron resonance-induced ammonia plasma. The formation of mixed phases of GaN was investigated using the grazing angle x-ray diffraction method. A remarkable improvement in the intensity of photoluminescence (PL) compared with that of GaN synthesized by direct nitriding of GaAs surface has been observed. The PL intensity of nitrided porous GaAs at the temperature of 380 °C was found to be about two orders of magnitude higher as compared with the directly nitrided GaAs at the temperature of 500 °C. The changes in the morphology of nitrided porous GaAs have been investigated using both scanning electron microscopy and atomic force microscopy.

  4. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources

    Energy Technology Data Exchange (ETDEWEB)

    Odorici, F., E-mail: fabrizio.odorici@bo.infn.it; Malferrari, L.; Montanari, A. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); Rizzoli, R. [INFN—Bologna, Viale B. Pichat, 6/2, 40127 Bologna (Italy); CNR–Istituto per la Microelettronica ed i Microsistemi, Via Gobetti 101, 40129 Bologna (Italy); Mascali, D.; Castro, G.; Celona, L.; Gammino, S.; Neri, L. [INFN–Laboratori Nazionali del Sud, Via S. Sofia 62, 95125 Catania (Italy)

    2016-02-15

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to “screen” the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  5. Injection of auxiliary electrons for increasing the plasma density in highly charged and high intensity ion sources.

    Science.gov (United States)

    Odorici, F; Malferrari, L; Montanari, A; Rizzoli, R; Mascali, D; Castro, G; Celona, L; Gammino, S; Neri, L

    2016-02-01

    Different electron guns based on cold- or hot-cathode technologies have been developed since 2009 at INFN for operating within ECR plasma chambers as sources of auxiliary electrons, with the aim of boosting the source performances by means of a higher plasma lifetime and density. Their application to microwave discharge ion sources, where plasma is not confined, has required an improvement of the gun design, in order to "screen" the cathode from the plasma particles. Experimental tests carried out on a plasma reactor show a boost of the plasma density, ranging from 10% to 90% when the electron guns are used, as explained by plasma diffusion models.

  6. Plasma copeptin as marker of cardiovascular disease in asymptomatic type 2 diabetes patients

    DEFF Research Database (Denmark)

    Bar-Shalom, Dana; Poulsen, Mikael K; Rasmussen, Lars M

    2014-01-01

    Recently, copeptin was found associated with cardiovascular disease (CVD) and all-cause mortality in type 2 diabetes mellitus (T2DM) patients treated in primary care. This study aimed to evaluate whether plasma copeptin correlated to CVD in asymptomatic T2DM patients intensively investigated....... A variety of clinical investigations were performed, including blood pressure measurements, carotid intima media thickness evaluation and myocardial perfusion scintigraphy. Blood sample analyses included copeptin measurements. Median plasma copeptin concentrations were similar in the T2DM group...... for sub-clinical CVD. A total of 302 T2DM patients referred to the Diabetes Clinic at Odense University Hospital, Denmark, entered the study. None of the patients had known or suspected CVD. As a control group, 30 healthy adults were recruited from the DanRisk study - a random sample of middle-aged Danes...

  7. CVD carbon powders modified by ball milling

    Directory of Open Access Journals (Sweden)

    Kazmierczak Tomasz

    2015-09-01

    Full Text Available Carbon powders produced using a plasma assisted chemical vapor deposition (CVD methods are an interesting subject of research. One of the most interesting methods of synthesizing these powders is using radio frequency plasma. This method, originally used in deposition of carbon films containing different sp2/sp3 ratios, also makes possible to produce carbon structures in the form of powder. Results of research related to the mechanical modification of these powders have been presented. The powders were modified using a planetary ball mill with varying parameters, such as milling speed, time, ball/powder mass ratio and additional liquids. Changes in morphology and particle sizes were measured using scanning electron microscopy and dynamic light scattering. Phase composition was analyzed using Raman spectroscopy. The influence of individual parameters on the modification outcome was estimated using statistical method. The research proved that the size of obtained powders is mostly influenced by the milling speed and the amount of balls. Powders tend to form conglomerates sized up to hundreds of micrometers. Additionally, it is possible to obtain nanopowders with the size around 100 nm. Furthermore, application of additional liquid, i.e. water in the process reduces the graphitization of the powder, which takes place during dry milling.

  8. Growth and characterization of nanodiamond layers prepared using plasma enhanced linear antennas microwave CVD system

    Czech Academy of Sciences Publication Activity Database

    Fendrych, František; Taylor, Andrew; Peksa, Ladislav; Kratochvílová, Irena; Vlček, J.; Řezáčová, V.; Petrák, V.; Kluiber, Z.; Fekete, Ladislav; Liehr, M.; Nesládek, M.

    2010-01-01

    Roč. 43, č. 37 (2010), 374018/1-374018/6 ISSN 0022-3727 R&D Projects: GA AV ČR KAN200100801; GA AV ČR KAN300100801; GA AV ČR KAN301370701 Institutional research plan: CEZ:AV0Z10100520 Keywords : nanodiamond, * thin films * PE MW CVD * linear antennas Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 2.105, year: 2010

  9. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  10. The gate oxide integrity of CVD tungsten polycide

    International Nuclear Information System (INIS)

    Wu, N.W.; Su, W.D.; Chang, S.W.; Tseng, M.F.

    1988-01-01

    CVD tungsten polycide has been demonstrated as a good gate material in recent very large scale integration (VLSI) technology. CVD tungsten silicide offers advantages of low resistivity, high temperature stability and good step coverage. On the other hand, the polysilicon underlayer preserves most characteristics of the polysilicon gate and acts as a stress buffer layer to absorb part of the thermal stress origin from the large thermal expansion coefficient of tungsten silicide. Nevertheless, the gate oxide of CVD tungsten polycide is less stable or reliable than that of polysilicon gate. In this paper, the gate oxide integrity of CVD tungsten polycide with various thickness combinations and different thermal processes have been analyzed by several electrical measurements including breakdown yield, breakdown fluence, room temperature TDDB, I-V characteristics, electron traps and interface state density

  11. CVD mechanism of pyrolytic boron nitride

    International Nuclear Information System (INIS)

    Tanji, H.; Monden, K.; Ide, M.

    1987-01-01

    Pyrolytic boron nitride (P-BN) has become a essential material for III-V compound semiconductor manufacturing process. As the demand from electronics industry for larger single crystals increases, the demand for larger and more economical P-BN components is growing rapidly. P-BN is manufactured by low pressure CVD using boron-trihalides and ammonia as the reactants. In spite that P-BN has been in the market for quite a long time, limited number of fundamental studies regarding the kinetics and the formation mechanism of P-BN have been reported. As it has been demonstrated in CVD of Si, knowledge and both theoretical and empirical modeling of CVD process can be applied to improve the deposition technology and to give more uniform deposition with higher efficiency, and it should also apply to the deposition of P-BN

  12. The effect of magnetic field strength on the time evolution of high energy bremsstrahlung radiation created by an electron cyclotron resonance ion source

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)], E-mail: tommi.ropponen@phys.jyu.fi; Tarvainen, O. [Los Alamos National Laboratory, Los Alamos, NM 87545 (United States); Jones, P.; Peura, P.; Kalvas, T. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland); Suominen, P. [Prizztech Ltd/Magnet Technology Centre, Tiedepuisto 4, FI-28600 Pori (Finland); Koivisto, H.; Arje, J. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FI-40014 (Finland)

    2009-03-11

    An electron cyclotron resonance (ECR) ion source is one of the most used ion source types for high charge state heavy ion production. In ECR plasma the electrons are heated by radio frequency microwaves in order to provide ionization of neutral gases. As a consequence, ECR heating also generates very high electron energies (up to MeV region) which can produce a vast amount of bremsstrahlung radiation causing problems with radiation shielding and heating superconducting cryostat of an ECR ion source. To gain information about the time evolution of the electron energies in ECR plasma radial bremsstrahlung measurements were performed. JYFL 14 GHz ECR ion source was operated in pulsed mode and time evolution measurements were done with different axial magnetic field strengths with oxygen and argon plasmas. Bremsstrahlung data were analyzed with a time interval of 2 ms yielding information at unprecedented detail about the time evolution of high energy bremsstrahlung radiation from an ECR ion source. It was observed, for example, that reaching the steady state phase of the plasma bremsstrahlung requires several hundred milliseconds and the steady state time can be different with different gases.

  13. Síntesis de materiales cerámicos mediante técnicas químicas en fase vapor (CVD

    Directory of Open Access Journals (Sweden)

    Gómez-Aleixandre, C.

    2003-02-01

    Full Text Available Chemical vapour deposition (CVD has been successfully used for the synthesis of a large variety of compounds. Initially the technique was developed for microelectronic applications and then was widespread used for the preparation of hard coatings, optoelectronic and superconductor materials. Among the characteristics inherent to the CVD technique it is worth mentioning the preparation of homogeneous deposits at relatively low temperatures mostly when the reaction is electrically or laser plasma or photon activated. New materials with given characteristics can be produced by properly choosing the reactant gas mixture as well as its relative composition. The presentation will be also focussed onto the deposition of different materials, such as carbon films (both crystalline, and amorphous with diamond-like properties, deposited by plasma assisted CVD techniques using methane and hydrogen gas mixtures. Also, the deposition of binary compounds, as boron nitride will be reviewed. Finally, the experimental requirements for obtaining new ternary compounds from the system Si-B-N-C (i.e.: CBN, SiBN will be discussed. The properties of these materials strongly depend on their composition and structure. Therefore, by adequate selection of the experimental parameters, it is possible to obtain ternary compounds with tailored characteristics.

    Actualmente, la técnica de CVD está siendo utilizada en la síntesis de una gran variedad de compuestos cerámicos, generalmente en forma de capa delgada. La técnica, desarrollada inicialmente para su aplicación en microelectrónica, ha sido después utilizada con éxito en otras áreas de gran actividad científica y tecnológica (recubrimientos duros, dispositivos optoelectrónicos, materiales superconductores, etc.. Entre las características más positivas de las técnicas de CVD, cabe destacar la obtención de depósitos homogéneos a temperaturas relativamente bajas, sobre todo cuando la activación de

  14. Ecdysone receptor (EcR) and ultraspiracle (USP) genes from the cyclopoid copepod Paracyclopina nana: Identification and expression in response to water accommodated fractions (WAFs).

    Science.gov (United States)

    Puthumana, Jayesh; Lee, Min-Chul; Han, Jeonghoon; Kim, Hui-Su; Hwang, Dae-Sik; Lee, Jae-Seong

    2017-02-01

    Ecdysteroid hormones are pivotal in the development, growth, and molting of arthropods, and the hormone pathway is triggered by binding ecdysteroid to a heterodimer of the two nuclear receptors; ecdysone receptors (EcR) and ultraspiracle (USP). We have characterized EcR and USP genes, and their 5'-untranslated region (5'-UTR) from the copepod Paracyclopina nana, and studied mRNA transcription levels in post-embryonic stages and in response to water accommodated fractions (WAFs) of crude oil. The open reading frames (ORF) of EcR and USP were 1470 and 1287bp that encoded 490 and 429 amino acids with molecular weight of 121.18 and 105.03kDa, respectively. Also, a well conserved DNA-binding domain (DBD) and ligand-binding domain (LBD) were identified which confirmed by phylogenetic analysis. Messenger RNA transcriptional levels of EcR and USP were developmental stage-specific in early post-embryonic stages (N3-4). However, an evoked expression of USP was observed throughout copepodid stage and in adult females. WAFs (40 and 80%) were acted as an ecdysone agonist in P. nana, and elicited the mRNA transcription levels in adults. Developmental stage-specific transcriptional activation of EcR and USP in response to WAFs was observed. USP gene was down-regulated in the nauplius in response to WAF, whereas up-regulation of USP was observed in the adults. This study represents the first data of molecular elucidation of EcR and USP genes and their regulatory elements from P. nana and the developmental stage specific expression in response to WAFs, which can be used as potential biomarkers for environmental stressors with ecotoxicological evaluations in copepods. Copyright © 2016 Elsevier Inc. All rights reserved.

  15. Transport mechanisms through PE-CVD coatings: influence of temperature, coating properties and defects on permeation of water vapour

    International Nuclear Information System (INIS)

    Kirchheim, Dennis; Jaritz, Montgomery; Hopmann, Christian; Dahlmann, Rainer; Mitschker, Felix; Awakowicz, Peter; Gebhard, Maximilian; Devi, Anjana; Brochhagen, Markus; Böke, Marc

    2017-01-01

    Gas transport mechanisms through plastics are usually described by the temperature-dependent Arrhenius-model and compositions of several plastic layers are represented by the CLT. When it comes to thin films such as plasma-enhanced chemical vapour deposition (PE-CVD) or plasma-enhanced atomic layer deposition (PE-ALD) coatings on substrates of polymeric material, a universal model is lacking. While existing models describe diffusion through defects, these models presume that permeation does not occur by other means of transport mechanisms. This paper correlates the existing transport models with data from water vapour transmission experiments. (paper)

  16. Formation of ECR Plasma in a Dielectric Plasma Guide under Self-Excitation of a Standing Ion-Acoustic Wave

    Science.gov (United States)

    Balmashnov, A. A.; Kalashnikov, A. V.; Kalashnikov, V. V.; Stepina, S. P.; Umnov, A. M.

    2018-01-01

    The formation of a spatially localized plasma with a high brightness has been experimentally observed in a dielectric plasma guide under the electron cyclotron resonance discharge at the excitation of a standing ion-acoustic wave. The results obtained show the possibility of designing compact high-intensity radiation sources with a spectrum determined by the working gas or gas mixture type, high-intensity chemically active particle flow sources, and plasma thrusters for correcting orbits of light spacecraft.

  17. The capability of plasma osteoprotegerin as a predictor of cardiovascular disease: a systematic literature review

    DEFF Research Database (Denmark)

    Nybo, Mads; Rasmussen, Lars M

    2008-01-01

    OBJECTIVE: Osteoprotegerin (OPG) strongly inhibits bone resorption and may also serve as a vascular calcification inhibitor. However, recent studies have indicated that high plasma OPG is a strong predictor of cardiovascular disease (CVD) and mortality. To evaluate this capability, the data...... to the existing markers of CVD and mortality in high-risk populations. Hazard ratios emphasized the significant correlation between plasma OPG concentration and mortality. Due to methodological problems (e.g., population investigated, measurement principle, and statistics performed), meta-analysis could...... not be performed. As only one study was conducted in a healthy cohort, the results cannot per se be extrapolated to the general population. CONCLUSION: The combined results support plasma OPG as an independent predictor of CVD and mortality in high-risk populations. However, more longitudinal studies in general...

  18. ECR ion source and some improvements

    International Nuclear Information System (INIS)

    Liu Zhanwen; Zhang Wen; Zhao Hongwei; Zhang Xuezhen; Yuan Ping; Guo Xiaohong; Zhou Sixin; Ye Feng; Wei Baowen; Efremov, A.

    1994-01-01

    The structure, the principle of a CAPRICE-type ECR ion source and the necessary condition of the source for providing high charged ions are presented. CAPRICE was tested first at the test bench with a newly shaped configuration of the magnetic mirror throat at the injection side. The ion currents of Ar and Ne ions were increased remarkably. Later, CAPRICE was coupled to the injector SFC of HIRFL, and other modifications were made to improve the magnetic field and decrease the electric power consumption in the solenoids of the source. Meanwhile a simple electron gun with cold cathode was tested preliminarily. The result was satisfactory. Last year, some successful changes in the construction of the insulation cover for the hexapole of CAPRICE were achieved also. The new cover is aimed to endure higher extraction voltage, and avoid the condensation of humid air on the exterior of the insulation covers

  19. Ion beam figuring of CVD silicon carbide mirrors

    Science.gov (United States)

    Gailly, P.; Collette, J.-P.; Fleury Frenette, K.; Jamar, C.

    2017-11-01

    Optical and structural elements made of silicon carbide are increasingly found in space instruments. Chemical vapor deposited silicon carbide (CVD-SiC) is used as a reflective coating on SiC optics in reason of its good behavior under polishing. The advantage of applying ion beam figuring (IBF) to CVD-SiC over other surface figure-improving techniques is discussed herein. The results of an IBF sequence performed at the Centre Spatial de Liège on a 100 mm CVD-SiC mirror are reported. The process allowed to reduce the mirror surface errors from 243 nm to 13 nm rms . Beside the surface figure, roughness is another critical feature to consider in order to preserve the optical quality of CVD-SiC . Thus, experiments focusing on the evolution of roughness were performed in various ion beam etching conditions. The roughness of samples etched at different depths down to 3 ≠m was determined with an optical profilometer. These measurements emphasize the importance of selecting the right combination of gas and beam energy to keep roughness at a low level. Kaufman-type ion sources are generally used to perform IBF but the performance of an end-Hall ion source in figuring CVD-SiC mirrors was also evaluated in this study. In order to do so, ion beam etching profiles obtained with the end-Hall source on CVD-SiC were measured and used as a basis for IBF simulations.

  20. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Science.gov (United States)

    Rauner, D.; Kurutz, U.; Fantz, U.

    2015-04-01

    As the negative hydrogen ion density nH- is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H- is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H- is produced in the plasma volume, laser photodetachment is applied as the standard method to measure nH-. The additional application of CRDS provides the possibility to directly obtain absolute values of nH-, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H- production and destruction processes. The modelled densities are adapted to the absolute measurements of nH- via CRDS, allowing to identify collisions of H- with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H- in the plasma volume at HOMER. Furthermore, the characteristic peak of nH- observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as ne determines the volume production rate via dissociative electron attachment to vibrationally excited hydrogen molecules.

  1. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  2. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Min [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); Jang, Sung Kyu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Song, Young Jae [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Department of Physics, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of); Lee, Sungjoo, E-mail: leesj@skku.edu [SKKU Advanced Institute of Nanotechnology (SAINT) (Korea, Republic of); Center for Human Interface Nanotechnology (HINT) (Korea, Republic of); College of Information and Communication Engineering, Sungkyunkwan University (SKKU), Suwon 440-746 (Korea, Republic of)

    2015-01-15

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO{sub 2}, show the carrier mobility up to approximately 2250 cm{sup 2} V{sup −1} s{sup −1}. The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems.

  3. CVD growth of graphene under exfoliated hexagonal boron nitride for vertical hybrid structures

    International Nuclear Information System (INIS)

    Wang, Min; Jang, Sung Kyu; Song, Young Jae; Lee, Sungjoo

    2015-01-01

    Graphical abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO2, show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems. - Abstract: We have demonstrated a novel yet simple method for fabricating graphene-based vertical hybrid structures by performing the CVD growth of graphene at an h-BN/Cu interface. Our systematic Raman measurements combined with plasma etching process indicate that a graphene film is grown under exfoliated h-BN rather than on its top surface, and that an h-BN/graphene vertical hybrid structure has been fabricated. Electrical transport measurements of this h-BN/graphene, transferred on SiO 2 , show the carrier mobility up to approximately 2250 cm 2 V −1 s −1 . The developed method would enable the exploration of the possibility of novel hybrid structure integration with two-dimensional material systems

  4. High plasma homocyst(e)ine levels in elderly Japanese patients are associated with increased cardiovascular disease risk independently from markers of coagulation activation and endothelial cell damage.

    Science.gov (United States)

    Kario, K; Duell, P B; Matsuo, T; Sakata, T; Kato, H; Shimada, K; Miyata, T

    2001-08-01

    Elevated plasma homocyst(e)ine is a risk factor for cardiovascular disease (CVD) in many populations, but the relationship between homocyst(e)ine and CVD in Japanese subjects has been unclear. It has been hypothesized that the link between homocyst(e)ine and CVD may be mediated in part by activation of coagulation and endothelial cell injury in the elderly Japanese subjects. To further evaluate this hypothesis, the present cross-sectional study was designed to assess the relationships among plasma homocyst(e)ine concentrations, risk of CVD, and markers of coagulation (fibrinogen, FVII, F1+2, FVIIa and FXIIa) and endothelial cell damage (vWF and thrombomodulin) in 146 elderly Japanese subjects (79 healthy controls and 67 patients with CVD). The geometric mean (range) of plasma homocyst(e)ine concentrations was 10.2 (3.2--33) micromol/l in 79 Japanese healthy elderly subjects. As expected, healthy female and male elderly subjects had homocyst(e)ine levels that were 2.5 and 5.3 micromol/; higher, respectively, compared to healthy young control subjects (n=62). Healthy young and elderly men had homocyst(e)ine levels that were 1.7 and 4.5 micromol/l higher, respectively, compared to values in women. This higher plasma homocyst(e)ine levels in the elderly subjects were negatively correlated with levels of folic acid, albumin and total cholesterol, but were not significantly related to markers of coagulation or endothelial cell-damage. The results of multiple logistic regression analyses suggested that high homocyst(e)ine levels were independently related to CVD risk. In addition, levels of FVIIa, and F1+2 were significantly higher in elderly Japanese patients with CVD compared to elderly subjects without CVD, but were unrelated to plasma homocyst(e)ine concentrations. In summary, elevated plasma concentrations of homocyst(e)ine, FVIIa, and F1+2 were associated with increased risk of CVD in elderly male and female Japanese subjects, but the association between homocyst

  5. High intensity metallic ion beams from an ecr ion source at GANIL

    International Nuclear Information System (INIS)

    Leherissier, P.; Barue, C.; Canet, C.; Dupuis, M.; Flambard, J.L.; Gaubert, G.; Gibouin, S.; Huguet, Y.; Jardin, P.; Lecesne, N.; Lemagnen, F.; Leroy, R.; Pacquet, J.Y.; Pellemoine-Landre, F.; Rataud, J.P.; Jaffres, P.A.

    2001-01-01

    In the recent years, progress concerning the production of high intensity of metallic ions beams ( 58 Ni, 48 Ca, 76 Ge) at Ganil have been performed. The MIV0C method has been successfully used to produce a high intensity nickel beam with the ECR4 ion source: 20 eμA of 58 Ni 11+ at 24 kV extraction voltage. This beam has been maintained for 8 days and accelerated up to 74.5 MeV/u by our cyclotrons with a mean intensity of 0.13 pμA on target. This high intensity, required for experiment, led to the discovery of the doubly magic 48 Ni isotope. The oven method has been first tested with natural metallic calcium on the ECR4 ion source, then used to produce a high power beam (740 W on target i.e. 0.13 pμA accelerated up to 60 MeV/u) of 48 Ca still keeping a low consumption (0.09 mg/h). A germanium beam is now under development, using the oven method with germanium oxide. The ionization efficiencies have been measured and compared. (authors)

  6. AMS of heavy elements with an ECR ion source and the ATLAS linear accelerator

    CERN Document Server

    Paul, M; Ahmad, I; Borasi, F; Caggiano, J; Davids, C N; Greene, J P; Harss, B; Heinz, A; Henderson, D J; Henning, W F; Jiang, C L; Pardo, R C; Rehm, K E; Rejoub, R; Seweryniak, D; Sonzogni, A; Uusitalo, J; Vondrasek, R C

    2000-01-01

    Detection of heavy elements by accelerator mass spectrometry with the electron cyclotron resonance ion source, Argonne linear accelerator and fragment mass analyzer (ECRIS-ATLAS-FMA) system has been developed. The use of the ECR-ATLAS system for AMS of heavy elements has two interesting features: (i) the efficient production of high-charge state ions in the ECR source ensures the elimination of molecular ions at the source stage, a highly attractive feature for any mass-spectrometric use not exploited so far; (ii) the linear acceleration based on velocity matching and the beam transport system act as a powerful mass filter for background suppression. We have shown that our system reaches an abundance sensitivity of 1x10 sup - sup 1 sup 4 for Pb isotopes. The sup 2 sup 3 sup 6 U detection sensitivity is sup 2 sup 3 sup 6 U/U > or approx. 1x10 sup - sup 1 sup 2 , limited mainly by the ion source output.

  7. Surface morphology changes to tungsten under exposure to He ions from an electron cyclotron resonance plasma source

    Science.gov (United States)

    Donovan, David; Maan, Anurag; Duran, Jonah; Buchenauer, Dean; Whaley, Josh

    2015-11-01

    Exposure of tungsten to low energy (ALMT ITER grade tungsten samples. A similar He plasma exposure stage has now been developed at the University of Tennessee-Knoxville with an improved compact ECR plasma source. Status of the new UTK exposure stage will be discussed as well as planned experiments and new material characterization techniques (EBSD, GIXRD). Work supported by US DOE Contract DE-AC04-94AL85000 and the PSI Science Center.

  8. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB.

    Science.gov (United States)

    Engelbrechtsen, L; Lundgren, J; Wewer Albrechtsen, N J; Mahendran, Y; Iepsen, E W; Finocchietto, P; Jonsson, A E; Madsbad, S; Holst, J J; Vestergaard, H; Hansen, T; Torekov, S S

    2017-12-01

    Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Fifty-eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m 2 [mean ± SD]) were included in this study. After 8 weeks on a very low-calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance-based lipidomics analysis. After an 8-week low-calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low-density lipoprotein and very low-density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre-weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). An 8-week low-calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower CVD risk. Including apoB measurements in clinical practice when

  9. Magnetic Parameters Of A NB3SN Superconducting Magnet For A 56 HGz ECR Ion Source

    International Nuclear Information System (INIS)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C.M.; Prestemon, S.; Sabbi, G.L.; Todd, D.S.

    2009-01-01

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb 3 Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb 3 Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  10. MAGNETIC PARAMETERS OF A NB3SN SUPERCONDUCTING MAGNET FOR A 56 HGz ECR ION SOURCE

    Energy Technology Data Exchange (ETDEWEB)

    Ferracin, P.; Caspi, S.; Felice, H.; Leitner, D.; Lyneis, C. M.; Prestemon, S.; Sabbi, G. L.; Todd, D. S.

    2009-05-04

    Third generation Electron Cyclotron Resonance (ECR) ion sources operate at microwave frequencies between 20 and 30 GHz and employ NbTi superconducting magnets with a conductor peak field of 6-7 T. A significant gain in performance can be achieved by replacing NbTi with Nb{sub 3}Sn, allowing solenoids and sextupole coils to reach a field of 15 T in the windings. In this paper we describe the design of a Nb{sub 3}Sn superconducting magnet for a fourth generation ECR source operating at a microwave frequency of 56 GHz. The magnet design features a configuration with an internal sextupole magnet surrounded by three solenoids. A finite element magnetic model has been used to investigate conductor peak fields and the operational margins. Results of the numerical analysis are presented and discussed.

  11. Test of radiation hardness of pcCVD detectors

    Energy Technology Data Exchange (ETDEWEB)

    Schlemme, Steffen [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Technische Universitaet Darmstadt (Germany); Enders, Joachim [Technische Universitaet Darmstadt (Germany); Figuera, P.; Salamone, S. [LNS-INFN Catania (Italy); Fruehauf, J.; Kis, Mladen; Kratz, A.; Kurz, N.; Loechner, S.; Nociforo, Chiara; Schirru, Fabio; Szczepanczyk, B.; Traeger, M.; Visinka, R. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Musumarra, A. [LNS-INFN Catania (Italy); University of Catania (Italy)

    2016-07-01

    The new in-flight separator Super-FRS is under construction at the Facility for Antiproton and Ion Research (FAIR, Darmstadt). Ion rates up to 3 x 10{sup 11} {sup 238}U/spill demand an adaption of detectors to a high radiation environment. A test experiment to investigate the radiation hardness of polycrystalline diamond detectors (pcCVD) was performed at the LNS-INFN in Catania using a {sup 12}C beam at 62 MeV/u and intensities of up to 1.5 pnA. The setup consisted of pcCVD strip detectors to measure the beam profile, a single crystal diamond detector to calibrate the ionisation chamber working in current mode as a beam intensity monitor and a pcCVD sample to be irradiated. The IC used was designed for FAIR and showed a stable counting rate allowing us to calibrate and perform beam intensity measurements with it. The total measured counts on the sample were 8.25 x 10{sup 11} counts/mm{sup 2} over a period of 60 hours. Digital waveforms of the pcCVD signals were taken with an oscilloscope and analysed. The results showed no change of the pcCVD signal properties during the entire irradiation.

  12. CH4/H2/Ar electron cyclotron resonance plasma etching for GaAs-based field effect transistors

    NARCIS (Netherlands)

    Hassel, van J.G.; Es, van C.M.; Nouwens, P.A.M.; Maahury, J.H.; Kaufmann, L.M.F.

    1995-01-01

    Electron cyclotron resonance (ECR) plasma etch processes with CH4/H2/AR have been investigated on different III–Vsemiconductor materials (GaAs, AlGaAs, InGaAs, and InP). The passivation depth as a function of the GaAs carrierconcentration and the recovery upon annealing at different temperatures

  13. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    Science.gov (United States)

    Ballinger, Jared

    . Surface boriding was implemented using the novel method of microwave plasma CVD with a mixture of hydrogen and diborane gases. On 440C bearings, dual phase boride layers of Fe2B and FeB were formed which supported adhered nanostructured diamond films. Continuity of the films was not seamless with limited regions remaining uncoated potentially corresponding to delamination of the film as evidenced by the presence of tubular structures presumably composed of sp2 bonded carbon. Surface boriding of 316 stainless steel discs was conducted at various powers and pressures to achieve temperatures ranging from 550-800 °C. The substrate boriding temperature was found to substantially influence the resultant interlayer by altering the metal boride(s) present. The lowest temperatures produced an interlayer where CrB was the single detected phase, higher temperatures yielded the presence of only Fe2B, and a combination of the two phases resulted from an intermediate boriding temperature. Compared with the more common, commercialized boriding methods, this a profound result given the problems posed by the FeB phase in addition to other advantages offered by CVD processes and microwave generated plasmas in general. Indentation testing of the boride layers revealed excellent adhesion strength for all borided interlayers, and above all, no evidence of cracking was observed for a sole Fe2B phase. As with boriding of 440C bearings, subsequent diamond deposition was achieved on these interlayers with substantially improved adhesion strength relative to diamond coated TiN interlayers. Both XRD and Raman spectroscopy confirmed a nanostructured diamond film with interfacial chromium carbides responsible for enhanced adhesion strength. Interlayers consisting solely of Fe2B have displayed an ability to support fully continuous nanostructured diamond films, yet additional study is required for consistent reproduction. This is in good agreement with initial work on pack borided high alloy steels

  14. Plasma Clot Lysis Time and Its Association with Cardiovascular Risk Factors in Black Africans

    NARCIS (Netherlands)

    Z. de Lange (Zelda); M. Pieters (Marlien); J.C. Jerling (Johann); A. Kruger (Annamarie); D.C. Rijken (Dingeman)

    2012-01-01

    textabstractStudies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid

  15. Development of 2.45GHz compact ECR ion sources with permanent magnets

    International Nuclear Information System (INIS)

    Tojyo, E.; Ohshiro, Y.; Oyaizu, M.; Shirakabe, Y.

    1993-05-01

    Two kinds of new compact ECR ion sources have been developed by use of permanent magnets only, for the purpose of acceleration tests of the 25.5MHz INS split coaxial RFQ linac and the 50MHz one. Confined magnetic fields of sources are constructed by permanent magnets only. In this paper design parameters, structures, magnetic field distributions and extracted beam properties of these sources are described briefly. (author)

  16. Isolation, structure elucidation and antibacterial activity of methyl-4,8-dimethylundecanate from the marine actinobacterium Streptomyces albogriseolus ECR64.

    Science.gov (United States)

    Thirumurugan, Durairaj; Vijayakumar, Ramasamy; Vadivalagan, Chithravel; Karthika, Pushparaj; Alam Khan, Md Khurshid

    2018-05-25

    Around 120 actinobacterial colonies were isolated from various regions of marine East coast region of Tamil Nadu, India. Among them, 33 were morphologically distinct and they were preliminarily screened for their antibacterial activity against Pseudomonas fluorescens, Vibrio cholerae, V. parahaemolyticus, V. alginolyticus, and Aeromonas hydrophila by cross-streak plate technique. Among the isolated, the isolate ECR64 exhibited maximum zone of inhibition against fish pathogenic bacteria. The crude bioactive compounds were extracted from the isolate ECR64 using different organic solvents which exhibited maximum antibacterial activity. Separation and purification of the bioactive compounds were made by column chromatography which yielded 27 fractions and were re-chromatographed to obtain the active compound. Ultra violet (UV), Fourier transform infrared (FT-IR) and nuclear magnetic resonance (NMR) spectral studies were used to predict the structure of the active compound which was identified as methyl-4,8-dimethylundecanate. The potential isolate ECR64 was identified as Streptomyces albogriseolus by phylogenetic, phenotypic and genotypic (16S rRNA gene sequence) analyses. The identified compound methyl-4,8-dimethylundecanate can be used as potential and alternative drug in disease management of aquaculture. Copyright © 2018 Elsevier Ltd. All rights reserved.

  17. A CVD diamond beam telescope for charged particle tracking

    CERN Document Server

    Adam, W; Bergonzo, P; de Boer, Wim; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Dulinski, W; Doroshenko, J; Doucet, M; van Eijk, B; Fallou, A; Fischer, P; Fizzotti, F; Kania, D R; Gan, K K; Grigoriev, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kaplon, J; Kass, R; Keil, M; Knöpfle, K T; Koeth, T W; Krammer, Manfred; Meuser, S; Lo Giudice, A; MacLynne, L; Manfredotti, C; Meier, D; Menichelli, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Perera, L P; Riester, J L; Roe, S; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Trischuk, W; Tromson, D; Vittone, E; Weilhammer, Peter; Wermes, N; Wetstein, M; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond is a radiation hard sensor material which may be used for charged particle tracking near the interaction region in experiments at high luminosity colliders. The goal of the work described here is to investigate the use of several detector planes made of CVD diamond strip sensors for charged particle tracking. Towards this end a tracking telescope composed entirely of CVD diamond planes has been constructed. The telescope was tested in muon beams and its tracking capability has been investigated.

  18. Electron cyclotron resonance microwave ion sources for thin film processing

    International Nuclear Information System (INIS)

    Berry, L.A.; Gorbatkin, S.M.

    1990-01-01

    Plasmas created by microwave absorption at the electron cyclotron resonance (ECR) are increasingly used for a variety of plasma processes, including both etching and deposition. ECR sources efficiently couple energy to electrons and use magnetic confinement to maximize the probability of an electron creating an ion or free radical in pressure regimes where the mean free path for ionization is comparable to the ECR source dimensions. The general operating principles of ECR sources are discussed with special emphasis on their use for thin film etching. Data on source performance during Cl base etching of Si using an ECR system are presented. 32 refs., 5 figs

  19. Degradation of energy confinement or degradation of plasma-heating. What is the main definite process for Plasma transport in stellarator?

    International Nuclear Information System (INIS)

    Fedynin, O.I.; Andryuklina, E.D.

    1995-01-01

    The analysis of plasma energy balance in stellarators and tokamaks depends on the different assumptions made and may give different and even contradictory results. When assuming full power absorption by thermal plasmas, paradoxical results can be obtained: degradation of the energy confinement time with heating power as well as degradation of plasma thermal conductivity in very short times (t<< tau:E) during power modulation experiments are deduced. On the other hand, assuming that plasma transport characteristics do not change while pain plasma parameters (density and temperature, their gradients, etc.) are kept constant, leads to conclude that heating efficiency is not unity and that it depends on both, plasma parameters and heating power. In this case no contradiction is found when analyzing plasma energy balances. In this paper the results of ECRH experiments on L-2M will be presented. The experiments were aimed to try to answer this important question. Analyses of the fast processes occurring during the switch off phase of the ECR heating, modulation of the heating power, and specific plasma decay phase, have lead to the conclusion that plasma transport characteristics remaining unchanged during fast variations of the heating power is the correct assumption. 2 refs

  20. Verification of thermo-fluidic CVD reactor model

    International Nuclear Information System (INIS)

    Lisik, Z; Turczynski, M; Ruta, L; Raj, E

    2014-01-01

    Presented paper describes the numerical model of CVD (Chemical Vapour Deposition) reactor created in ANSYS CFX, whose main purpose is the evaluation of numerical approaches used to modelling of heat and mass transfer inside the reactor chamber. Verification of the worked out CVD model has been conducted with measurements under various thermal, pressure and gas flow rate conditions. Good agreement between experimental and numerical results confirms correctness of the elaborated model.

  1. Mapping of 79 loci for 83 plasma protein biomarkers in cardiovascular disease

    DEFF Research Database (Denmark)

    Folkersen, Lasse Westergaard; Fauman, Eric; Sabater-Lleal, Maria

    2017-01-01

    Recent advances in highly multiplexed immunoassays have allowed systematic large-scale measurement of hundreds of plasma proteins in large cohort studies. In combination with genotyping, such studies offer the prospect to 1) identify mechanisms involved with regulation of protein expression...... in plasma, and 2) determine whether the plasma proteins are likely to be causally implicated in disease. We report here the results of genome-wide association (GWA) studies of 83 proteins considered relevant to cardiovascular disease (CVD), measured in 3,394 individuals with multiple CVD risk factors. We...... on coronary artery disease, and highlight several potentially causal associations. Overall, a majority of the plasma proteins studied showed evidence of regulation at the genetic level. Our results enable future studies of the causal architecture of human disease, which in turn should aid discovery of new...

  2. PK-ISIS: a new superconducting ECR ion source at Pantechnik

    International Nuclear Information System (INIS)

    Villari, A.C.; Bieth, C.; Bougy, W.; Brionne, N.; Donzel, X.; Gaubert, G.; Leroy, R.; Sineau, A.; Tasset, O.; Vallerand, C.; Thuillier, T.

    2012-01-01

    The new ECR ion source PK-ISIS was recently commissioned at Pantechnik. Three superconducting coils generate the axial magnetic field configuration while the radial magnetic field is done with multi-layer permanent magnets. Special care was devoted in the design of the hexapolar structure, allowing a maximum magnetic field of 1.32 T at the wall of the 82 mm diameter plasma chamber. The three superconducting coils using Low Temperature Superconducting wires are cooled by a single double stage cryo-cooler (4.2 K). Cryogen-free technology is used, providing reliability, easy maintenance at low cost. The maximum installed RF power (18.0 GHz) is of 2 kW. Metallic beams can be produced with an oven (T max = 1400 C) installed with an angle of 5 degrees with respect to the source axis or a sputtering system, mounted in the axis of the source. The beam extraction system is constituted of three electrodes in accel-decel configuration. The new source of Pantechnik is conceived for reaching optimum performances at 18 GHz RF frequencies. PK-ISIS delivers 5 to 10 times more beam intensity than the original PK-DELIS and/or shifting the charge state distribution to higher values. PK-ISIS is built with Low Temperature Superconducting wire technology (LTS), but keeps the He-free concept, extremely important for a reliable and easy operation. The radial field circuit is permanent magnet made. Finally, PK-ISIS is also conceived for using in a High-Voltage platform with minor power consumption. The paper is followed by the slides of the presentation. (A.C.)

  3. Surface coatings deposited by CVD and PVD

    International Nuclear Information System (INIS)

    Gabriel, H.M.

    1982-01-01

    The demand for wear and corrosion protective coatings is increasing due to economic facts. Deposition processes in gas atmospheres like the CVD and PVD processes attained a tremendous importance especially in the field of the deposition of thin hard refractory and ceramic coatings. CVD and PVD processes are reviewed in detail. Some examples of coating installations are shown and numerous applications are given to demonstrate the present state of the art. (orig.) [de

  4. Transport and emittance study for 18 GHz superconducting-ECR ion source at RCNP.

    Science.gov (United States)

    Yorita, T; Hatanaka, K; Fukuda, M; Ueda, H; Kibayashi, M; Morinobu, S; Tamii, A

    2012-02-01

    As the upgrade program of the azimuthally varying field (AVF) cyclotron is at the cyclotron facility of the RCNP, Osaka University for the improvement of the quality, stability, and intensity of accelerated beams, an 18 GHz superconducting (SC) ECR ion source has been installed to increase beam currents and to extend the variety of ions, especially for highly charged heavy ions which can be accelerated by RCNP AVF cyclotron. The production development of several ions such as B, O, N, Ne, Ar, Ni, Kr, and Xe has been performed by Yorita et al. [Rev. Sci. Instrum. 79, 02A311(2008); 81, 02A332 (2010)]. Further studies for the beam transport have been done in order to improve the beam current more for injection of cyclotron. The effect of field leakage of AVF main coil is not negligible and additional steering magnet has been installed and then beam transmission has been improved. The emittance monitor has also been developed for the purpose of investigating correlation between emittance of beam from ECR ion sources and injection efficiency. The monitor consists with BPM82 with rotating wire for fast measurement for efficient study.

  5. Evaluation of CVD silicon carbide for synchrotron radiation mirrors

    International Nuclear Information System (INIS)

    Takacs, P.Z.

    1981-07-01

    Chemical vapor deposited silicon carbide (CVD SiC) is a recent addition to the list of materials suitable for use in the harsh environment of synchrotron radiation (SR) beam lines. SR mirrors for use at normal incidence must be ultrahigh vacuum compatible, must withstand intense x-ray irradiation without surface damage, must be capable of being polished to an extremely smooth surface finish, and must maintain surface figure under thermal loading. CVD SiC exceeds the performance of conventional optical materials in all these areas. It is, however, a relatively new optical material. Few manufacturers have experience in producing optical quality material, and few opticians have experience in figuring and polishing the material. The CVD material occurs in a variety of forms, sensitively dependent upon reaction chamber production conditions. We are evaluating samples of CVD SiC obtained commercially from various manufacturers, representing a range of deposition conditions, to determine which types of CVD material are most suitable for superpolishing. At the time of this writing, samples are being polished by several commercial vendors and surface finish characteristics are being evaluated by various analytical methods

  6. A validation of the Experiences in Close Relationships-Relationship Structures scale (ECR-RS) in adolescents

    DEFF Research Database (Denmark)

    Donbaek, Dagmar Feddern; Elklit, Ask

    2014-01-01

    structures in adults and, hence, moves beyond the traditional focus on romantic relationships. The present article explored the psychometric abilities of the ECR-RS across parental and best friend domains in a sample of 15 to 18-year-olds (n = 1999). Two oblique factors were revealed across domains...

  7. Development of ECR ion source and LEBT technology for RIA

    International Nuclear Information System (INIS)

    Leitner, Daniela; Lyneis, Claude M.; Abbott, Steven R.; Dwinell, Roger D.; Leitner, Matthaeus; Silver, Charles S.; Taylor, Clyde E.

    2004-01-01

    The Rare Isotope Accelerator (RIA) Linac driver requires a great variety of high charge state ion beams with up to a magnitude higher intensity than currently achievable for the heaviest masses. The goal of the RIA injector R and D program for VENUS is the reliable production of intense medium charge state ion beams, e.g., 8 puA (particle mu A) of U29+. Therefore, the superconducting ECR ion source VENUS has been designed from the beginning for optimum operation at 28 GHz at high power (10 kW). In addition, a high intensity Low Energy Beam Transport, LEBT, that was developed to analyze and transport these multiply-charged, space charge dominated beams. During the last year VENUS was commissioned at 18 GHz and preparations for 28 GHz operation continued. Tests with various gases and recently metals have been performed with up to 2000 W of 18 GHz RF power. Promising performance has been measured in those preliminary beam tests. For example, 180 p mu A of O6+, 15 p mu A of Ar12+, 7.5 puA of X e20+ and 4puA of Bi24+ were produced in the early commissioning phase, ranking VENUS among the currently highest performance 18 GHz ECR ion sources. In FY04 a 10 kW 28 gyrotron system will be added, which will enable VENUS to reach full performance. The emittance of the beams produced at 18 GHz was measured with a two axis emittance scanner developed with earlier RIA R and D funds

  8. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  9. Cardiovascular disease (CVD and chronic kidney disease (CKD event rates in HIV-positive persons at high predicted CVD and CKD risk: A prospective analysis of the D:A:D observational study.

    Directory of Open Access Journals (Sweden)

    Mark A Boyd

    2017-11-01

    Full Text Available The Data Collection on Adverse Events of Anti-HIV Drugs (D:A:D study has developed predictive risk scores for cardiovascular disease (CVD and chronic kidney disease (CKD, defined as confirmed estimated glomerular filtration rate [eGFR] ≤ 60 ml/min/1.73 m2 events in HIV-positive people. We hypothesized that participants in D:A:D at high (>5% predicted risk for both CVD and CKD would be at even greater risk for CVD and CKD events.We included all participants with complete risk factor (covariate data, baseline eGFR > 60 ml/min/1.73 m2, and a confirmed (>3 months apart eGFR 1%-5%, >5% and fitted Poisson models to assess whether CVD and CKD risk group effects were multiplicative. A total of 27,215 participants contributed 202,034 person-years of follow-up: 74% male, median (IQR age 42 (36, 49 years, median (IQR baseline year of follow-up 2005 (2004, 2008. D:A:D risk equations predicted 3,560 (13.1% participants at high CVD risk, 4,996 (18.4% participants at high CKD risk, and 1,585 (5.8% participants at both high CKD and high CVD risk. CVD and CKD event rates by predicted risk group were multiplicative. Participants at high CVD risk had a 5.63-fold (95% CI 4.47, 7.09, p < 0.001 increase in CKD events compared to those at low risk; participants at high CKD risk had a 1.31-fold (95% CI 1.09, 1.56, p = 0.005 increase in CVD events compared to those at low risk. Participants' CVD and CKD risk groups had multiplicative predictive effects, with no evidence of an interaction (p = 0.329 and p = 0.291 for CKD and CVD, respectively. The main study limitation is the difference in the ascertainment of the clinically defined CVD endpoints and the laboratory-defined CKD endpoints.We found that people at high predicted risk for both CVD and CKD have substantially greater risks for both CVD and CKD events compared with those at low predicted risk for both outcomes, and compared to those at high predicted risk for only CVD or CKD events. This suggests that CVD and

  10. Lower Plasma Fetuin-A Levels Are Associated With a Higher Mortality Risk in Patients With Coronary Artery Disease.

    Science.gov (United States)

    Chen, Xuechen; Zhang, Yuan; Chen, Qian; Li, Qing; Li, Yanping; Ling, Wenhua

    2017-11-01

    The present study was designed to evaluate the association of circulating fetuin-A with cardiovascular disease (CVD) and all-cause mortality. We measured plasma fetuin-A in 1620 patients using an enzyme-linked immunosorbent assay kit. The patients were members of the Guangdong coronary artery disease cohort and were recruited between October 2008 and December 2011. Cox regression models were used to estimate the association between plasma fetuin-A and the risk of mortality. A total of 206 deaths were recorded during a median follow-up of 5.9 years, 146 of whom died from CVD. The hazard ratios for the second and third tertiles of the fetuin-A levels (using the first tertile as a reference) were 0.65 (95% confidence interval, 0.44-0.96) and 0.51 (95% confidence interval, 0.33-0.78) for CVD mortality ( P =0.005) and 0.65 (95% confidence interval, 0.47-0.91) and 0.48 (95% confidence interval, 0.33-0.70) for all-cause mortality ( P <0.001), respectively. Lower plasma fetuin-A levels were associated with an increased risk of all-cause and CVD mortality in patients with coronary artery disease independently of traditional CVD risk factors. © 2017 American Heart Association, Inc.

  11. Inductively and capacitively coupled plasmas at interface: A comparative study towards highly efficient amorphous-crystalline Si solar cells

    Science.gov (United States)

    Guo, Yingnan; Ong, Thiam Min Brian; Levchenko, I.; Xu, Shuyan

    2018-01-01

    A comparative study on the application of two quite different plasma-based techniques to the preparation of amorphous/crystalline silicon (a-Si:H/c-Si) interfaces for solar cells is presented. The interfaces were fabricated and processed by hydrogen plasma treatment using the conventional plasma-enhanced chemical vacuum deposition (PECVD) and inductively coupled plasma chemical vapour deposition (ICP-CVD) methods The influence of processing temperature, radio-frequency power, treatment duration and other parameters on interface properties and degree of surface passivation were studied. It was found that passivation could be improved by post-deposition treatment using both ICP-CVD and PECVD, but PECVD treatment is more efficient for the improvement on passivation quality, whereas the minority carrier lifetime increased from 1.65 × 10-4 to 2.25 × 10-4 and 3.35 × 10-4 s after the hydrogen plasma treatment by ICP-CVD and PECVD, respectively. In addition to the improvement of carrier lifetimes at low temperatures, low RF powers and short processing times, both techniques are efficient in band gap adjustment at sophisticated interfaces.

  12. Plasma heating in the TM-3 Tokamak at electron-cyclotron resonance with magnetic fields up to 25 ke

    International Nuclear Information System (INIS)

    Alikaev, V.V.; Bobrovskii, G.A.; Poznyak, V.I.; Razumova, K.A.; Sannikov, V.V.; Sokolov, Yu.A.; Shmarin, A.A.

    Experiments were conducted in heating plasma at electron-cyclotron resonance (ECR) with longitudinal magnetic fields up to 25 ke. It was shown by the aid of laser diagnosis that the temperature of the basic component of the electrons increases in accordance with the classical mechanism of heating at ECR in the process of electron-cyclotron heating (ECH). The distribution of the temperature of electrons with respect to radius was measured. The relationship of energetic lifetime in the Tokamak and electron temperature was obtained and the magnitude of energetic lifetime of accelerated electrons in the function of their energy was estimated. The value β/sub tau/ approximately equal to 2.2 was obtained by the aid of ECH in a regime with small discharge currents

  13. Experiment and equipment of depositing diamond films with CVD system

    International Nuclear Information System (INIS)

    Xie Erqing; Song Chang'an

    2002-01-01

    CVD (chemical vapor deposition) emerged in recent years is a new technique for thin film deposition, which play a key role in development of modern physics. It is important to predominate the principle and technology of CVD for studying modern physics. In this paper, a suit of CVD experimental equipment for teaching in college physics is presented, which has simple design and low cost. The good result was gained in past teaching practices

  14. ECR-MAPK regulation in liver early development.

    Science.gov (United States)

    Zhao, Xiu-Ju; Zhuo, Hexian

    2014-01-01

    Early growth is connected to a key link between embryonic development and aging. In this paper, liver gene expression profiles were assayed at postnatal day 22 and week 16 of age. Meanwhile another independent animal experiment and cell culture were carried out for validation. Significance analysis of microarrays, qPCR verification, drug induction/inhibition assays, and metabonomics indicated that alpha-2u globulin (extracellular region)-socs2 (-SH2-containing signals/receptor tyrosine kinases)-ppp2r2a/pik3c3 (MAPK signaling)-hsd3b5/cav2 (metabolism/organization) plays a vital role in early development. Taken together, early development of male rats is ECR and MAPK-mediated coordination of cancer-like growth and negative regulations. Our data represent the first comprehensive description of early individual development, which could be a valuable basis for understanding the functioning of the gene interaction network of infant development.

  15. Escala de Ciúme Romântico (ECR: Evidências Psicométricas de uma Versão Reduzida

    Directory of Open Access Journals (Sweden)

    Valdiney Veloso Gouveia

    Full Text Available Este artigo objetivou descrever a elaboração da versão reduzida da Escala de Ciúme Romântico (ECR, reunindo evidências de sua adequação psicométrica. Dois estudos foram realizados. No Estudo 1 participaram 301 pessoas com idade média de 29 anos (54,2% mulheres. Estes responderam a ECR e perguntas demográficas. Os resultados apoiaram a adequação psicométrica da ECR, cuja análise de componentes principais mostrou dois componentes (ameaça e não ameaça com alfas de Cronbach (α superiores a 0,70. NoEstudo 2 participaram 281 pessoas com idade média de 24 anos (51,6% mulheres, as quais responderam o mesmo questionário do estudo prévio. Os resultados da análise fatorial confirmatória endossaram a solução bifatorial, resultando em uma medida breve com 15 itens, cujos fatores também apresentaram αs acima de 0,70. Concluindo, esta parece ser uma medida que apresenta evidências de validade fatorial e consistência interna, podendo ser útil em contextos de pesquisa e clínico.

  16. Studies of electron cyclotron resonance ion source plasma physics

    International Nuclear Information System (INIS)

    Tarvainen, O.

    2005-01-01

    This thesis consists of an introduction to the plasma physics of electron cyclotron resonance ion sources (ECRIS) and a review of the results obtained by the author and co-workers including discussion of related work by others. The thesis begins with a theoretical discussion dealing with plasma physics relevant for the production of highly charged ions in ECR ion source plasmas. This is followed by an overview of different techniques, such as gas mixing and double frequency heating, that can be used to improve the performance of this type of ion source. The experimental part of the work consists of studies related to ECRIS plasma physics. The effect of the gas mixing technique on the production efficiency of different ion beams was studied with both gaseous and solid materials. It was observed that gas mixing improves the confinement of the heavier element while the confinement of the lighter element is reduced. When the effect of gas mixing on MIVOC-plasmas was studied with several mixing gases it was observed that applying this technique can reduce the inevitable carbon contamination by a significant factor. In order to understand the different plasma processes taking place in ECRIS plasmas, a series of plasma potential and emittance measurements was carried out. An instrument, which can be used to measure the plasma potential in a single measurement without disturbing the plasma, was developed for this work. Studying the plasma potential of ECR ion sources is important not only because it helps to understand different plasma processes, but also because the information can be used as an input parameter for beam transport simulations and ion source extraction design. The experiments performed have revealed clear dependencies of the plasma potential on certain source parameters such as the amount of carbon contamination accumulated on the walls of the plasma chamber during a MIVOC-run. It was also observed that gas mixing affects not only the production efficiency

  17. Treatment with liraglutide may improve markers of CVD reflected by reduced levels of apoB

    Science.gov (United States)

    Engelbrechtsen, L.; Lundgren, J.; Wewer Albrechtsen, N. J.; Mahendran, Y.; Iepsen, E. W.; Finocchietto, P.; Jonsson, A. E.; Madsbad, S.; Holst, J. J.; Vestergaard, H.; Hansen, T.

    2017-01-01

    Summary Background Dislipidaemia and increased levels of apolipoprotein B (apoB) in individuals with obesity are risk factors for development of cardiovascular disease (CVD). The aim of this study was to investigate the effect of weight loss and weight maintenance with and without liraglutide treatment on plasma lipid profiles and apoB. Methods Fifty‐eight individuals with obesity (body mass index 34.5 ± 3.0 kg/m2 [mean ± SD]) were included in this study. After 8 weeks on a very low‐calorie diet (800 kcal/day), participants were randomized to weight maintenance with meal replacements with or without liraglutide (1.2 mg daily) for 1 year. Plasma samples from before and after weight loss and after 1 year of weight maintenance were subjected to nuclear magnetic resonance‐based lipidomics analysis. Results After an 8‐week low‐calorie diet, study participants lost 12.0 ± 2.9 kg (mean ± SD) of their body weight, which was reflected in their lipid profiles (80 out of 124 lipids changed significantly), including reduced levels of apoB, total cholesterol, free cholesterol, remnant cholesterol, triglycerides, low‐density lipoprotein and very low‐density lipoprotein subclasses. After 1 year of maintained weight loss, the majority of the lipids had returned to pre‐weight loss levels even though weight loss was successfully maintained in both groups. Interestingly, apoB levels remained low in the liraglutide treated group (apoB change: 0.03 ± 0.02 mmol/L, p = 0.4) in contrast to an increase in the control group (apoB change: 0.06 ± 0.07 mmol/L, p = 0.02). Conclusion An 8‐week low‐calorie diet, in individuals with obesity, reduced plasma levels of lipids and the atherogenic marker apoB. After 1 year of weight maintenance, only study participants treated with liraglutide maintained reduced levels of apoB, despite similar body weight maintenance. Treatment with liraglutide may therefore reduce apoB levels and thus reflect lower

  18. Application of Cat-CVD for ULSI technology

    International Nuclear Information System (INIS)

    Akasaka, Yoichi

    2008-01-01

    The ULSI technology has been following Moore's law into the sub-100 nm era, although several challenging technical issues must be resolved. This paper describes possible application of Cat-CVD for ULSI technology beyond the 45 nm node. Especially, Cat-CVD SiN film for a transistor gate sidewall and/or a pre-metallic liner layer, and removal of photo resist (ash) by Cat-induced hydrogen atoms in the interconnect structure with an extreme low-k material are mainly discussed

  19. Plasma Ceramides, Mediterranean Diet, and Incident Cardiovascular Disease in the PREDIMED Trial (Prevención con Dieta Mediterránea).

    Science.gov (United States)

    Wang, Dong D; Toledo, Estefanía; Hruby, Adela; Rosner, Bernard A; Willett, Walter C; Sun, Qi; Razquin, Cristina; Zheng, Yan; Ruiz-Canela, Miguel; Guasch-Ferré, Marta; Corella, Dolores; Gómez-Gracia, Enrique; Fiol, Miquel; Estruch, Ramón; Ros, Emilio; Lapetra, José; Fito, Montserrat; Aros, Fernando; Serra-Majem, Luis; Lee, Chih-Hao; Clish, Clary B; Liang, Liming; Salas-Salvadó, Jordi; Martínez-González, Miguel A; Hu, Frank B

    2017-05-23

    Although in vitro studies and investigations in animal models and small clinical populations have suggested that ceramides may represent an intermediate link between overnutrition and certain pathological mechanisms underlying cardiovascular disease (CVD), no prospective studies have investigated the association between plasma ceramides and risk of CVD. The study population consisted of 980 participants from the PREDIMED trial (Prevención con Dieta Mediterránea), including 230 incident cases of CVD and 787 randomly selected participants at baseline (including 37 overlapping cases) followed for ≤7.4 years. Participants were randomized to a Mediterranean diet supplemented with extra virgin olive oil, a Mediterranean diet supplemented with nuts, or a control diet. Plasma ceramide concentrations were measured on a liquid chromatography tandem mass spectrometry metabolomics platform. The primary outcome was a composite of nonfatal acute myocardial infarction, nonfatal stroke, or cardiovascular death. Hazard ratios were estimated with weighted Cox regression models using Barlow weights to account for the case-cohort design. The multivariable hazard ratios (HR) and 95% confidence intervals (CIs) comparing the extreme quartiles of plasma concentrations of C16:0, C22:0, C24:0, and C24:1 ceramides were 2.39 (1.49-3.83, P trend Mediterranean diet and control groups during the first year of follow-up. Our study documented a novel positive association between baseline plasma ceramide concentrations and incident CVD. In addition, a Mediterranean dietary intervention may mitigate potential deleterious effects of elevated plasma ceramide concentrations on CVD. URL: http://www.isrctn.com. Unique identifier: ISRCTN35739639. © 2017 American Heart Association, Inc.

  20. Polarization reversal of electron cyclotron wave due to radial boundary condition

    International Nuclear Information System (INIS)

    Takahashi, K.; Kaneko, T.; Hatakeyama, R.

    2004-01-01

    The electron cyclotron wave is an important plasma wave in the fields of basic plasma physics and nuclear fusion. Propagation and absorption of electromagnetic waves with electron cyclotron resonance (ECR) frequency are experimentally and theoretically investigated for the case of inhomogeneously magnetized plasma column with peripheral vacuum layer, when a left-hand polarized wave (LHPW) is selectively launched. The polarization reversal from the LHPW to the right-hand polarized wave is found to occur near the ECR point. As a result, it is clarified that the LHPW, which has been considered not to be absorbed at the ECR point, is absorbed near the ECR point. The phenomena can be explained by taking into account the effects of the radial boundary conditions. In addition, it is found that the polarization reversal point can be adjusted by the external parameters, for example, plasma radius. (authors)

  1. Ballistic Josephson junctions based on CVD graphene

    Science.gov (United States)

    Li, Tianyi; Gallop, John; Hao, Ling; Romans, Edward

    2018-04-01

    Josephson junctions with graphene as the weak link between superconductors have been intensely studied in recent years, with respect to both fundamental physics and potential applications. However, most of the previous work was based on mechanically exfoliated graphene, which is not compatible with wafer-scale production. To overcome this limitation, we have used graphene grown by chemical vapour deposition (CVD) as the weak link of Josephson junctions. We demonstrate that very short, wide CVD-graphene-based Josephson junctions with Nb electrodes can work without any undesirable hysteresis in their electrical characteristics from 1.5 K down to a base temperature of 320 mK, and their gate-tuneable critical current shows an ideal Fraunhofer-like interference pattern in a perpendicular magnetic field. Furthermore, for our shortest junctions (50 nm in length), we find that the normal state resistance oscillates with the gate voltage, consistent with the junctions being in the ballistic regime, a feature not previously observed in CVD-graphene-based Josephson junctions.

  2. Comparison of measured and modelled negative hydrogen ion densities at the ECR-discharge HOMER

    Energy Technology Data Exchange (ETDEWEB)

    Rauner, D.; Kurutz, U.; Fantz, U. [Max-Planck-Institut für Plasmaphysik, Boltzmannstr. 2, 85748 Garching (Germany); AG Experimentelle Plasmaphysik, Universität Augsburg, 86135 Augsburg (Germany)

    2015-04-08

    As the negative hydrogen ion density n{sub H{sup −}} is a key parameter for the investigation of negative ion sources, its diagnostic quantification is essential in source development and operation as well as for fundamental research. By utilizing the photodetachment process of negative ions, generally two different diagnostic methods can be applied: via laser photodetachment, the density of negative ions is measured locally, but only relatively to the electron density. To obtain absolute densities, the electron density has to be measured additionally, which induces further uncertainties. Via cavity ring-down spectroscopy (CRDS), the absolute density of H{sup −} is measured directly, however LOS-averaged over the plasma length. At the ECR-discharge HOMER, where H{sup −} is produced in the plasma volume, laser photodetachment is applied as the standard method to measure n{sub H{sup −}}. The additional application of CRDS provides the possibility to directly obtain absolute values of n{sub H{sup −}}, thereby successfully bench-marking the laser photodetachment system as both diagnostics are in good agreement. In the investigated pressure range from 0.3 to 3 Pa, the measured negative hydrogen ion density shows a maximum at 1 to 1.5 Pa and an approximately linear response to increasing input microwave powers from 200 up to 500 W. Additionally, the volume production of negative ions is 0-dimensionally modelled by balancing H{sup −} production and destruction processes. The modelled densities are adapted to the absolute measurements of n{sub H{sup −}} via CRDS, allowing to identify collisions of H{sup −} with hydrogen atoms (associative and non-associative detachment) to be the dominant loss process of H{sup −} in the plasma volume at HOMER. Furthermore, the characteristic peak of n{sub H{sup −}} observed at 1 to 1.5 Pa is identified to be caused by a comparable behaviour of the electron density with varying pressure, as n{sub e} determines

  3. Lattice Gas Model Based Optimization of Plasma-Surface Processes for GaN-Based Compound Growth

    Science.gov (United States)

    Nonokawa, Kiyohide; Suzuki, Takuma; Kitamori, Kazutaka; Sawada, Takayuki

    2001-10-01

    Progress of the epitaxial growth technique for GaN-based compounds makes these materials attractive for applications in high temperature/high-power electronic devices as well as in short-wavelength optoelectronic devices. For MBE growth of GaN epilayer, atomic nitrogen is usually supplied from ECR-plasma while atomic Ga is supplied from conventional K-cell. To grow high-quality epilayer, fundamental knowledge of the detailed atomic process, such as adsorption, surface migration, incorporation, desorption and so forth, is required. We have studied the influence of growth conditions on the flatness of the growth front surface and the growth rate using Monte Carlo simulation based on the lattice gas model. Under the fixed Ga flux condition, the lower the nitrogen flux and/or the higher the growth temperature, the better the flatness of the front surface at the sacrifice of the growth rate of the epilayer. When the nitrogen flux is increased, the growth rate reaches saturation value determined from the Ga flux. At a fixed growth temperature, increasing of nitrogen to Ga flux ratio results in rough surface owing to 3-dimensional island formation. Other characteristics of MBE-GaN growth using ECR-plasma can be well reproduced.

  4. CVD and obesity in transitional Syria: a perspective from the Middle East.

    Science.gov (United States)

    Barakat, Hani; Barakat, Hanniya; Baaj, Mohamad K

    2012-01-01

    Syria is caught in the middle of a disruptive nutritional transition. Its healthcare system is distracted by challenges and successes in other areas while neglecting to address the onslaught of Syria's cardiovascular disease (CVD) epidemic. Despite the official viewpoint touting improvement in health indicators, current trends jeopardize population health, and several surveys in the Syrian population signal the epidemic spreading far and wide. The goal is to counteract the indifference towards obesity as a threat to Syrian's health, as the country is slowly becoming a leader in CVD mortality globally. PubMed, World Health Organization, and official government websites were searched for primary surveys in Syria related to CVD morbidity, mortality, and risk factors. Inclusion criteria ensured that results maximized relevance while producing comparable studies. Statistical analysis was applied to detect the most common risk factor and significant differences in risk factor prevalence and CVD rates. Obesity remained the prevailing CVD risk factor except in older Syrian men, where smoking and hypertension were more common. CVD mortality was more common in males due to coronary disease, while stroke dominated female mortality. The young workforce is especially impacted, with 50% of CVD mortality occurring before age 65 years and an 81% prevalence of obesity in women over 45 years. Syria can overcome its slow response to the CVD epidemic and curb further deterioration by reducing obesity and, thus, inheritance and clustering of risk factors. This can be achieved via multilayered awareness and intensive parental and familial involvement. Extinguishing the CVD epidemic is readily achievable as demonstrated in other countries.

  5. CVD refractory metals and alloys for space nuclear power application

    International Nuclear Information System (INIS)

    Yang, L.; Gulden, T.D.; Watson, J.F.

    1984-01-01

    CVD technology has made significant contributions to the development of space nuclear power systems during the period 1962 to 1972. For the in-core thermionic concept, CVD technology is essential to the fabrication of the tungsten electron emitter. For the liquid metal cooled fuel pin using uranium nitride as fuel and T-111 and Nb-1 Zr as cladding, a tungsten barrier possibly produced by CVD methods is essential to the fuel-cladding compatibility at the designed operating temperature. Space power reactors may use heat pipes to transfer heat from the reactor core to the conversion system. CVD technology has been used for fabricating the heat pipe used as cross-flow heat exchanger, including the built-in channels on the condenser wall for liquid lithium return. 28 references, 17 figures

  6. Investigation of defects in CVD diamond: Influence for radiotherapy applications

    International Nuclear Information System (INIS)

    Guerrero, M.J.; Tromson, D.; Bergonzo, P.; Barrett, R.

    2005-01-01

    In this study we present the potentialities of CVD diamond as an ionisation chamber for radiotherapy applications. Trapping levels present in CVD diamond are characterised using Thermally Stimulated Current (TSC) method with X-ray sources. The influence of the corresponding defects on the detector response is investigated and compared to those observed in natural diamond. Also, their spatial distribution across a large area polycrystalline diamond ionisation chamber is discussed. Results show the relative influence of two different populations of trapping levels in CVD diamond whose effect is crucial for radiotherapy applications. To partially overcome the defect detrimental effects, we propose to use CVD diamond ionisation chambers at moderate temperatures from 70 to 100 deg. C that could be provided by self heating of the device, for a dramatically improved stability and reproducibility

  7. The formation of tritium permeation barriers by CVD

    International Nuclear Information System (INIS)

    Forcey, K.S.; Perujo, A.; Reiter, F.; Lolli-Ceroni, P.L.

    1993-01-01

    The effectiveness as permeation barriers of the following CVD coatings have been investigated: TiC (1 to 2 μm in thickness); a bi-layer of TiN on TiC (3 μm total thickness) and CVD Al 2 O 3 on a TiN/TiC bi-layer. The substrate materials were TZM (a Mo alloy) and 316L stainless steel in the form of discs of diameter 48 mm and thickness 0.1 or 1 mm. Permeation measurements were performed in the temperature range 515-742 K using deuterium at pressures in the range 1-50 kPa. CVD layers were shown to form reasonably effective permeation barriers. At a temperature of 673 K TiC is around 6000 times less permeable to deuterium than 316L stainless steel. (orig.)

  8. ECR-MAPK Regulation in Liver Early Development

    Directory of Open Access Journals (Sweden)

    Xiu-Ju Zhao

    2014-01-01

    Full Text Available Early growth is connected to a key link between embryonic development and aging. In this paper, liver gene expression profiles were assayed at postnatal day 22 and week 16 of age. Meanwhile another independent animal experiment and cell culture were carried out for validation. Significance analysis of microarrays, qPCR verification, drug induction/inhibition assays, and metabonomics indicated that alpha-2u globulin (extracellular region-socs2 (-SH2-containing signals/receptor tyrosine kinases-ppp2r2a/pik3c3 (MAPK signaling-hsd3b5/cav2 (metabolism/organization plays a vital role in early development. Taken together, early development of male rats is ECR and MAPK-mediated coordination of cancer-like growth and negative regulations. Our data represent the first comprehensive description of early individual development, which could be a valuable basis for understanding the functioning of the gene interaction network of infant development.

  9. Design of a 4D emittance measurement device for high charge state ECR ion sources

    International Nuclear Information System (INIS)

    Zhao Yangyang; Yang Yao; Zhao Hongwei; Sun Liangting; Cao Yun; Wang Yun

    2013-01-01

    For the purpose of on-line beam quality diagnostics and transverse emittance coupling investigation of the ion beams delivered by an Electron Cyclotron Resonance (ECR) ion source, a real-time 4D Pepper Pot type emittance scanner is under development at IMP (Institute of Moden Physics, Chinese Academy of Sciences). The high charge state ECR ion source at IMP could produce CW or pulsed heavy ion beam intensities in the range of 1 eμA∼1 emA with the kinetic energy of 10∼35 keV/q, which needs the design of the Pepper Pot scanner to be optimized accordingly. The Pepper Pot scanner has many features, such as very short response time and wide dynamic working range that the device could be applied. Since intense heavy ion beam bombardment is expected for this device, the structure and the material selection for the device is specially considered during the design, and a feasible solution to analyze the pictures acquired after the data acquisition is also made. (authors)

  10. Preparation of poly(2-chloroaniline) membrane and plasma surface modification

    International Nuclear Information System (INIS)

    Kir, E.; Oksuz, L.; Helhel, S.

    2006-01-01

    P2ClAn membranes were obtained from chemically synthesized poly(2-chloroaniline) (P2ClAn) by casting method. These membranes were cast from dimethyl formamide (DMF) and were in the undoped state. P2ClAn membranes were characterized by Fourier infrared spectroscopy and scanning electron microscopy. Measurements of water content capacity, membrane thickness and ion-exchange capacity of the cast membranes were carried out. P2ClAn membranes were treated by electron cylotron resonance (ECR) plasma for surface modification. Plasma treatment has been successfully utilized for improving the surface properties of P2ClAn membranes such as increasing pore diameters and number of pores for better anion or molecule transportation

  11. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    Aims High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. Methods and

  12. High plasma cholesteryl ester transfer protein levels may favour reduced incidence of cardiovascular events in men with low triglycerides

    NARCIS (Netherlands)

    Borggreve, Susanna E.; Hillege, Hans L.; Dallinga-Thie, Geesje M.; de Jong, Paul E.; Wolffenbuttel, Bruce H. R.; Grobbee, Diederik E.; van Tol, Arie; Dullaart, Robin P. F.

    2007-01-01

    High cholesteryl ester transfer protein (CETP) concentrations are associated with increased risk of cardiovascular disease (CVD) in subjects with high triglycerides. We determined the relationship of plasma CETP with incident CVD in a population with relatively low triglycerides. A nested

  13. A hybrid electron cyclotron resonance metal ion source with integrated sputter magnetron for the production of an intense Al{sup +} ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Weichsel, T., E-mail: tim.weichsel@fep.fraunhofer.de; Hartung, U.; Kopte, T. [Fraunhofer Institute for Organic Electronics, Electron Beam and Plasma Technology FEP, 01277 Dresden (Germany); Zschornack, G. [Institute of Solid State Physics, Dresden University of Technology, 01062 Dresden, Germany and Helmholtz-Zentrum Dresden-Rossendorf e.V., Institute of Ion Beam Physics and Materials Research, Dresden (Germany); Kreller, M.; Philipp, A. [DREEBIT GmbH, 01900 Grossroehrsdorf (Germany)

    2015-09-15

    A metal ion source prototype has been developed: a combination of magnetron sputter technology with 2.45 GHz electron cyclotron resonance (ECR) ion source technology—a so called magnetron ECR ion source (MECRIS). An integrated ring-shaped sputter magnetron with an Al target is acting as a powerful metal atom supply in order to produce an intense current of singly charged metal ions. Preliminary experiments show that an Al{sup +} ion current with a density of 167 μA/cm{sup 2} is extracted from the source at an acceleration voltage of 27 kV. Spatially resolved double Langmuir probe measurements and optical emission spectroscopy were used to study the plasma states of the ion source: sputter magnetron, ECR, and MECRIS plasma. Electron density and temperature as well as Al atom density were determined as a function of microwave and sputter magnetron power. The effect of ECR heating is strongly pronounced in the center of the source. There the electron density is increased by one order of magnitude from 6 × 10{sup 9} cm{sup −3} to 6 × 10{sup 10} cm{sup −3} and the electron temperature is enhanced from about 5 eV to 12 eV, when the ECR plasma is ignited to the magnetron plasma. Operating the magnetron at constant power, it was observed that its discharge current is raised from 1.8 A to 4.8 A, when the ECR discharge was superimposed with a microwave power of 2 kW. At the same time, the discharge voltage decreased from about 560 V to 210 V, clearly indicating a higher plasma density of the MECRIS mode. The optical emission spectrum of the MECRIS plasma is dominated by lines of excited Al atoms and shows a significant contribution of lines arising from singly ionized Al. Plasma emission photography with a CCD camera was used to prove probe measurements and to identify separated plasma emission zones originating from the ECR and magnetron discharge.

  14. Multilayered and composite PVD-CVD coatings in cemented carbides manufacture

    International Nuclear Information System (INIS)

    Glushkov, V.N.; Anikeev, A.I.; Anikin, V.N.; Vereshchaka, A.S.

    2001-01-01

    Carbide cutting tools with wear-resistant coatings deposited by CVD process are widely employed in mechanical engineering to ensure a substantially longer service life of tool systems. However, the relatively high temperature and long time of the process make the substrate decarburise and, as a result, the bend strength and performance characteristics of a tool decrease. The present study suggests the problem of deteriorated strength of CVD-coated carbide tools be solved by the development of a technology that combines arc-PVD and CVD processes to deposit multilayered coatings of titanium and aluminium compounds. (author)

  15. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model.

    Science.gov (United States)

    van Kempen, Bob J H; Ferket, Bart S; Hofman, Albert; Steyerberg, Ewout W; Colkesen, Ersen B; Boekholdt, S Matthijs; Wareham, Nicholas J; Khaw, Kay-Tee; Hunink, M G Myriam

    2012-12-06

    We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC) model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1) internal and 2) predictive validity, the incidences of coronary heart disease (CHD), stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3) External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC)-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. At year 5, the observed incidences (with simulated incidences in brackets) of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%), 3.60% (3.23%), 4.70% (4.80%), and 7.50% (7.96%), respectively. At year 13, these percentages were 10.60% (10.91%), 9.90% (9.13%), 14.20% (15.12%), and 24.30% (23.42%). After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated) incidences of CVD and non-CVD mortality were 3.70% (4.95%) and 6.50% (6.29%). All observed incidences fell well within the 95% credibility intervals of the simulated incidences. We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  16. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC model

    Directory of Open Access Journals (Sweden)

    van Kempen Bob JH

    2012-12-01

    Full Text Available Abstract Background We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods The Rotterdam Ischemic Heart Disease and Stroke Computer Simulation (RISC model was developed using data covering 5 years of follow-up from the Rotterdam Study. To prove 1 internal and 2 predictive validity, the incidences of coronary heart disease (CHD, stroke, CVD death, and non-CVD death simulated by the model over a 13-year period were compared with those recorded for 3,478 participants in the Rotterdam Study with at least 13 years of follow-up. 3 External validity was verified using 10 years of follow-up data from the European Prospective Investigation of Cancer (EPIC-Norfolk study of 25,492 participants, for whom CVD and non-CVD mortality was compared. Results At year 5, the observed incidences (with simulated incidences in brackets of CHD, stroke, and CVD and non-CVD mortality for the 3,478 Rotterdam Study participants were 5.30% (4.68%, 3.60% (3.23%, 4.70% (4.80%, and 7.50% (7.96%, respectively. At year 13, these percentages were 10.60% (10.91%, 9.90% (9.13%, 14.20% (15.12%, and 24.30% (23.42%. After recalibrating the model for the EPIC-Norfolk population, the 10-year observed (simulated incidences of CVD and non-CVD mortality were 3.70% (4.95% and 6.50% (6.29%. All observed incidences fell well within the 95% credibility intervals of the simulated incidences. Conclusions We have confirmed the internal, predictive, and external validity of the RISC model. These findings provide a basis for analyzing the effects of modifying cardiovascular disease risk factors on the burden of CVD with the RISC model.

  17. Iron-fullerene mixture plasma

    International Nuclear Information System (INIS)

    Biri, S.; Fekete, E.

    2004-01-01

    Complete text of publication follows. In many laboratories new materials useful for nanotechnology and medical applications are searched and studied. In the ECR labo- ratory one of our future goals is to produce endohedral fullerene molecules (e.g Fe C 60 ) in large quantity. If this comes true, it will be possible to make building blocks for nanoparts, an ultra-contrast medium of MRI, and a magnetic nano-particle for treatment of cancer. For this experiment some modifications were carried out on the ATOMKI-ECRIS [1]. The waveguide of the 14.5 GHz microwave generator was divided in order to couple very low powers (1 watt or less) into the plasma. The C 60 component of the plasma was produced by using a simple oven. Among known methods (oven, sputtering, electron bombardment, compounds containing Fe), we have chosen the evaporation of ferrocene [Fe(C 5 H 5 ) 2 ] powder to introduce Fe atoms into the plasma. The ferrocene chamber was connected to one of the two gas feeding lines and the evaporation rate was controlled by needle valve. The extraction voltage had to be kept as low as 600V, because of the low mass-energy product of our bending magnet. First we developed independently the rough working conditions for single-charged dense iron and fullerene plasmas. Then a clean fullerene plasma was made. The temperature of the oven was about 450 deg C. The bending magnet was set to the C 60 peak (M=720) and about 50-100 nA intensity of single-charged fullerene peak was obtained. Then the magnet was set to the position of the searched Fe C 60 or FeC 60 peak (M=776) and the ferrocene valve was opened. A very difficult and long tuning followed. Finally we found a new large peak with higher mass than C 60 . In Figure 1 the centre of the new big peak on the right side is located at M=776 which corresponds to FeC 60 and/or Fe C 60 molecules. The peak is wide and shows some structure. We think it may contain impurities attached to the C 58 , C 59 , C 60 and FeC 60 molecules. We

  18. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  19. Undoped CVD diamond films for electrochemical applications

    International Nuclear Information System (INIS)

    Mosinska, Lidia; Fabisiak, Kazimierz; Paprocki, Kazimierz; Kowalska, Magdalena; Popielarski, Pawel; Szybowicz, Miroslaw

    2013-01-01

    By using different deposition conditions, the CVD diamond films with different qualities and orientation were grown by the hot-filament CVD technique. The object of this article is to summarize and discuss relation between structural, physical and electrochemical properties of different diamond electrodes. The physical properties of the Hot Filament CVD microcrystalline diamond films are analyzed by scanning electron microscopy and Raman spectroscopy. In presented studies two different electrodes were used of the diamond grain sizes around 200 nm and 10 μm, as it was estimated from SEM picture. The diamond layers quality was checked on basis of FWHM (Full width at Half Maximum) of 1332 cm −1 diamond Raman peak. The ratio of sp 3 /sp 2 carbon bonds was determined by 1550 cm −1 G band and 1350 cm −1 D band in the Raman spectrum. The electrochemical properties were analyzed using (CV) cyclic voltammetry measurements in aqueous solutions. The sensitivity of undoped diamond electrodes depends strongly on diamond film quality and concentration of amorphous carbon phase in the diamond layer

  20. CVD diamond detectors and dosimeters

    International Nuclear Information System (INIS)

    Manfredotti, C.; Fizzotti, F.; LoGiudice, A.; Paolini, C.; Oliviero, P.; Vittone, E.; Torino Univ., Torino

    2002-01-01

    Natural diamond, because of its well-known properties of tissue-equivalence, has recorded a wide spreading use in radiotherapy planning with electron linear accelerators. Artificial diamond dosimeters, as obtained by Chemical Vapour Deposition (CVD) could be capable to offer the same performances and they can be prepared in different volumes and shapes. The dosimeter sensitivity per unit volume may be easily proved to be better than standard ionization microchamber. We have prepared in our laboratory CVD diamond microchamber (diamond tips) in emispherical shape with an external diameter of 200 μm, which can be used both as X-ray beam profilometers and as microdosimeters for small field applications like stereotaxy and also for in vivo applications. These dosimeters, which are obtained on a wire substrate that could be either metallic or SiC or even graphite, display good performances also as ion or synchrotron X-rays detectors

  1. Study on the low leakage current of an MIS structure fabricated by ICP-CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, S-Y; Hon, M-H [Department of Materials Science and Engineering, National Cheng Kung University, 1, Ta-Hsueh Road, Tainan, 701 Taiwan (China); Lu, Y-M, E-mail: ymlumit@yahoo.com.tw

    2008-03-15

    As the dimensions of electric devices continue to shrink, it is becoming increasingly important to understand how to obtain good quality gate oxide film materials wilth higher carrier mobility, lower leakage current and greater reliability. All of them have become major concerns in the fabrication of thin film oxide transistors. A novel film deposition method called Inductively Coupled Plasma-Chemical Vapor Deposition (ICP-CVD) has received attraction in the semiconductor industry, because it can be capable of generating high density plasmas at extremely low temperature, resulting in less ion bombardment of the material surface. In this work, we present the results of crystallized silicon dioxide films deposited by inductively coupled plasma chemical vapor deposition technique at an extremely low temperature of 90 deg. C. The value of the refractive index of the crystallized ICP-CVD SiO{sub 2} film depends on the r.f. power of the ICP system, and approximates to be 1.46. This value is comparable to that of SiO{sub 2} films prepared by thermal oxidation. As the r.f. power of ICP applied more than 1250 Watts, still only the (111) diffraction peak is observed by XRD, which implies a very strong preferred orientation or single crystal structure. Too low or too high r.f. power both produces amorphous SiO{sub 2} films. From the I-V curve, the MIS device with a SiO{sub 2} dielectric film has a lower leakage current density of 6.8x10{sup -8}A/cm{sup 2} at 1V as the film prepared at 1750 watts. The highest breakdown field in this study is 15.8 MV/cm. From the FTIR analysis, it was found that more hydrogen atoms incorporate into films and form Si-OH bonds as the r.f. power increases. The existence of Si-OH bonds leads to a poor reliability of the MIS device.

  2. Recent results on CVD diamond radiation sensors

    Science.gov (United States)

    Weilhammer, P.; Adam, W.; Bauer, C.; Berdermann, E.; Bogani, F.; Borchi, E.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; v. d. Eijk, R.; van Eijk, B.; Fallou, A.; Fish, D.; Fried, M.; Gan, K. K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Knopfle, K. T.; Krammer, M.; Manfredi, P. F.; Meier, D.; LeNormand; Pan, L. S.; Pernegger, H.; Pernicka, M.; Plano, R.; Re, V.; Riester, J. L.; Roe, S.; Roff; Rudge, A.; Schieber, M.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Tapper, R. J.; Tesarek, R.; Thomson, G. B.; Trawick, M.; Trischuk, W.; Turchetta, R.; RD 42 Collaboration

    1998-02-01

    CVD diamond radiation sensors are being developed for possible use in trackers in the LHC experiments. The diamond promises to be radiation hard well beyond particle fluences that can be tolerated by Si sensors. Recent results from the RD 42 collaboration on charge collection distance and on radiation hardness of CVD diamond samples will be reported. Measurements with diamond tracking devices, both strip detectors and pixel detectors, will be discussed. Results from beam tests using a diamond strip detector which was read out with fast, 25 ns shaping time, radiation-hard pipeline electronics will be presented.

  3. High Growth Rate Deposition of Hydrogenated Amorphous Silicon-Germanium Films and Devices Using ECR-PECVD

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yong [Iowa State Univ., Ames, IA (United States)

    2002-01-01

    Hydrogenated amorphous silicon germanium films (a-SiGe:H) and devices have been extensively studied because of the tunable band gap for matching the solar spectrum and mature the fabrication techniques. a-SiGe:H thin film solar cells have great potential for commercial manufacture because of very low cost and adaptability to large-scale manufacturing. Although it has been demonstrated that a-SiGe:H thin films and devices with good quality can be produced successfully, some issues regarding growth chemistry have remained yet unexplored, such as the hydrogen and inert-gas dilution, bombardment effect, and chemical annealing, to name a few. The alloying of the SiGe introduces above an order-of-magnitude higher defect density, which degrades the performance of the a-SiGe:H thin film solar cells. This degradation becomes worse when high growth-rate deposition is required. Preferential attachment of hydrogen to silicon, clustering of Ge and Si, and columnar structure and buried dihydride radicals make the film intolerably bad. The work presented here uses the Electron-Cyclotron-Resonance Plasma-Enhanced Chemical Vapor Deposition (ECR-PECVD) technique to fabricate a-SiGe:H films and devices with high growth rates. Helium gas, together with a small amount of H2, was used as the plasma species. Thickness, optical band gap, conductivity, Urbach energy, mobility-lifetime product, I-V curve, and quantum efficiency were characterized during the process of pursuing good materials. The microstructure of the a-(Si,Ge):H material was probed by Fourier-Transform Infrared spectroscopy. They found that the advantages of using helium as the main plasma species are: (1) high growth rate--the energetic helium ions break the reactive gas more efficiently than hydrogen ions; (2) homogeneous growth--heavy helium ions impinging on the surface promote the surface mobility of the reactive radicals, so that heteroepitaxy growth as clustering of Ge and Si, columnar structure are

  4. Origin, state of the art and some prospects of the diamond CVD

    CERN Document Server

    Spitsyn, B V; Alexenko, A E

    2000-01-01

    A short review on the diamond CVD origin, together with its state of the art and some prospects was given. New hybrid methods of the diamond CVD permit to gain 1.2 to 6 times of growth rate in comparison with ordinary diamond CVD's. Recent results on n-type diamond film synthesis through phosphorus doping in the course of the CVD process are briefly discussed. In comparison with high-pressure diamond synthesis, the CVD processes open new facets of the diamond as ultimate crystal for science and technology evolution. It was stressed that, mainly on the basis of new CVDs of diamond, the properties of natural diamond are not only reproduced, but can be surpassed. As examples, mechanical (fracture resistance), physical (thermal conductivity), and chemical (oxidation stability) properties are mentioned. Some present issues in the field are considered.

  5. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    International Nuclear Information System (INIS)

    Boncel, Slawomir; Koziol, Krzysztof K.K.

    2014-01-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I D /I G ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs

  6. Occurrence and location of concentrated load and generator regions observed by Cluster in the plasma sheet

    Directory of Open Access Journals (Sweden)

    M. Hamrin

    2009-11-01

    Full Text Available Here, and in a companion paper by Hamrin et al. (2009 [Scale size and life time of energy conversion regions observed by Cluster in the plasma sheet], we investigate localized energy conversion regions (ECRs in the Earth's plasma sheet. In total we have studied 151 ECRs within 660 h of plasma sheet data from the summer and fall of 2001 when Cluster was close to apogee at an altitude of about 15–20 RE. Cluster offers appropriate conditions for the investigation of energy conversion by the evaluation of the power density, E·J, where E is the electric field and J the current density. From the sign of the power density, we have identified more than three times as many Concentrated Load Regions (CLRs as Concentrated Generator Regions (CGRs. We also note that the CLRs appear to be stronger. To our knowledge, these are the first in situ observations confirming the general notion of the plasma sheet, on the average, behaving as a load. At the same time the plasma sheet appears to be highly structured, with energy conversion occurring in both directions between the fields and the particles. From our data we also find that the CLRs appear to be located closer to the neutral sheet, while CGRs prefer locations towards the plasma sheet boundary layer (PSBL. For both CLRs and CGRs, E and J in the GSM y (cross-tail direction dominate the total power density, even though the z contribution occasionally can be significant. The prevalence of the y-direction seems to be weaker for the CGRs, possibly related to a higher fluctuation level near the PSBL.

  7. Lp(a-cholesterol is associated with HDL-cholesterol in overweight and obese African American children and is not an independent risk factor for CVD

    Directory of Open Access Journals (Sweden)

    Sharma Sushma

    2012-01-01

    Full Text Available Abstract Background The role of Lipoprotein (a cholesterol {Lp(a-C}as an additional and/or independent risk factor for cardiovascular disease (CVD is not clear. We evaluated the associations between Lp(a-C and other CVD risk factors including plasma lipoprotein concentrations and body fatness in overweight and obese African American children. Methods A cross-sectional analysis was carried out using data from a sample of 121 African American children aged 9-11 years with Body Mass Index (BMI's greater than the 85th percentile. Body height, weight and waist circumference (WC were measured. Fasting plasma concentrations of Lp(a-C, Total cholesterol (TC, High density lipoprotein cholesterol (HDL-C, Very low density lipoprotein cholesterol (VLDL-C, Intermediate density lipoprotein cholesterol (IDL-C, Low density lipoprotein cholesterol (LDL-C, and Triacylglycerides (TAG were analyzed using the vertical auto profile (VAP cholesterol method. Results After adjusting for child age, gender, and pubertal status, Lp(a-C was positively associated with both HDL-C and TC, and negatively associated with VLDL-C and TAG. Including BMIz and WC as additional covariates did not alter the direction of the relationships between Lp(a-C and the other lipoproteins. Finally, after adjusting for the other plasma lipoproteins, Lp(a-C remained strongly associated with HDL-C, whereas the associations of Lp(a-C with the other lipoproteins were not significant when HDL-C was simultaneously included in the regression models. Conclusions Lp(a-C was positively associated with HDL-C and this association is not influenced by other lipoprotein subclasses or by the degree of obesity. We conclude that Lp(a cholesterol is not an independent risk factor for CVD in African American children.

  8. Selective CVD tungsten on silicon implanted SiO/sub 2/

    International Nuclear Information System (INIS)

    Hennessy, W.A.; Ghezzo, M.; Wilson, R.H.; Bakhru, H.

    1988-01-01

    The application range of selective CVD tungsten is extended by its coupling to the ion implantation of insulating materials. This article documents the results of selective CVD tungsten using silicon implanted into SiO/sub 2/ to nucleate the tungsten growth. The role of implant does, energy, and surface preparation in achieving nucleation are described. SEM micrographs are presented to demonstrate the selectivity of this process. Measurements of the tungsten film thickness and sheet resistance are provided for each of the experimental variants corresponding to successful deposition. RBS and XPS analysis are discussed in terms of characterizing the tungsten/oxide interface and to evaluate the role of the silicon implant in the CVD tungsten mechanism. Utilizing this method a desired metallization pattern can be readily defined with lithography and ion implantation, and accurately replicated with a layer of CVD tungsten. This approach avoids problems usually associated with blanket deposition and pattern transfer, which are particularly troublesome for submicron VLSI technology

  9. Effects of Light Intensity Activity on CVD Risk Factors: A Systematic Review of Intervention Studies

    Directory of Open Access Journals (Sweden)

    Romeo B. Batacan

    2015-01-01

    Full Text Available The effects of light intensity physical activity (LIPA on cardiovascular disease (CVD risk factors remain to be established. This review summarizes the effects of LIPA on CVD risk factors and CVD-related markers in adults. A systematic search of four electronic databases (PubMed, Academic Search Complete, SPORTDiscus, and CINAHL examining LIPA and CVD risk factors (body composition, blood pressure, glucose, insulin, glycosylated hemoglobin, and lipid profile and CVD-related markers (maximal oxygen uptake, heart rate, C-reactive protein, interleukin-6, tumor necrosis factor-alpha, and tumor necrosis factor receptors 1 and 2 published between 1970 and 2015 was performed on 15 March 2015. A total of 33 intervention studies examining the effect of LIPA on CVD risk factors and markers were included in this review. Results indicated that LIPA did not improve CVD risk factors and CVD-related markers in healthy individuals. LIPA was found to improve systolic and diastolic blood pressure in physically inactive populations with a medical condition. Reviewed studies show little support for the role of LIPA to reduce CVD risk factors. Many of the included studies were of low to fair study quality and used low doses of LIPA. Further studies are needed to establish the value of LIPA in reducing CVD risk.

  10. Measurement of the 60 GHz ECR ion source using megawatt magnets - SEISM magnetic field map

    International Nuclear Information System (INIS)

    Marie-Jeanne, M.; Jacob, J.; Lamy, T.; Latrasse, L.; Debray, F.; Matera, J.; Pfister, R.; Trophine, C.

    2012-01-01

    LPSC has developed a 60 GHz Electron Cyclotron Resonance (ECR) Ion Source prototype called SEISM. The magnetic structure uses resistive poly-helix coils designed in collaboration with the French National High Magnetic Fields Facility (LNCMI) to produce a CUSP magnetic configuration. A dedicated test bench and appropriate electrical and water cooling environments were built to study the validity of the mechanics, the thermal behaviour and magnetic field characteristics obtained at various current intensities. During the last months, measurements were performed for several magnetic configurations, with up to 7000 A applied on the injection and extraction coils sets. The magnetic field achieved at 13000 A is expected to allow 28 GHz ECR condition, so by extrapolation 60 GHz should be possible at about 28000 A. However, cavitation issues that appeared around 7000 A are to be solved before carrying on with the tests. This contribution will recall some of the crucial steps in the prototype fabrication, and show preliminary results from the measurements at 7000 A. Possible explanations for the differences observed between the results and the simulation will be given. The paper is followed by the slides of the presentation. (authors)

  11. The influence of ambipolarity on plasma confinement and on the performance of electron cyclotron resonance ion sources.

    Science.gov (United States)

    Schachter, L; Dobrescu, S; Stiebing, K E; Thuillier, T; Lamy, T

    2008-02-01

    Charge diffusion in an electron cyclotron resonance ion source (ECRIS) discharge is usually characterized by nonambipolar behavior. While the ions are transported to the radial walls, electrons are lost axially from the magnetic trap. Global neutrality is maintained via compensating currents in the conducting walls of the vacuum chamber. It is assumed that this behavior reduces the ion breeding times compared to a truly ambipolar plasma. We have carried out a series of dedicated experiments in which the ambipolarity of the ECRIS plasma was influenced by inserting special metal-dielectric structures (MD layers) into the plasma chamber of the Frankfurt 14 GHz ECRIS. The measurements demonstrate the positive influence on the source performance when the ECR plasma is changed toward more ambipolar behavior.

  12. Recent results with CVD diamond trackers

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; White, C.; Ziock, H.; Zoeller, M

    1999-08-01

    We present recent results on the use of Chemical Vapor Deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1 x 1 cm{sup 2} diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 {mu}s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2 x 4 cm{sup 2} diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch.

  13. Recent results with CVD diamond trackers

    CERN Document Server

    Adam, W; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; White, C; Ziock, H J; Zöller, M

    1999-01-01

    We present recent results on the use of chemical vapor deposition (CVD) diamond microstrip detectors for charged particle tracking. A series of detectors was fabricated using 1*1 cm/sup 2/ diamonds. Good signal-to-noise ratios were observed using both slow and fast readout electronics. For slow readout electronics, 2 mu s shaping time, the most probable signal-to-noise ratio was 50 to 1. For fast readout electronics, 25 ns peaking time, the most probable signal-to-noise ratio was 7 to 1. Using the first 2*4 cm/sup 2/ diamond from a production CVD reactor with slow readout electronics, the most probable signal-to-noise ratio was 23 to 1. The spatial resolution achieved for the detectors was consistent with the digital resolution expected from the detector pitch. (6 refs).

  14. Ion beams: from plasma physics to applications in analysis and irradiation fields

    International Nuclear Information System (INIS)

    Khodja, Hicham

    2012-01-01

    In this HDR (Accreditation to supervise research) report, the author proposes an overview of his research activities. A first part comments a research which aimed at determining the distribution of ion populations in an electron cyclotron resonance (ECR) plasma. Then, after a brief recall of the principles and techniques of analysis based on ion beams, he presents some characteristics of the CEA/Saclay nuclear microprobe. He reports various works related to material science and to biology, and discusses the associated perspectives [fr

  15. Creation and behavior of radicals and ions in the Acetylene/Argon microwave ECR discharge

    Czech Academy of Sciences Publication Activity Database

    Pokorný, Petr; Musil, Jindřich; Novotný, Michal; Lančok, Ján; Fitl, Přemysl; Vlček, Jan

    2017-01-01

    Roč. 14, č. 12 (2017), s. 1-9, č. článku 1700062. ISSN 1612-8850 R&D Projects: GA ČR GA17-13427S; GA MŠk LO1409; GA MŠk LM2015088 Institutional support: RVO:68378271 Keywords : acetylene * ECR discharge * ions * mass spectrometry * radicals Subject RIV: BM - Solid Matter Physics ; Magnetism OBOR OECD: Condensed matter physics (including formerly solid state physics, supercond.) Impact factor: 2.846, year: 2016

  16. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  17. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  18. Changes in CVD risk factors in the activity counseling trial

    Directory of Open Access Journals (Sweden)

    Meghan Baruth

    2011-01-01

    Full Text Available Meghan Baruth1, Sara Wilcox1, James F Sallis3, Abby C King4,5, Bess H Marcus6, Steven N Blair1,21Department of Exercise Science, 2Department of Epidemiology and Biostatistics, Arnold School of Public Health, University of South Carolina, Public Health Research Center, Columbia, SC, USA; 3Department of Psychology, San Diego State University, San Diego, CA, USA; 4Department of Health Research and Policy, 5Stanford Prevention Research Center, Department of Medicine, Stanford University School of Medicine, Stanford, CA, USA; 6Behavioral and Social Sciences Section, Brown University Program in Public Health, Providence, RI, USAAbstract: Primary care facilities may be a natural setting for delivering interventions that focus on behaviors that improve cardiovascular disease (CVD risk factors. The purpose of this study was to examine the 24-month effects of the Activity Counseling Trial (ACT on CVD risk factors, to examine whether changes in CVD risk factors differed according to baseline risk factor status, and to examine whether changes in fitness were associated with changes in CVD risk factors. ACT was a 24-month multicenter randomized controlled trial to increase physical activity. Participants were 874 inactive men and women aged 35–74 years. Participants were randomly assigned to one of three arms that varied by level of counseling, intensity, and resource requirements. Because there were no significant differences in change over time between arms on any of the CVD risk factors examined, all arms were combined, and the effects of time, independent of arm, were examined separately for men and women. Time × Baseline risk factor status interactions examined whether changes in CVD risk factors differed according to baseline risk factor status. Significant improvements in total cholesterol, high-density lipoprotein cholesterol (HDL-C and low-density lipoprotein cholesterol, the ratio of total cholesterol to HDL-C, and triglycerides were seen in

  19. Radiation monitoring with CVD diamonds and PIN diodes at BaBar

    Energy Technology Data Exchange (ETDEWEB)

    Bruinsma, M. [University of California Irvine, Irvine, CA 92697 (United States); Burchat, P. [Stanford University, Stanford, CA 94305-4060 (United States); Curry, S. [University of California Irvine, Irvine, CA 92697 (United States)], E-mail: scurry@slac.stanford.edu; Edwards, A.J. [Stanford University, Stanford, CA 94305-4060 (United States); Kagan, H.; Kass, R. [Ohio State University, Columbus, OH 43210 (United States); Kirkby, D. [University of California Irvine, Irvine, CA 92697 (United States); Majewski, S.; Petersen, B.A. [Stanford University, Stanford, CA 94305-4060 (United States)

    2007-12-11

    The BaBar experiment at the Stanford Linear Accelerator Center has been using two polycrystalline chemical vapor deposition (pCVD) diamonds and 12 silicon PIN diodes for radiation monitoring and protection of the Silicon Vertex Tracker (SVT). We have used the pCVD diamonds for more than 3 years, and the PIN diodes for 7 years. We will describe the SVT and SVT radiation monitoring system as well as the operational difficulties and radiation damage effects on the PIN diodes and pCVD diamonds in a high-energy physics environment.

  20. Characteristics of an Electron Cyclotron Resonance Plasma Source for the Production of Active Nitrogen Species in III-V Nitride Epitaxy

    Science.gov (United States)

    Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    A simple analysis is provided to determine the characteristics of an electron cyclotron resonance (ECR) plasma source for the generation of active nitrogen species in the molecular beam epitaxy of III-V nitrides. The effects of reactor geometry, pressure, power, and flow rate on the dissociation efficiency and ion flux are presented. Pulsing the input power is proposed to reduce the ion flux.

  1. Industrial science and technology research and development project of university cooperative type in fiscal 2000. Report on achievements in semiconductor device manufacturing processes using Cat-CVD method (Semiconductor device manufacturing processes using Cat-CVD method); 2000 nendo daigaku renkeigata sangyo kagaku gijutsu kenkyu kaihatsu project. Cat-CVD ho ni yoru handotai device seizo process seika hokokusho (Cat-CVD ho ni yoru handotai device seizo process)

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    The catalytic chemical vapor deposition (Cat-CVD) method is a low-temperature thin film depositing technology that can achieve improvement in quality of semiconductor thin films and can perform inexpensive film deposition in a large area. The present project is composed of the basic research and development theme and the demonstrative research and development theme for the Cat-CVD method. This report summarizes the achievements in fiscal 2000 centering on the former theme. Discussions were given on the following five areas: 1) simulation on film thickness distribution in the Cat-CVD method, 2) life extension by preventing the catalyst converting into silicide and development of a catalyst integrated shear head, 3) vapor diagnosis in the film forming process by the Cat-CVD method using silane, hydrogen and ammonia, 4) a technology for high-speed deposition of hydrogenated amorphous silicon films for solar cells using the Cat-CVD method, and the low-temperature silicon oxide nitriding technology using heated catalysts, and 5) discussions on compatibility of transparent oxide electrode materials to the process of manufacturing thin-film silicon-based solar cells by using the Cat-CVD method. (NEDO)

  2. Surface structuring of boron doped CVD diamond by micro electrical discharge machining

    Science.gov (United States)

    Schubert, A.; Berger, T.; Martin, A.; Hackert-Oschätzchen, M.; Treffkorn, N.; Kühn, R.

    2018-05-01

    Boron doped diamond materials, which are generated by Chemical Vapor Deposition (CVD), offer a great potential for the application on highly stressed tools, e. g. in cutting or forming processes. As a result of the CVD process rough surfaces arise, which require a finishing treatment in particular for the application in forming tools. Cutting techniques such as milling and grinding are hardly applicable for the finish machining because of the high strength of diamond. Due to its process principle of ablating material by melting and evaporating, Electrical Discharge Machining (EDM) is independent of hardness, brittleness or toughness of the workpiece material. EDM is a suitable technology for machining and structuring CVD diamond, since boron doped CVD diamond is electrically conductive. In this study the ablation characteristics of boron doped CVD diamond by micro electrical discharge machining are investigated. Experiments were carried out to investigate the influence of different process parameters on the machining result. The impact of tool-polarity, voltage and discharge energy on the resulting erosion geometry and the tool wear was analyzed. A variation in path overlapping during the erosion of planar areas leads to different microstructures. The results show that micro EDM is a suitable technology for finishing of boron doped CVD diamond.

  3. Immobilization of glucoamylase on ceramic membrane surfaces modified with a new method of treatment utilizing SPCP-CVD.

    Science.gov (United States)

    Ida; Matsuyama; Yamamoto

    2000-07-01

    Glucoamylase, as a model enzyme, was immobilized on a ceramic membrane modified by surface corona discharge induced plasma chemical process-chemical vapor deposition (SPCP-CVD). Characterizations of the immobilized enzyme were then discussed. Three kinds of ceramic membranes with different amounts of amino groups on the surface were prepared utilizing the SPCP-CVD method. Each with 1-time, 3-times and 5-times surface modification treatments and used for supports in glucoamylase immobilization. The amount of immobilized glucoamylase increased with the increase in the number of surface modification treatments and saturated to a certain maximum value estimated by a two-dimensional random packing. The operational stability of the immobilized glucoamylase also increased with the increase in the number of the surface treatment. It was almost the same as the conventional method, while the activity of immobilized enzyme was higher. The results indicated the possibility of designing the performance of the immobilized enzyme by controlling the amount of amino groups. The above results showed that the completely new surface modification method using SPCP was effective in modifying ceramic membranes for enzyme immobilization.

  4. A high intensity Stern-Gerlach polarized hydrogen source for the Munich MP-Tandem laboratory using ECR ionization and charge exchange in cesium vapor

    International Nuclear Information System (INIS)

    Hertenberger, R.; Eisermann, Y.; Metz, A.; Schiemenz, P.; Graw, G.

    2001-01-01

    The 14 year old Lamb-Shift hydrogen source of the Munich Tandem laboratory is presently replaced by a newly developed Stern-Gerlach type atomic beam source (ABS) with electron-cyclotron-resonance (ECR) ionization and subsequent double charge exchange in a supersonic cesium vapor jet target. The atomic beam source provides an intensity of 6.4*10 16 atoms/sec of polarized hydrogen and of about 5*10 16 atoms/sec of polarized deuterium. Beam intensities larger than 100 μA were observed for positive H-vector + and D-vector + ion beams after ECR ionization and intensities larger than 10 μA for negative D-vector - ion beams in three magnetic substates

  5. Thermal Analysis of Cold Vacuum Drying (CVD) of Spent Nuclear Fuel (SNF)

    International Nuclear Information System (INIS)

    PIEPHO, M.G.

    2000-01-01

    The thermal analysis examined transient thermal and chemical behavior of the Multi-Canister Overpack (MCO) container for a broad range of cases that represent the Cold Vacuum Drying (CVD) processes. The cases were defined to consider both normal and off-normal operations at the CVD Facility for an MCO with N Reactor spent fuel. This analysis provides the basis for the MCO thermal behavior at the CVD Facility in support of the safety basis documentation

  6. Cutting characteristics of dental diamond burs made with CVD technology Características de corte de pontas odontológicas diamantadas obtidas pela tecnologia CVD

    Directory of Open Access Journals (Sweden)

    Luciana Monti Lima

    2006-04-01

    Full Text Available The aim of this study was to determine the cutting ability of chemical vapor deposition (CVD diamond burs coupled to an ultrasonic dental unit handpiece for minimally invasive cavity preparation. One standard cavity was prepared on the mesial and distal surfaces of 40 extracted human third molars either with cylindrical or with spherical CVD burs. The cutting ability was compared regarding type of substrate (enamel and dentin and direction of handpiece motion. The morphological characteristics, width and depth of the cavities were analyzed and measured using scanning electron micrographs. Statistical analysis using the Kruskal-Wallis test (p O objetivo deste estudo foi determinar a habilidade de corte das pontas de diamante obtidas pelo processo de deposição química a vapor (CVD associadas ao aparelho de ultra-som no preparo cavitário minimamente invasivo. Uma cavidade padronizada foi preparada nas faces mesial e distal de 40 terceiros molares, utilizando-se pontas de diamante CVD cilíndrica e esférica. A habilidade de corte foi comparada quanto ao tipo de substrato (esmalte e dentina e quanto à direção do movimento realizado com a ponta. As características morfológicas, a largura e profundidade das cavidades foram analisadas e medidas em microscopia eletrônica de varredura. A análise estatística pelo teste de Kruskal-Wallis (p < 0,05 revelou que a largura e profundidade das cavidades foram significativamente maiores em dentina. Cavidades mais largas foram obtidas quando se utilizou a ponta de diamante CVD cilíndrica, e mais profundas quando a ponta esférica foi empregada. A direção do movimento da ponta não influenciou o tamanho das cavidades, sendo os cortes produzidos pelas pontas de diamante CVD precisos e conservadores.

  7. Effect of substrate bias on deposition behaviour of charged silicon nanoparticles in ICP-CVD process

    International Nuclear Information System (INIS)

    Yoo, Seung-Wan; Kim, Jung-Hyung; Seong, Dae-Jin; You, Shin-Jae; Seo, Byong-Hoon; Hwang, Nong-Moon

    2017-01-01

    The effect of a substrate bias on the deposition behaviour of crystalline silicon films during inductively coupled plasma chemical vapour deposition (ICP-CVD) was analysed by consideration of non-classical crystallization, in which the building block is a nanoparticle rather than an individual atom or molecule. The coexistence of positively and negatively charged nanoparticles in the plasma and their role in Si film deposition are confirmed by applying bias voltages to the substrate, which is sufficiently small as not to affect the plasma potential. The sizes of positively and negatively charged nanoparticles captured on a carbon membrane and imaged using TEM are, respectively, 2.7–5.5 nm and 6–13 nm. The film deposited by positively charged nanoparticles has a typical columnar structure. In contrast, the film deposited by negatively charged nanoparticles has a structure like a powdery compact with the deposition rate about three times higher than that for positively charged nanoparticles. All the films exhibit crystallinity even though the substrate is at room temperature, which is attributed to the deposition of crystalline nanoparticles formed in the plasma. The film deposited by negatively charged nanoparticles has the highest crystalline fraction of 0.84. (paper)

  8. Diamond radiation detectors II. CVD diamond development for radiation detectors

    International Nuclear Information System (INIS)

    Kania, D.R.

    1997-01-01

    Interest in radiation detectors has supplied some of the impetus for improving the electronic properties of CVD diamond. In the present discussion, we will restrict our attention to polycrystalhne CVD material. We will focus on the evolution of these materials over the past decade and the correlation of detector performance with other properties of the material

  9. Enhanced graphitization of c-CVD grown multi-wall carbon nanotube arrays assisted by removal of encapsulated iron-based phases under thermal treatment in argon

    Energy Technology Data Exchange (ETDEWEB)

    Boncel, Slawomir, E-mail: slawomir.boncel@polsl.pl [Department of Organic Chemistry, Biochemistry and Biotechnology, Silesian University of Technology, Krzywoustego 4, 44-100 Gliwice (Poland); Koziol, Krzysztof K.K., E-mail: kk292@cam.ac.uk [Department of Materials Science and Metallurgy, University of Cambridge, 27 Charles Babbage Road, CB3 0FS Cambridge (United Kingdom)

    2014-05-01

    Graphical abstract: - Highlights: • Annealing of the c-CVD MWCNT arrays toward complete removal of iron nanoparticles. • The ICP-AES protocol established for quantitative analysis of Fe-content in MWCNTs. • The vertical alignment from the as-grown MWCNT arrays found intact after annealing. • A route to decrease number of defects/imperfections in the MWCNT graphene walls. • A foundation for commercial purification of c-CVD derived MWCNTs. - Abstract: The effect of annealing on multi-walled carbon nanotube (MWCNT) arrays grown via catalytic Chemical Vapour Deposition (c-CVD) was studied. The treatment enabled to decrease number of defects/imperfections in the graphene walls of MWCNTs’, which was reflected in Raman spectroscopy by reduction of the I{sub D}/I{sub G} ratio by 27%. Moreover, the vertical alignment from the as-synthesized nanotube arrays was found intact after annealing. Not only graphitization of the nanotube walls occurred under annealing, but the amount of metal iron-based catalyst residues (interfering with numerous physicochemical properties, and hence applications of MWCNTs) was reduced from 9.00 wt.% (for pristine MWCNTs) to 0.02 wt.% as detected by Inductively Coupled Plasma Atomic Emission Spectroscopy (ICP-AES). This value, established by a new analytical protocol, is the lowest recorded by now for purified c-CVD MWCNTs and, due to operating under atmospheric pressure, medium temperature regime (as for annealing processes), reasonable time-scale and metal residue non-specificity, it could lay the foundation for commercial purification of c-CVD derived MWCNTs.

  10. NEXAFS Study of the Annealing Effect on the Local Structure of FIB-CVD DLC

    International Nuclear Information System (INIS)

    Saikubo, Akihiko; Kato, Yuri; Igaki, Jun-ya; Kanda, Kazuhiro; Matsui, Shinji; Kometani, Reo

    2007-01-01

    Annealing effect on the local structure of diamond like carbon (DLC) formed by focused ion beam-chemical vapor deposition (FIB-CVD) was investigated by the measurement of near edge x-ray absorption fine structure (NEXAFS) and energy dispersive x-ray (EDX) spectra. Carbon K edge absorption NEXAFS spectrum of FIB-CVD DLC was measured in the energy range of 275-320 eV. In order to obtain the information on the location of the gallium in the depth direction, incidence angle dependence of NEXAFS spectrum was measured in the incident angle range from 0 deg. to 60 deg. . The peak intensity corresponding to the resonance transition of 1s→σ* originating from carbon-gallium increased from the FIB-CVD DLC annealed at 200 deg. C to the FIB-CVD DLC annealed at 400 deg. C and decreased from that at 400 deg. C to that at 600 deg. C. Especially, the intensity of this peak remarkably enhanced in the NEXAFS spectrum of the FIB-CVD DLC annealed at 400 deg. C at the incident angle of 60 deg. . On the contrary, the peak intensity corresponding to the resonance transition of 1s→π* originating from carbon double bonding of emission spectrum decreased from the FIB-CVD DLC annealed at 200 deg. C to that at 400 deg. C and increased from that at 400 deg. C to that at 600 deg. C. Gallium concentration in the FIB-CVD DLC decreased from ≅2.2% of the as-deposited FIB-CVD DLC to ≅1.5% of the FIB-CVD DLC annealed at 600 deg. C from the elementary analysis using EDX. Both experimental results indicated that gallium atom departed from FIB-CVD DLC by annealing at the temperature of 600 deg. C

  11. Effects of Dietary Macronutrients on Plasma Lipid Levels and the Consequence for Cardiovascular Disease

    Directory of Open Access Journals (Sweden)

    Emilie Daoud

    2014-10-01

    Full Text Available Despite gaining focus, cardiovascular disease (CVD remains the leading cause of death worldwide. Health promotion agencies have traditionally recommended diets that are low in fat in order to reduce CVD risk however, much debate remains about which dietary approaches are the most efficient for effective disease prevention. Common markers of CVD include elevated plasma triglycerides (TG and low-density lipoprotein (LDL cholesterol levels, as well as reduced high-density lipoprotein (HDL cholesterol levels. While weight loss alone can significantly reduce markers of CVD, manipulating dietary macronutrient content contributes to the beneficial effects of weight loss and furthers the improvement of lipid profiles even without the alteration of total caloric intake. Considering the recent attention to diets that are low in carbohydrates rather than fat, it remains to be elucidated the beneficial effects of each diet type when establishing new recommendations for CVD prevention. This review aims to examine the effects of different macronutrient compositions on lipid markers, thus providing insight into the potential roles of various diet types in the targeted prevention against CVD.

  12. A Hybrid Information Mining Approach for Knowledge Discovery in Cardiovascular Disease (CVD

    Directory of Open Access Journals (Sweden)

    Stefania Pasanisi

    2018-04-01

    Full Text Available The healthcare ambit is usually perceived as “information rich” yet “knowledge poor”. Nowadays, an unprecedented effort is underway to increase the use of business intelligence techniques to solve this problem. Heart disease (HD is a major cause of mortality in modern society. This paper analyzes the risk factors that have been identified in cardiovascular disease (CVD surveillance systems. The Heart Care study identifies attributes related to CVD risk (gender, age, smoking habit, etc. and other dependent variables that include a specific form of CVD (diabetes, hypertension, cardiac disease, etc.. In this paper, we combine Clustering, Association Rules, and Neural Networks for the assessment of heart-event-related risk factors, targeting the reduction of CVD risk. With the use of the K-means algorithm, significant groups of patients are found. Then, the Apriori algorithm is applied in order to understand the kinds of relations between the attributes within the dataset, first looking within the whole dataset and then refining the results through the subsets defined by the clusters. Finally, both results allow us to better define patients’ characteristics in order to make predictions about CVD risk with a Multilayer Perceptron Neural Network. The results obtained with the hybrid information mining approach indicate that it is an effective strategy for knowledge discovery concerning chronic diseases, particularly for CVD risk.

  13. CVD diamond based soft X-ray detector with fast response

    International Nuclear Information System (INIS)

    Li Fang; Hou Lifei; Su Chunxiao; Yang Guohong; Liu Shenye

    2010-01-01

    A soft X-ray detector has been made with high quality chemical vapor deposited (CVD) diamond and the electrical structure of micro-strip. Through the measurement of response time on a laser with the pulse width of 10 ps, the full width at half maximum of the data got in the oscilloscope was 115 ps. The rise time of the CVD diamond detector was calculated to be 49 ps. In the experiment on the laser prototype facility, the signal got by the CVD diamond detector was compared with that got by a soft X-ray spectrometer. Both signals coincided well. The detector is proved to be a kind of reliable soft X-ray detector with fast response and high signal-to-noise ratio. (authors)

  14. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-01-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte-Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometer for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. Also other neutron related applications have been suggested. (author)

  15. CVD polycrystalline diamond. A novel neutron detector and applications

    International Nuclear Information System (INIS)

    Mongkolnavin, R.

    1998-07-01

    Chemical Vapour Deposition (CVD) Polycrystalline Diamond film has been investigated as a low noise sensor for beta particles, gammas and neutrons using High Energy Physics technologies. Its advantages and disadvantages have been explored in comparison with other particle detectors such as silicon detector and other plastic scintillators. The performance and characteristic of the diamond detector have been fully studied and discussed. These studies will lead to a better understanding of how CVD diamonds perform as a detector and how to improve their performance under various conditions. A CVD diamond detector model has been proposed which is an attempt to explain the behaviour of such an extreme detector material. A novel neutron detector is introduced as a result of these studies. A good thermal and fast neutron detector can be fabricated with CVD diamond with new topologies. This detector will perform well without degradation in a high neutron radiation environment, as diamond is known to be radiation-hard. It also offers better neutrons and gammas discrimination for high gamma background applications compared to other semiconductor detectors. A full simulation of the detector has also been done using GEANT, a Monte Carlo simulation program for particle detectors. Simulation results show that CVD diamond detectors with this novel topology can detect neutrons with great directionality. Experimental work has been done on this detector in a nuclear reactor environment and accelerator source. A novel neutron source which offers a fast pulse high-energy neutrons has also been studied. With this detector, applications in neutron spectrometry for low-Z material have been pursued with various neutron detection techniques. One of these is a low-Z material identification system. The system has been designed and simulated for contraband luggage interrogation using the detector and the novel neutron source. (author)

  16. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  17. Flavonoids and Reduction of Cardiovascular Disease (CVD) in Chronic Obstructive Pulmonary Disease (COPD).

    Science.gov (United States)

    Russo, Patrizia; Prinzi, Giulia; Lamonaca, Palma; Cardaci, Vittorio; Fini, Massimo

    2018-05-13

    Chronic obstructive pulmonary disease (COPD) and cardiovascular diseases (CV) often coexist. COPD and CVD are complex diseases characterized by a strict interaction between environment and genetic. The mechanisms linking these two diseases are complex, multifactorial and not entirely understood, influencing the therapeutic approach. COPD is characterized by several comorbidities, it is hypothesizable that treatment of cardiovascular co-morbidities may reduce morbidity and mortality. Flavonoids are an important class of plant low molecular weight secondary metabolites (SMs). Convincing data from laboratory, epidemiological, and human clinical studies point to an important effects on CVD risk prevention. This review aims to provide up-to-date information on the ability of Flavonoids to reduce the CVD risk. Current studies support the potential of Flavonoids to prevent the risk of CVD. Well-designed clinical studies are suggested to evaluate advantages and limits of Flavonoids for managing CVD comorbidity in COPD. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  18. Spin transport in two-layer-CVD-hBN/graphene/hBN heterostructures

    Science.gov (United States)

    Gurram, M.; Omar, S.; Zihlmann, S.; Makk, P.; Li, Q. C.; Zhang, Y. F.; Schönenberger, C.; van Wees, B. J.

    2018-01-01

    We study room-temperature spin transport in graphene devices encapsulated between a layer-by-layer-stacked two-layer-thick chemical vapor deposition (CVD) grown hexagonal boron nitride (hBN) tunnel barrier, and a few-layer-thick exfoliated-hBN substrate. We find mobilities and spin-relaxation times comparable to that of SiO2 substrate-based graphene devices, and we obtain a similar order of magnitude of spin relaxation rates for both the Elliott-Yafet and D'Yakonov-Perel' mechanisms. The behavior of ferromagnet/two-layer-CVD-hBN/graphene/hBN contacts ranges from transparent to tunneling due to inhomogeneities in the CVD-hBN barriers. Surprisingly, we find both positive and negative spin polarizations for high-resistance two-layer-CVD-hBN barrier contacts with respect to the low-resistance contacts. Furthermore, we find that the differential spin-injection polarization of the high-resistance contacts can be modulated by dc bias from -0.3 to +0.3 V with no change in its sign, while its magnitude increases at higher negative bias. These features point to the distinctive spin-injection nature of the two-layer-CVD-hBN compared to the bilayer-exfoliated-hBN tunnel barriers.

  19. Conditioning of TJ-II Stellarator during the ECRH Plasmas Period; Acondicionamiento del Stellarator TJ-II durante la Etapa de Plasmas ECRH

    Energy Technology Data Exchange (ETDEWEB)

    Tafalla, D.; Tabares, F.L.

    2001-07-01

    The TJ-II stellarator has been conditioned by glow discharge (GD) during the first campaigns of operation, working only with ECR heating and all metal walls. The application of a He GD during the overnight period before the operation has been required in order to obtain reproducible discharges. However, the density control of the ECRH discharges was not possible because of the He implanted on the wall during GS. An short Ar GD({<=}30 min) applied before the operation allows desorbes part of the implanted He. By applying this procedure (HeGD+ArGD), reproducible and density controlled plasmas have been achieved in H{sub 2} and He. (Author) 20 refs.

  20. CVD diamonds as thermoluminescent detectors for medical applications

    International Nuclear Information System (INIS)

    Marczewska, B.; Olko, P.; Nesladek, M.; Waligorski, M.P.R.; Kerremans, Y.

    2002-01-01

    Diamond is believed to be a promising material for medical dosimetry due to its tissue equivalence, mechanical and radiation hardness, and lack of solubility in water or in disinfecting agents. A number of diamond samples, obtained under different growth conditions at Limburg University, using the chemical vapour deposition (CVD) technique, was tested as thermoluminescence dosemeters. Their TL glow curve, TL response after doses of gamma rays, fading, and so on were studied at dose levels and for radiation modalities typical for radiotherapy. The investigated CVD diamonds displayed sensitivity comparable with that of MTS-N (Li:Mg,Ti) detectors, signal stability (reproducibility after several readouts) below 10% (1 SD) and no fading was found four days after irradiation. A dedicated CVD diamond plate was grown, cut into 20 detector chips (3x3x0.5 mm) and used for measuring the dose-depth distribution at different depths in a water phantom, for 60 Co and six MV X ray radiotherapy beams. Due to the sensitivity of diamond to ambient light, it was difficult to achieve reproducibility comparable with that of standard LiF detectors. (author)

  1. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  2. Defining the relationship between COPD and CVD: what are the implications for clinical practice?

    Science.gov (United States)

    Morgan, Ann D; Zakeri, Rosita; Quint, Jennifer K

    2018-01-01

    Cardiovascular diseases (CVDs) are arguably the most important comorbidities in chronic obstructive pulmonary disease (COPD). CVDs are common in people with COPD, and their presence is associated with increased risk for hospitalization, longer length of stay and all-cause and CVD-related mortality. The economic burden associated with CVD in this population is considerable and the cumulative cost of treating comorbidities may even exceed that of treating COPD itself. Our understanding of the biological mechanisms that link COPD and various forms of CVD has improved significantly over the past decade. But despite broad acceptance of the prognostic significance of CVDs in COPD, there remains widespread under-recognition and undertreatment of comorbid CVD in this population. The reasons for this are unclear; however institutional barriers and a lack of evidence-based guidelines for the management of CVD in people with COPD may be contributory factors. In this review, we summarize current knowledge relating to the prevalence and incidence of CVD in people with COPD and the mechanisms that underlie their coexistence. We discuss the implications for clinical practice and highlight opportunities for improved prevention and treatment of CVD in people with COPD. While we advocate more active assessment for signs of cardiovascular conditions across all age groups and all stages of COPD severity, we suggest targeting those aged under 65 years. Evidence indicates that the increased risks for CVD are particularly pronounced in COPD patients in mid-to-late-middle-age and thus it is in this age group that the benefits of early intervention may prove to be the most effective. PMID:29355081

  3. Plasma potentials and performance of the advanced electron cyclotron resonance ion source

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.

    1994-01-01

    The mean plasma potential was measured on the LBL advanced electron cyclotron resonance (AECR) ion source for a variety of conditions. The mean potentials for plasmas of oxygen, argon, and argon mixed with oxygen in the AECR were determined. These plasma potentials are positive with respect to the plasma chamber wall and are on the order of tens of volts. Electrons injected into the plasma by an electron gun or from an aluminum oxide wall coating with a very high secondary electron emission reduce the plasma potential as does gas mixing. A lower plasma potential in the AECR source coincides with enhanced production of high charged state ions indicating longer ion confinement times. The effect of the extra electrons from external injection or wall coatings is to lower the average plasma potential and to increase the n e τ i of the ECR plasma. With sufficient extra electrons, the need for gas mixing can be eliminated or reduced to a lower level, so the source can operate at lower neutral pressures. A reduction of the neutral pressure decreases charge exchange between ions and neutrals and enhances the production of high charge state ions. An aluminum oxide coating results in the lowest plasma potential among the three methods discussed and the best source performance

  4. Development of CVD diamond radiation detectors

    CERN Document Server

    Adam, W; Berdermann, E; Bogani, F; Borchi, E; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fisch, D; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E A; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Knöpfle, K T; Krammer, Manfred; Manfredi, P F; Meier, D; Mishina, M; Le Normand, F; Pan, L S; Pernegger, H; Pernicka, Manfred; Pirollo, S; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Tapper, R J; Tesarek, R J; Thomson, G B; Trawick, M L; Trischuk, W; Turchetta, R; Walsh, A M; Wedenig, R; Weilhammer, Peter; Ziock, H J; Zoeller, M M

    1998-01-01

    Diamond is a nearly ideal material for detecting ionizing radiation. Its outstanding radiation hardness, fast charge collection and low leakage current allow a diamond detector to be used in high ra diation, high temperature and in aggressive chemical media. We have constructed charged particle detectors using high quality CVD diamond. Characterization of the diamond samples and various detect ors are presented in terms of collection distance, $d=\\mu E \\tau$, the average distance electron-hole pairs move apart under the influence of an electric field, where $\\mu$ is the sum of carrier mo bilities, $E$ is the applied electric field, and $\\tau$ is the mobility weighted carrier lifetime. Over the last two years the collection distance increased from $\\sim$ 75 $\\mu$m to over 200 $\\mu$ m. With this high quality CVD diamond a series of micro-strip and pixel particle detectors have been constructed. These devices were tested to determine their position resolution and signal to n oise performance. Diamond detectors w...

  5. Thermoluminescence properties of undoped diamond films deposited using HF CVD technique

    Directory of Open Access Journals (Sweden)

    Paprocki K.

    2018-03-01

    Full Text Available Natural diamond has been considered as a perspective material for clinical radiation dosimetry due to its tissuebiocompatibility and chemical inertness. However, the use of natural diamond in radiation dosimetry has been halted by the high market price. The recent progress in the development of CVD techniques for diamond synthesis, offering the capability of growing high quality diamond layers, has renewed the interest in using this material in radiation dosimeters having small geometricalsizes. Polycrystalline CVD diamond films have been proposed as detectors and dosimeters of β and α radiation with prospective applications in high-energy photon dosimetry. In this work, we present a study on the TL properties of undoped diamond film samples grown by the hot filament CVD (HF CVD method and exposed to β and α radiation. The glow curves for both types of radiation show similar character and can be decomposed into three components. The dominant TL peaks are centered at around 610 K and exhibit activation energy of the order of 0.90 eV.

  6. Delaminated Transfer of CVD Graphene

    Science.gov (United States)

    Clavijo, Alexis; Mao, Jinhai; Tilak, Nikhil; Altvater, Michael; Andrei, Eva

    Single layer graphene is commonly synthesized by dissociation of a carbonaceous gas at high temperatures in the presence of a metallic catalyst in a process known as Chemical Vapor Deposition or CVD. Although it is possible to achieve high quality graphene by CVD, the standard transfer technique of etching away the metallic catalyst is wasteful and jeopardizes the quality of the graphene film by contamination from etchants. Thus, development of a clean transfer technique and preservation of the parent substrate remain prominent hurdles to overcome. In this study, we employ a copper pretreatment technique and optimized parameters for growth of high quality single layer graphene at atmospheric pressure. We address the transfer challenge by utilizing the adhesive properties between a polymer film and graphene to achieve etchant-free transfer of graphene films from a copper substrate. Based on this concept we developed a technique for dry delamination and transferring of graphene to hexagonal boron nitride substrates, which produced high quality graphene films while at the same time preserving the integrity of the copper catalyst for reuse. DOE-FG02-99ER45742, Ronald E. McNair Postbaccalaureate Achievement Program.

  7. CVD calibration light systems specifications. Rev. 0

    International Nuclear Information System (INIS)

    Mcllwain, A. K.

    1992-04-01

    Two prototype Cerenkov Viewing Device Calibration Light systems for the Mark IV CVD have been fabricated. They consist of a maintenance unit that will be used by the IAEA maintenance staff and a field unit that will be used by IAEA inspectors. More detailed information on the design of the calibration units can be obtained from the document SSP-39 and additional information on the Mark IV CVD can be obtained from the operating manual published as Canadian Safeguards Support Program document CSSP 6. The specifications refer to the prototype units which will be demonstrated to the IAEA in 1992 May. Based upon the feedback from the IAEA, the instruments will be changed in the final production models to provide devices that more closely satisfy the needs of the end users

  8. CVD tungsten metallization and electron beam lithography for fabricating submicron interconnects for advanced ULSI

    International Nuclear Information System (INIS)

    Wilson, S.R.; Mattox, R.J.

    1988-01-01

    CVD W (0.45μm thick) and CVD W (0.25μm thick) strapped by Al (0.5μm thick) have been used as metal 1 systems. Electrical and physical data are presented from experiments exploring the effects of processing issues with both e-beam and stepper lithography as well as dry etch chemistry on both metal systems. The special issues encountered with the thick tungsten processing were: (i) Significant e-beam proximity related problems as compared to the sandwich metal layers. The resultant e-beam proximity problem contributed to a high level of metal bridging and poor CD control. (ii) Multiple etch related problems due to mask failure and a lack of etch selectivity. The multilevel masks utilized, consisting of photoresist and plasma enhanced oxide (PEO), failed due to the poor etch selectivity. Poor etch selectivity with respect to the underlying oxide was also observed. These issues were addressed with thicker organic and PEO mask layers as well as changes in etch chemistry. These thick layers were successful in preventing the loss of the mask during etch., but caused problems in the e-beam CD control and did not prevent the degradation of the underlying glass. A higher selectivity etch was developed which greatly reduced the underlying dielectric damage and also allowed the use of the thinner organic and PEO hardmask layers without mask failure

  9. Production and acceleration of Ca-beams with the ECR source in the Jinr-Ganil experiment

    International Nuclear Information System (INIS)

    Lukyanov, S.M.; Artukh, A.G.; Gvozdev, B.A.; Kutner, V.B.; Penionzhkevich, Y.E.; Bex, L.; Bourgarel, M.P.; Ferme, J.

    1989-01-01

    The results of production and acceleration of 48 Ca-beams with the ECR-source are described. For this purpose a special technique has been developed which allows the metal to be extracted from the oxide with the aluminium as an acceptor. The mean rate of consumption of 48 Ca was about 2 mg/h and the beam intensity was about 15μA on charge state 6. The method for recuperation of used calcium is presented

  10. Radiation losses plasma with multicharged ions under non stationary conditions in the T-10 tokamak

    International Nuclear Information System (INIS)

    Abramov, V.A.; Vertiporokh, A.N.; Lisitsa, V.S.; Notkin, G.E.; Shcheglov, D.A.

    1984-01-01

    Results are presented of experimental investigations of changes in the radi iation power of impurities at the initial stage of the plasma ECR-heating at the t-10 plant. An increase in the radiation losses is observed which is not as ssociated with a further addition of impurities. The mechanism is proposed which enables to explain this effect and is based on assumption of a growing pla asma mixing rate in the central zone at a local heating of the electron componen

  11. Recent Results from Beam Tests of 3D and Pad pCVD Diamond Detectors

    CERN Document Server

    Wallny, Rainer

    2017-01-01

    Results from prototypes of a detector using chemical vapor deposited (CVD) diamond with embedded resistive electrodes in the bulk forming a 3D diamond device are presented. A detector system consisting of 3D devices based on poly-crystalline CVD (pCVD) diamond was connected to a multi-channel readout and successfully tested in a 120 GeV/c proton beam at CERN proving for the first time the feasibility of the 3D detector concept in pCVD for particle tracking applications. We also present beam test results on the dependence of signal size on incident particle rate in charged particle detectors based on poly-crystalline CVD diamond. The detectors were tested in a 260 MeV/c pion beam over a range of particle fluxes from 2 kHz/cm2 to 10 MHz/cm2 . The pulse height of the sensors was measured with pad readout electronics at a peaking time of 7 ns. Our data from the 2015 beam tests at PSI indicate that the pulse height of poly-crystalline CVD diamond sensor irradiated to 5×1014 neq/cm2 is independent of particle flux...

  12. MicroRNA-281 regulates the expression of ecdysone receptor (EcR) isoform B in the silkworm, Bombyx mori

    Science.gov (United States)

    Hundreds of Bombyx mori miRNAs had been identified in recent years, but their function in vivo remains poorly understood. The silkworm EcR gene (BmEcR) has three transcriptional isoforms, A, B1 and B2. Isoform sequences are different in the 3’UTR region of the gene, which is the case only in insects...

  13. Study of plasma-material surface interaction using langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2012-01-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisiloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  14. Study of plasma-material surface interaction using Langmuir probe technique during plasma treatment

    International Nuclear Information System (INIS)

    Saloum, S.; Akel, M.

    2009-06-01

    In this study, we tried to understand the plasma-surface interactions by using Langmuir probes. Two different types of plasmas were studied, the first is the electropositive plasma in Argon and the second is the electronegative plasma in Sulfur Hexafluoride. In the first type, the effects of Argon gas pressure, the injection of Helium in the remote zone and the substrate bias on the measurements of the Electron Energy Probability Function (EEPF) and on the plasma parameters (electron density (n e ), effective electron temperature (T e ff), plasma potential (V p ) and floating potential (V f )) have been investigated. The obtained EEPFs and plasma parameters have been used to control two remote plasma processes. The first is the remote Plasma Enhanced Chemical Vapor Deposition (PE-CVD) of thin films, on silicon wafers, from Hexamethyldisoloxane (HMDSO) precursor diluted in the remote Ar-He plasma. The second is the pure Argon remote plasma treatment of polymethylmethacrylate (PMMA) polymer surface. In the second type, the plasma diagnostics were performed in the remote zone as a function of SF 6 flow rate, where relative concentrations of fluorine atoms were measured using actinometry optical emission spectroscopy; electron density, electron temperature and plasma potential were determined using single cylindrical Langmuir probe, positive ion flux and negative ion fraction were determined using an planar probe. The silicon etching process in SF 6 plasma was studied. (author)

  15. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  16. Hexagonal Boron Nitride assisted transfer and encapsulation of large area CVD graphene

    Science.gov (United States)

    Shautsova, Viktoryia; Gilbertson, Adam M.; Black, Nicola C. G.; Maier, Stefan A.; Cohen, Lesley F.

    2016-07-01

    We report a CVD hexagonal boron nitride (hBN-) assisted transfer method that enables a polymer-impurity free transfer process and subsequent top encapsulation of large-area CVD-grown graphene. We demonstrate that the CVD hBN layer that is utilized in this transfer technique acts as a buffer layer between the graphene film and supporting polymer layer. We show that the resulting graphene layers possess lower doping concentration, and improved carrier mobilities compared to graphene films produced by conventional transfer methods onto untreated SiO2/Si, SAM-modified and hBN covered SiO2/Si substrates. Moreover, we show that the top hBN layer used in the transfer process acts as an effective top encapsulation resulting in improved stability to ambient exposure. The transfer method is applicable to other CVD-grown 2D materials on copper foils, thereby facilitating the preparation of van der Waals heterostructures with controlled doping.

  17. CVD Diamond, DLC, and c-BN Coatings for Solid Film Lubrication

    Science.gov (United States)

    Miyoshi, Kazuhisa

    1998-01-01

    When the main criteria for judging coating performance were coefficient of friction and wear rate, which had to be less than 0.1 and 10(exp -6) mm(exp 3)/N-m, respectively, carbon- and nitrogen-ion-implanted, fine-grain CVD diamond and DLC ion beam deposited on fine-grain CVD diamond met the requirements regardless of environment (vacuum, nitrogen, and air).

  18. Electron cyclotron resonance breakdown studies in a linear plasma ...

    Indian Academy of Sciences (India)

    Microwave power in the experimental system is delivered by a magnetron at 2.45 ... required for ECR in the system is such that the fundamental ECR surface ( ... The operating parameters such as working gas pressure (1 × 10-5 -1 × 10-2 ...

  19. Proteome changes in rat plasma in response to sibutramine.

    Science.gov (United States)

    Choi, Jung-Won; Joo, Jeong In; Kim, Dong Hyun; Wang, Xia; Oh, Tae Seok; Choi, Duk Kwon; Yun, Jong Won

    2011-04-01

    Sibutramine is an anti-obesity agent that induces weight loss by selective inhibition of neuronal reuptake of serotonin and norepinephrine; however, it is associated with the risk of cardiovascular diseases (CVD), including heart attack and stroke. Here, we analyzed global protein expression patterns in plasma of control and sibutramine-treated rats using proteomic analysis for a better understanding of the two conflicting functions of this drug, appetite regulation, and cardiovascular risk. The control (n=6) and sibutramine-treated groups (n=6) were injected by vehicle and sibutramine, respectively, and 2-DE combined with MALDI-TOF/MS were performed. Compared to control rats, sibutramine-administered rats gained approximately 18% less body weight and consumed about 13% less food. Plasma leptin and insulin levels also showed a significant decrease in sibutramine-treated rats. As a result of proteomic analysis, 23 differentially regulated proteins were discovered and were reconfirmed by immunoblot analysis. Changed proteins were classified into appetite regulation and cardiovascular risk, according to their regulation pattern. Because the differential levels of proteins that have been well recognized as predictors of CVD risk were not well matched with the results of our proteomic analysis, this study does not conclusively prove that sibutramine has an effect on CVD risk. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel; Influencia de la densidad del plasma de microondas en la nitruracion de acero AISI 4140

    Energy Technology Data Exchange (ETDEWEB)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico)

    2004-07-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10{sup -4} and 7 X 10{sup -4} Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 {mu} m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  1. Universal Design: Supporting Students with Color Vision Deficiency (CVD) in Medical Education

    Science.gov (United States)

    Meeks, Lisa M.; Jain, Neera R.; Herzer, Kurt R.

    2016-01-01

    Color Vision Deficiency (CVD) is a commonly occurring condition in the general population. For medical students, it has the potential to create unique challenges in the classroom and clinical environments. Few studies have provided medical educators with comprehensive recommendations to assist students with CVD. This article presents a focused…

  2. 25th anniversary article: CVD polymers: a new paradigm for surface modification and device fabrication.

    Science.gov (United States)

    Coclite, Anna Maria; Howden, Rachel M; Borrelli, David C; Petruczok, Christy D; Yang, Rong; Yagüe, Jose Luis; Ugur, Asli; Chen, Nan; Lee, Sunghwan; Jo, Won Jun; Liu, Andong; Wang, Xiaoxue; Gleason, Karen K

    2013-10-11

    Well-adhered, conformal, thin (polymers can be achieved on virtually any substrate: organic, inorganic, rigid, flexible, planar, three-dimensional, dense, or porous. In CVD polymerization, the monomer(s) are delivered to the surface through the vapor phase and then undergo simultaneous polymerization and thin film formation. By eliminating the need to dissolve macromolecules, CVD enables insoluble polymers to be coated and prevents solvent damage to the substrate. CVD film growth proceeds from the substrate up, allowing for interfacial engineering, real-time monitoring, and thickness control. Initiated-CVD shows successful results in terms of rationally designed micro- and nanoengineered materials to control molecular interactions at material surfaces. The success of oxidative-CVD is mainly demonstrated for the deposition of organic conducting and semiconducting polymers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Experiments on Li pellet injection into Heliotron E

    International Nuclear Information System (INIS)

    Sergeev, V.Yu.; Khlopenkov, K.V.; Kuteev, B.V.; Sudo, S.; Kondo, K.; Zushi, H.; Besshou, S.; Sano, F.; Okada, H.; Mizuuchi, T.; Nagasaki, K.; Obiki, T.; Kurimoto, Y.

    1998-01-01

    Li pellets of large size were injected into electron cyclotron resonance (ECR) heated plasmas and neutral beam injection (NBI) heated plasmas of Heliotron E. The discharge behaviour, pellet ablation and wall conditioning were studied. The electron pressure is doubled after injection into the NBI plasma and remains unchanged in the case of ECR heating. This may be due to the energy exchange between the electrons and thermal ions with the fast ions from the neutral beam. The observed discrepancy between the experimental and modelled ablation rates may be caused by both the plasma cooling due to pellet ablatant and the ablation stimulated by the fast ions in the NBI-heated regime and by the fast electrons in the ECR-heated regime. In preliminary experiments on wall conditioning by Li pellet injection, no improvement of plasma performance after Li pellet injection was observed in the divertor or limiter configuration, with the limiter radii r L =24-25cm. (author)

  4. Association of BMI with risk of CVD mortality and all-cause mortality.

    Science.gov (United States)

    Kee, Chee Cheong; Sumarni, Mohd Ghazali; Lim, Kuang Hock; Selvarajah, Sharmini; Haniff, Jamaiyah; Tee, Guat Hiong Helen; Gurpreet, Kaur; Faudzi, Yusoff Ahmad; Amal, Nasir Mustafa

    2017-05-01

    To determine the relationship between BMI and risk of CVD mortality and all-cause mortality among Malaysian adults. Population-based, retrospective cohort study. Participants were followed up for 5 years from 2006 to 2010. Mortality data were obtained via record linkages with the Malaysian National Registration Department. Multiple Cox regression was applied to compare risk of CVD and all-cause mortality between BMI categories adjusting for age, gender and ethnicity. Models were generated for all participants, all participants the first 2 years of follow-up, healthy participants, healthy never smokers, never smokers, current smokers and former smokers. All fourteen states in Malaysia. Malaysian adults (n 32 839) aged 18 years or above from the third National Health and Morbidity Survey. Total follow-up time was 153 814 person-years with 1035 deaths from all causes and 225 deaths from CVD. Underweight (BMIBMI ≥30·0 kg/m2) was associated with a heightened risk of CVD mortality. Overweight (BMI=25·0-29·9 kg/m2) was inversely associated with risk of all-cause mortality. Underweight was significantly associated with all-cause mortality in all models except for current smokers. Overweight was inversely associated with all-cause mortality in all participants. Although a positive trend was observed between BMI and CVD mortality in all participants, a significant association was observed only for severe obesity (BMI≥35·0 kg/m2). Underweight was associated with increased risk of all-cause mortality and obesity with increased risk of CVD mortality. Therefore, maintaining a normal BMI through leading an active lifestyle and healthy dietary habits should continue to be promoted.

  5. A beam radiation monitor based on CVD diamonds for SuperB

    Science.gov (United States)

    Cardarelli, R.; Di Ciaccio, A.

    2013-08-01

    Chemical Vapor Deposition (CVD) diamond particle detectors are in use in the CERN experiments at LHC and at particle accelerator laboratories in Europe, USA and Japan mainly as beam monitors. Nowadays it is considered a proven technology with a very fast signal read-out and a very high radiation tolerance suitable for measurements in high radiation environment zones i.e. near the accelerators beam pipes. The specific properties of CVD diamonds make them a prime candidate for measuring single particles as well as high-intensity particle cascades, for timing measurements on the sub-nanosecond scale and for beam protection systems in hostile environments. A single-crystalline CVD (scCVD) diamond sensor, read out with a new generation of fast and high transition frequency SiGe bipolar transistor amplifiers, has been tested for an application as radiation monitor to safeguard the silicon vertex tracker in the SuperB detector from excessive radiation damage, cumulative dose and instantaneous dose rates. Test results with 5.5 MeV alpha particles from a 241Am radioactive source and from electrons from a 90Sr radioactive source are presented in this paper.

  6. CVD of SiC and AlN using cyclic organometallic precursors

    Science.gov (United States)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  7. Study of potential applications of compact ECRIS to analytical system

    International Nuclear Information System (INIS)

    Kidera, M.; Takahashi, K.; Seto, Y.; Kishi, S.; Enomoto, S.; Nagamatsu, T.; Tanaka, T.

    2012-01-01

    The objective of this study is to develop a desktop-sized system of element mass analysis (element analysis system) with a compact electron cyclotron resonance (ECR) ion source in the ionization section. This system is different from other element analysis systems in terms of the effective use of ionization by ECR plasma. A compact ECR ion source is required to fit in the desktop-sized element analysis system. This paper reporting the development of the compact ECR ion source, is followed by the associated poster. (authors)

  8. CVD in nuclear energy

    International Nuclear Information System (INIS)

    Nickel, H.

    1981-08-01

    CVD-deposited pyrocarbon, especially the coatings of nuclear fuel kernels show a structure depending on many parameters such as deposition temperature, nature and pressure of the pyrolysis gas, nature of the substrate, geometry of the deposition system, etc. Because of the variety of pyrocarbon different characterization methods have been developed or qualified for this new application. Additionally classical characterization procedures are available. Beside theoretical aspects concerning the formation and deposition mechanism of pyrocarbon from the gas phase the behaviour of such coatings under irradiation with fast neutrons is discussed. (orig.) [de

  9. Thermoluminescent properties of CVD diamond: applications to ionising radiation dosimetry; Proprietes thermoluminescentes du diamant CVD: applications a la dosimetrie des rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Petitfils, A

    2007-09-15

    Remarkable properties of synthetic diamond (human soft tissue equivalence, chemical stability, non-toxicity) make this material suitable for medical application as thermoluminescent dosimeter (TLD). This work highlights the interest of this material as radiotherapy TLD. In the first stage of this work, we looked after thermoluminescent (TL) and dosimetric properties of polycrystalline diamond made by Chemically Vapor Deposited (CVD) synthesis. Dosimetric characteristics are satisfactory as TLD for medical application. Luminescence thermal quenching on diamond has been investigated. This phenomenon leads to a decrease of dosimetric TL peak sensitivity when the heating rate increases. The second part of this work analyses the use of synthetic diamond as TLD in radiotherapy. Dose profiles, depth dose distributions and the cartography of an electron beam obtained with our samples are in very good agreement with results from an ionisation chamber. It is clearly shown that CVD) diamond is of interest to check beams of treatment accelerators. The use of these samples in a control of treatment with Intensity Modulated Radiation Therapy underlines good response of synthetic diamond in high dose gradient areas. These results indicate that CVD diamond is a promising material for radiotherapy dosimetry. (author)

  10. CVD diamond pixel detectors for LHC experiments

    Energy Technology Data Exchange (ETDEWEB)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N

    1999-08-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described.

  11. CVD diamond pixel detectors for LHC experiments

    International Nuclear Information System (INIS)

    Wedenig, R.; Adam, W.; Bauer, C.; Berdermann, E.; Bergonzo, P.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Grigoriev, E.; Hallewell, G.; Hall-Wilton, R.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Karl, C.; Kass, R.; Knoepfle, K.T.; Krammer, M.; Logiudice, A.; Lu, R.; Manfredi, P.F.; Manfredotti, C.; Marshall, R.D.; Meier, D.; Mishina, M.; Oh, A.; Pan, L.S.; Palmieri, V.G.; Pernicka, M.; Peitz, A.; Pirollo, S.; Polesello, P.; Pretzl, K.; Procario, M.; Re, V.; Riester, J.L.; Roe, S.; Roff, D.; Rudge, A.; Runolfsson, O.; Russ, J.; Schnetzer, S.; Sciortino, S.; Speziali, V.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trawick, M.; Trischuk, W.; Vittone, E.; Wagner, A.; Walsh, A.M.; Weilhammer, P.; White, C.; Zeuner, W.; Ziock, H.; Zoeller, M.; Blanquart, L.; Breugnion, P.; Charles, E.; Ciocio, A.; Clemens, J.C.; Dao, K.; Einsweiler, K.; Fasching, D.; Fischer, P.; Joshi, A.; Keil, M.; Klasen, V.; Kleinfelder, S.; Laugier, D.; Meuser, S.; Milgrome, O.; Mouthuy, T.; Richardson, J.; Sinervo, P.; Treis, J.; Wermes, N.

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described

  12. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Kalam, Amir Abul; Bae, Joon Ho [Dept. of Nano-physics, Gachon University, Seongnam (Korea, Republic of); Park, Soo Bin; Seo, Yong Ho [Nanotechnology and Advanced Material Engineering, HMC, and GRI, Sejong University, Seoul (Korea, Republic of)

    2015-08-15

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs.

  13. High-efficiency supercapacitor electrodes of CVD-grown graphenes hybridized with multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Kalam, Amir Abul; Bae, Joon Ho; Park, Soo Bin; Seo, Yong Ho

    2015-01-01

    We demonstrate, for the first time, high-efficiency supercapacitors by utilizing chemical vapor deposition (CVD)-grown graphenes hybridized with multiwalled carbon nanotubes (CNTs). A single-layer graphene was grown by simple CVD growth method, and transferred to polyethylene terephthalate substrates. The bare graphenes were further hybridized with multiwalled CNTs by drop-coating CNTs on graphenes. The supercapacitors using bare graphenes and graphenes with CNTs revealed that graphenes with CNTs resulted in enhanced supercapacitor performances of 2.2- (the mass-specific capacitance) and 4.4-fold (the area-specific capacitance) of those of bare graphenes. Our strategy to improve electrochemical performance of CVD-grown graphenes is advantageous for large-scale graphene electrodes due to high electrical conductivity of CVD-grown graphenes and cost-effectiveness of using multiwalled CNTs as compared to conventional employment of single-walled CNTs

  14. Prevalence of undiagnosed cardiovascular risk factors and 10-year CVD risk in male steel industry workers.

    Science.gov (United States)

    Gray, Benjamin J; Bracken, Richard M; Turner, Daniel; Morgan, Kerry; Mellalieu, Stephen D; Thomas, Michael; Williams, Sally P; Williams, Meurig; Rice, Sam; Stephens, Jeffrey W

    2014-05-01

    To assess the prevalence of undiagnosed cardiovascular disease (CVD) in a cohort of male steelworkers in South Wales, UK. Male steel industry workers (n = 221) with no prior diagnosis of CVD or diabetes accepted a CVD risk assessment within the work environment. Demographic, anthropometric, family, and medical histories were all recorded and capillary blood samples obtained. The 10-year CVD risk was predicted using the QRISK2-2012 algorithm. Up to 81.5% of workers were either overweight or obese. More than 20% of workers were found to have diastolic hypertension, high total cholesterol, and/or a total cholesterol/high-density lipoprotein ratio of six or more. Over one quarter of workers assessed had an increased 10-year CVD risk. Despite a physically demanding occupation, risk assessment in the workplace uncovered significant occult factors in CVD risk in a sample of male heavy industry workers.

  15. Studies of plasma breakdown and electron heating on a 14 GHz ECR ion source through measurement of plasma bremsstrahlung

    Energy Technology Data Exchange (ETDEWEB)

    Ropponen, T; Machicoane, G; Leitner, D [National Superconducting Cyclotron Laboratory, MSU, East Lansing, MI 48824 (United States); Tarvainen, O; Toivanen, V; Koivisto, H; Kalvas, T; Peura, P; Jones, P [University of Jyvaeskylae, Department of Physics, PO Box 35 (YFL), 40500 Jyvaeskylae (Finland); Izotov, I; Skalyga, V; Zorin, V [Institute of Applied Physics, RAS, 46 Ulyanov St., 603950 Nizhny Novgorod (Russian Federation); Noland, J, E-mail: tommi.ropponen@gmail.com, E-mail: olli.tarvainen@jyu.fi [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720 (United States)

    2011-10-15

    Temporal evolution of plasma bremsstrahlung emitted by a 14 GHz electron cyclotron resonance ion source (ECRIS) operated in pulsed mode is presented in the energy range 1.5-400 keV with 100 {mu}s resolution. Such a high temporal resolution together with this energy range has never been measured before with an ECRIS. Data are presented as a function of microwave power, neutral gas pressure, magnetic field configuration and seed electron density. The saturation time of the bremsstrahlung count rate is almost independent of the photon energy up to 100 keV and exhibits similar characteristics with the neutral gas balance. The average photon energy during the plasma breakdown is significantly higher than that during the steady state and depends strongly on the density of seed electrons. The results are consistent with a theoretical model describing the evolution of the electron energy distribution function during the preglow transient.

  16. COMPARATIVE EVALUATION OF RISK FACTORS FOR CARDIOVASCULAR DISEASE (CVD) IN GENETICALLY PREDISPOSED RATS

    Science.gov (United States)

    Rodent CVD models are increasingly used for understanding individual differences in susceptibility to environmental stressors such as air pollution. We characterized pathologies and a number of known human risk factors of CVD in genetically predisposed, male young adult Spontaneo...

  17. CVD diamond pixel detectors for LHC experiments

    CERN Document Server

    Wedenig, R; Bauer, C; Berdermann, E; Bergonzo, P; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Grigoriev, E; Hallewell, G D; Hall-Wilton, R; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Karl, C; Kass, R; Knöpfle, K T; Krammer, Manfred; Lo Giudice, A; Lü, R; Manfredi, P F; Manfredotti, C; Marshall, R D; Meier, D; Mishina, M; Oh, A; Pan, L S; Palmieri, V G; Pernicka, Manfred; Peitz, A; Pirollo, S; Polesello, P; Pretzl, Klaus P; Procario, M; Re, V; Riester, J L; Roe, S; Roff, D G; Rudge, A; Runólfsson, O; Russ, J; Schnetzer, S R; Sciortino, S; Speziali, V; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trawick, M L; Trischuk, W; Vittone, E; Wagner, A; Walsh, A M; Weilhammer, Peter; White, C; Zeuner, W; Ziock, H J; Zöller, M

    1999-01-01

    This paper reviews the development of CVD diamond pixel detectors. The preparation of the diamond pixel sensors for bump-bonding to the pixel readout electronics for the LHC and the results from beam tests carried out at CERN are described. (9 refs).

  18. Protein-protein interface detection using the energy centrality relationship (ECR characteristic of proteins.

    Directory of Open Access Journals (Sweden)

    Sanjana Sudarshan

    Full Text Available Specific protein interactions are responsible for most biological functions. Distinguishing Functionally Linked Interfaces of Proteins (FLIPs, from Functionally uncorrelated Contacts (FunCs, is therefore important to characterizing these interactions. To achieve this goal, we have created a database of protein structures called FLIPdb, containing proteins belonging to various functional sub-categories. Here, we use geometric features coupled with Kortemme and Baker's computational alanine scanning method to calculate the energetic sensitivity of each amino acid at the interface to substitution, identify hotspots, and identify other factors that may contribute towards an interface being FLIP or FunC. Using Principal Component Analysis and K-means clustering on a training set of 160 interfaces, we could distinguish FLIPs from FunCs with an accuracy of 76%. When these methods were applied to two test sets of 18 and 170 interfaces, we achieved similar accuracies of 78% and 80%. We have identified that FLIP interfaces have a stronger central organizing tendency than FunCs, due, we suggest, to greater specificity. We also observe that certain functional sub-categories, such as enzymes, antibody-heavy-light, antibody-antigen, and enzyme-inhibitors form distinct sub-clusters. The antibody-antigen and enzyme-inhibitors interfaces have patterns of physical characteristics similar to those of FunCs, which is in agreement with the fact that the selection pressures of these interfaces is differently evolutionarily driven. As such, our ECR model also successfully describes the impact of evolution and natural selection on protein-protein interfaces. Finally, we indicate how our ECR method may be of use in reducing the false positive rate of docking calculations.

  19. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    Directory of Open Access Journals (Sweden)

    Zelda de Lange

    Full Text Available Studies in populations of European descent show longer plasma clot lysis times (CLT in patients with cardiovascular disease (CVD than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years were cross-sectionally analysed.Increased PAI-1(act, BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  20. Plasma clot lysis time and its association with cardiovascular risk factors in black Africans.

    Science.gov (United States)

    de Lange, Zelda; Pieters, Marlien; Jerling, Johann C; Kruger, Annamarie; Rijken, Dingeman C

    2012-01-01

    Studies in populations of European descent show longer plasma clot lysis times (CLT) in patients with cardiovascular disease (CVD) than in controls. No data are available on the association between CVD risk factors and fibrinolytic potential in black Africans, a group undergoing rapid urbanisation with increased CVD prevalence. We investigated associations between known CVD risk factors and CLT in black Africans and whether CLTs differ between rural and urban participants in light of differences in CVD risk.Data from 1000 rural and 1000 urban apparently healthy black South Africans (35-60 years) were cross-sectionally analysed.Increased PAI-1(act), BMI, HbA1c, triglycerides, the metabolic syndrome, fibrinogen concentration, CRP, female sex and positive HIV status were associated with increased CLTs, while habitual alcohol consumption associated with decreased CLT. No differences in CLT were found between age and smoking categories, contraceptive use or hyper- and normotensive participants. Urban women had longer CLT than rural women while no differences were observed for men.CLT was associated with many known CVD risk factors in black Africans. Differences were however observed, compared to data from populations of European descent available in the literature, suggesting possible ethnic differences. The effect of urbanisation on CLT is influenced by traditional CVD risk factors and their prevalence in urban and rural communities.

  1. Comparison between off-resonance and electron Bernstein waves heating regime in a microwave discharge ion source

    Energy Technology Data Exchange (ETDEWEB)

    Castro, G.; Di Giugno, R.; Miracoli, R. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); Mascali, D. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Romano, F. P. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); CNR-IBAM Via Biblioteca 4, 95124 Catania (Italy); Celona, L.; Gammino, S.; Lanaia, D.; Ciavola, G. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Serafino, T. [CSFNSM, Viale A. Doria 6, 95125 Catania (Italy); Di Bartolo, F. [Universita di Messina, Ctr. da Papardo-Sperone, 98100 Messina (Italy); Gambino, N. [INFN- Laboratori Nazionali del Sud, via S. Sofia 62, 95123 Catania (Italy); Universita degli Studi di Catania, Dipartimento di Fisica e Astronomia, V. S. Sofia 64, 95123 Catania (Italy); IET-Institute of Energy Technology, LEC-Laboratory for Energy Conversion, ETH Zurich, Sonneggstrasse 3, CH-8092 Zurich (Switzerland)

    2012-02-15

    A microwave discharge ion source (MDIS) operating at the Laboratori Nazionali del Sud of INFN, Catania has been used to compare the traditional electron cyclotron resonance (ECR) heating with an innovative mechanisms of plasma ignition based on the electrostatic Bernstein waves (EBW). EBW are obtained via the inner plasma electromagnetic-to-electrostatic wave conversion and they are absorbed by the plasma at cyclotron resonance harmonics. The heating of plasma by means of EBW at particular frequencies enabled us to reach densities much larger than the cutoff ones. Evidences of EBW generation and absorption together with X-ray emissions due to high energy electrons will be shown. A characterization of the discharge heating process in MDISs as a generalization of the ECR heating mechanism by means of ray tracing will be shown in order to highlight the fundamental physical differences between ECR and EBW heating.

  2. Thermodynamic and experimental studies of the CVD of A-15 superconductors. I

    International Nuclear Information System (INIS)

    Madar, R.; Weiss, F.; Fruchart, R.; Bernard, C.

    1978-01-01

    This paper deals with the experimental and thermodynamic study of the chemical vapor deposition (CVD) synthesis of Nb 3 Ga layers on various metallic and insulating substrates using the coreduction of mixed halides by hydrogen. Thermodynamic equilibrium in the seven-component system Nb-Ga-H-Cl-Si-O-Ar has been calculated using the method of minimization of the system Gibbs free energy as a function of the variables directly available in the CVD system. The chosen variables were the chloride ratio, the reduction and dilution parameters and the temperature of the deposition zone. The equilibrium compositions were calculated for the two composition limits of the A-15 phase: NbGasub(0.15) and Nb 3 Ga. They are presented in the form of CVD phase diagrams. A CVD reactor has been set up and more than one hundred measurements have been made in order to check the validity of the equilibrium calculations. The comparisons between equilibrium and experimental results show a good agreement and lead to a better understanding of the chemistry and thermodynamics of the system. (Auth.)

  3. Conditioning of TJ-II Stellarator during the ECRH Plasmas Period

    International Nuclear Information System (INIS)

    Tafalla, D.; Tabares, F. L.

    2001-01-01

    The TJ-II stellarator has been conditioned by glow discharge (GD) during the first campaigns of operation, working only with ECR heating and all metal walls. The application of a He GD during the overnight period before the operation has been required in order to obtain reproducible discharges. However, the density control of the ECRH discharges was not possible because of the He implanted on the wall during GS. An short Ar GD(≤30 min) applied before the operation allows desorbes part of the implanted He. By applying this procedure (HeGD+ArGD), reproducible and density controlled plasmas have been achieved in H 2 and He. (Author) 20 refs

  4. A workstation based spectrometry application for ECR ion source [Paper No.: G5

    International Nuclear Information System (INIS)

    Suresh Babu, R.M.; . PS Div.)

    1993-01-01

    A program for an Electron Cyclotron Resonance (ECR) Ion Source beam diagnostics application in a X-Windows/Motif based workstation environment is discussed. The application program controls the hardware and acquires data via a front end computer across a local area network. The data is subsequently processed for displaying on the workstation console. The timing for data acquisition and control is determined by the particle source timing. The user interface has been implemented using the Motif widget set and the actions have been implemented through call back routines. The equipment interface is through a set of database driven calls across the network. (author). 7 refs., 1 fig

  5. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  6. Mass production of CNTs using CVD multi-quartz tubes

    Energy Technology Data Exchange (ETDEWEB)

    Yousef, Samy; Mohamed, Alaa [Dept. of Production Engineering and Printing Technology, Akhbar Elyom Academy, Giza (Egypt)

    2016-11-15

    Carbon nanotubes (CNTs) have become the backbone of modern industries, including lightweight and heavy-duty industrial applications. Chemical vapor deposition (CVD) is considered as the most common method used to synthesize high yield CNTs. This work aims to develop the traditional CVD for the mass production of more economical CNTs, meeting the growing CNT demands among consumers by increasing the number of three particular reactors. All reactors housing is connected by small channels to provide the heat exchange possibility between the chambers, thereby decreasing synthesis time and reducing heat losses inside the ceramic body of the furnace. The novel design is simple and cheap with a lower reacting time and heat loss compared with the traditional CVD design. Methane, hydrogen, argon, and catalyzed iron nanoparticles were used as a carbon source and catalyst during the synthesis process. In addition, CNTs were produced using only a single quartz tube for comparison. The produced samples were examined using XRD, TEM, SEM, FTIR, and TGA. The results showed that the yield of CNTs increases by 287 % compared with those synthesized with a single quartz tube. Moreover, the total synthesis time of CNTs decreases by 37 % because of decreased heat leakage.

  7. Investigation of CVD graphene topography and surface electrical properties

    International Nuclear Information System (INIS)

    Wang, Rui; Pearce, Ruth; Gallop, John; Patel, Trupti; Pollard, Andrew; Hao, Ling; Zhao, Fang; Jackman, Richard; Klein, Norbert; Zurutuza, Amaia

    2016-01-01

    Combining scanning probe microscopy techniques to characterize samples of graphene, a selfsupporting, single atomic layer hexagonal lattice of carbon atoms, provides far more information than a single technique can. Here we focus on graphene grown by chemical vapour deposition (CVD), grown by passing carbon containing gas over heated copper, which catalyses single atomic layer growth of graphene on its surface. To be useful for applications the graphene must be transferred onto other substrates. Following transfer it is important to characterize the CVD graphene. We combine atomic force microscopy (AFM) and scanning Kelvin probe microscopy (SKPM) to reveal several properties of the transferred film. AFM alone provides topographic information, showing ‘wrinkles’ where the transfer provided incomplete substrate attachment. SKPM measures the surface potential indicating regions with different electronic properties for example graphene layer number. By combining AFM and SKPM local defects and impurities can also be observed. Finally, Raman spectroscopy can confirm the structural properties of the graphene films, such as the number of layers and level of disorder, by observing the peaks present. We report example data on a number of CVD samples from different sources. (paper)

  8. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: The rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    B.J.H. van Kempen (Bob); B.S. Ferket (Bart); A. Hofman (Albert); E.W. Steyerberg (Ewout); E.B. Colkesen (Ersen); S.M. Boekholdt (Matthijs); N.J. Wareham (Nick); K-T. Khaw (Kay-Tee); M.G.M. Hunink (Myriam)

    2012-01-01

    textabstractBackground: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established.Methods: The Rotterdam Ischemic

  9. Production and transport chemistry of atomic fluorine in remote plasma source and cylindrical reaction chamber

    International Nuclear Information System (INIS)

    Gangoli, S P; Johnson, A D; Fridman, A A; Pearce, R V; Gutsol, A F; Dolgopolsky, A

    2007-01-01

    Increasingly, NF 3 -based plasmas are being used in semiconductor manufacturing to clean chemical vapour deposition (CVD) chambers. With advantages such as faster clean times, substantially lower emissions of gases having high global warming potentials, and reduced chamber damage, NF 3 plasmas are now favoured over fluorocarbon-based processes. Typically, a remote plasma source (RPS) is used to dissociate the NF 3 gas and produce atomic fluorine that etches the CVD residues from the chamber surfaces. However, it is important to efficiently transport F atoms from the plasma source into the process chamber. The current work is aimed at understanding and improving the key processes involved in the production and transport of atomic fluorine atoms. A zero-dimensional model of NF 3 dissociation and F production chemistry in the RPS is developed based on various known and derived plasma parameters. Additionally, a model describing the transport of atomic fluorine is proposed that includes both physical (diffusion, adsorption and desorption) and chemical processes (surface and three-body volume recombination). The kinetic model provides an understanding of the impact of chamber geometry, gas flow rates, pressure and temperature on fluorine recombination. The plasma-kinetic model is validated by comparing model predictions (percentage F atom density) with experimental results (etch rates)

  10. Predictive properties of plasma amino acid profile for cardiovascular disease in patients with type 2 diabetes.

    Directory of Open Access Journals (Sweden)

    Shinji Kume

    Full Text Available Prevention of cardiovascular disease (CVD is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke. Using the PFAA profiles and clinical information, an index (CVD-AI consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI: 0.64-0.79] showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62-0.77] on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57-5.19]. This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria.

  11. Predictive Properties of Plasma Amino Acid Profile for Cardiovascular Disease in Patients with Type 2 Diabetes

    Science.gov (United States)

    Kume, Shinji; Araki, Shin-ichi; Ono, Nobukazu; Shinhara, Atsuko; Muramatsu, Takahiko; Araki, Hisazumi; Isshiki, Keiji; Nakamura, Kazuki; Miyano, Hiroshi; Koya, Daisuke; Haneda, Masakazu; Ugi, Satoshi; Kawai, Hiromichi; Kashiwagi, Atsunori; Uzu, Takashi; Maegawa, Hiroshi

    2014-01-01

    Prevention of cardiovascular disease (CVD) is an important therapeutic object of diabetes care. This study assessed whether an index based on plasma free amino acid (PFAA) profiles could predict the onset of CVD in diabetic patients. The baseline concentrations of 31 PFAAs were measured with high-performance liquid chromatography-electrospray ionization-mass spectrometry in 385 Japanese patients with type 2 diabetes registered in 2001 for our prospective observational follow-up study. During 10 years of follow-up, 63 patients developed cardiovascular composite endpoints (myocardial infarction, angina pectoris, worsening of heart failure and stroke). Using the PFAA profiles and clinical information, an index (CVD-AI) consisting of six amino acids to predict the onset of any endpoints was retrospectively constructed. CVD-AI levels were significantly higher in patients who did than did not develop CVD. The area under the receiver-operator characteristic curve of CVD-AI (0.72 [95% confidence interval (CI): 0.64–0.79]) showed equal or slightly better discriminatory capacity than urinary albumin excretion rate (0.69 [95% CI: 0.62–0.77]) on predicting endpoints. A multivariate Cox proportional hazards regression analysis showed that the high level of CVD-AI was identified as an independent risk factor for CVD (adjusted hazard ratio: 2.86 [95% CI: 1.57–5.19]). This predictive effect of CVD-AI was observed even in patients with normoalbuminuria, as well as those with albuminuria. In conclusion, these results suggest that CVD-AI based on PFAA profiles is useful for identifying diabetic patients at risk for CVD regardless of the degree of albuminuria, or for improving the discriminative capability by combining it with albuminuria. PMID:24971671

  12. First results from the new RIKEN superconducting electron cyclotron resonance ion source (invited).

    Science.gov (United States)

    Nakagawa, T; Higurashi, Y; Ohnishi, J; Aihara, T; Tamura, M; Uchiyama, A; Okuno, H; Kusaka, K; Kidera, M; Ikezawa, E; Fujimaki, M; Sato, Y; Watanabe, Y; Komiyama, M; Kase, M; Goto, A; Kamigaito, O; Yano, Y

    2010-02-01

    The next generation heavy ion accelerator facility, such as the RIKEN radio isotope (RI) beam factory, requires an intense beam of high charged heavy ions. In the past decade, performance of the electron cyclotron resonance (ECR) ion sources has been dramatically improved with increasing the magnetic field and rf frequency to enhance the density and confinement time of plasma. Furthermore, the effects of the key parameters (magnetic field configuration, gas pressure, etc.) on the ECR plasma have been revealed. Such basic studies give us how to optimize the ion source structure. Based on these studies and modern superconducting (SC) technology, we successfully constructed the new 28 GHz SC-ECRIS, which has a flexible magnetic field configuration to enlarge the ECR zone and to optimize the field gradient at ECR point. Using it, we investigated the effect of ECR zone size, magnetic field configuration, and biased disk on the beam intensity of the highly charged heavy ions with 18 GHz microwaves. In this article, we present the structure of the ion source and first experimental results with 18 GHz microwave in detail.

  13. Influence of surface morphology and microstructure on performance of CVD tungsten coating under fusion transient thermal loads

    Energy Technology Data Exchange (ETDEWEB)

    Lian, Youyun, E-mail: lianyy@swip.ac.cn [Southwestern Institute of Physics, Chengdu (China); Liu, Xiang; Wang, Jianbao; Feng, Fan [Southwestern Institute of Physics, Chengdu (China); Lv, Yanwei; Song, Jiupeng [China National R& D Center for Tungsten Technology, Xiamen Tungsten Co. Ltd, 361026 Xiamen (China); Chen, Jiming [Southwestern Institute of Physics, Chengdu (China)

    2016-12-30

    Highlights: • Thick CVD-W coatingswere deposited at a rapid growth rate. • The polished CVD-W coatings have highly textured structure and exhibited a very strong preferred orientation. • The polished CVD tungsten coatings show superior thermal shock resistance as compared with that of the as-deposited coatings. • The crack formation of the polished CVD-W was almost suppressed at an elevated temperature. - Abstract: Thick tungsten coatings have been deposited by chemical vapor deposition (CVD) at a rapid growth rate. A series of tungsten coatings with different thickness and surface morphology were prepared. The surface morphology, microstructure and preferred orientation of the CVD tungsten coatings were investigated. Thermal shock analyses were performed by using an electron beam facility to study the influence of the surface morphology and the microstructure on the thermal shock resistance of the CVD tungsten coatings. Repetitive (100 pulses) ELMs-like thermal shock loads were applied at various temperatures between room temperature and 600 °C with pulse duration of 1 ms and an absorbed power density of up to 1 GW/m{sup 2}. The results of the tests demonstrated that the specific surface morphology and columnar crystal structure of the CVD tungsten have significant influence on the surface cracking threshold and crack propagation of the materials. The CVD tungsten coatings with a polished surface show superior thermal shock resistance as compared with that of the as-deposited coatings with a rough surface.

  14. Movers and stayers: The geography of residential mobility and CVD hospitalisations in Auckland, New Zealand.

    Science.gov (United States)

    Exeter, Daniel J; Sabel, Clive E; Hanham, Grant; Lee, Arier C; Wells, Susan

    2015-05-01

    The association between area-level disadvantage and health and social outcomes is unequivocal. However, less is known about the health impact of residential mobility, particularly at intra-urban scales. We used an encrypted National Health Index (eNHI) number to link individual-level data recorded in routine national health databases to construct a cohort of 641,532 participants aged 30+ years to investigate the association between moving and CVD hospitalisations in Auckland, New Zealand. Residential mobility was measured for participants according to changes in the census Meshblock of usual residence, obtained from the Primary Health Organisation (PHO) database for every calendar quarter between 1/1/2006 and 31/12/2012. The NZDep2006 area deprivation score at the start and end of a participant's inclusion in the study was used to measure deprivation mobility. We investigated the relative risk of movers being hospitalised for CVD relative to stayers using multi-variable binomial regression models, controlling for age, gender, deprivation and ethnicity. Considered together, movers were 1.22 (1.19-1.26) times more likely than stayers to be hospitalised for CVD. Using the 5×5 deprivation origin-destination matrix to model a patient's risk of CVD based on upward, downward or sideways deprivation mobility, movers within the least deprived (NZDep2006 Quintile 1) areas were 10% less likely than stayers to be hospitalised for CVD, while movers within the most deprived (NZDep2006 Q5) areas were 45% more likely than stayers to have had their first CVD hospitalisation in 2006-2012 (RR: 1.45 [1.35-1.55]). Participants who moved upward also had higher relative risks of having a CVD event, although their risk was less than those observed for participants experiencing downward deprivation mobility. This research suggests that residential mobility is an important determinant of CVD in Auckland. Further investigation is required to determine the impact moving has on the risk of

  15. ECR Light Ion Sources at CEA/Saclay%CEA/Saclay的ECR轻离子离子源

    Institute of Scientific and Technical Information of China (English)

    R.Gobin; P-A.Leroy; O.Tuske; D.Uriot; P-Y.Beauvais; A.Ben Ismail; D.Bogard; O.Delferriere; D.de Menezes; R.Duperrier; Y.Gauthier; F.Harrault

    2007-01-01

    In the beginning of the 90s,T.Taylor and his collaborators demonstrated ECR sources operating at low frequency (I.e.2.45GHz) are able to produce very intense single charge light ion beams.At CEA/Saclay,the SILHI source developments started in 1995.Since 1997 more than 100mA proton or deuteron beams are routinely produced in pulsed or continuous mode.To comply with ADS reliability constraint,important improvements have been performed to increase the installation reliability.Moreover,to optimize the beam transport in the low energy beam line,the extraction system was carefully designed and space charge compensation studies were undertaken.An important step has been reached in 2005 with the development of a permanent magnet source able to produce a total beam of 109mA at 85kV.A new test bench named BETSI,especially dedicated to permanent magnet source developments,is presently under construction.It will allow analysing positive or negative extracted beams up to 50keV and 100mA.In addition,for several years work has been done to optimize the production of negative hydrogen ion beam with such an ECR source.Recent analysis pushed towards the construction of a new set up based on a multicusp magnetic configuration.After a brief overview of the CEA/Saclay source developments,this article will point out on the recent results and present status.

  16. High quality aluminide and thermal barrier coatings deposition for new and service exposed parts by CVD techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pedraza, F.; Tuohy, C.; Whelan, L.; Kennedy, A.D. [SIFCO Turbine Components, Carrigtwohill, Cork (Ireland)

    2004-07-01

    In this work, the performance of CVD aluminide coatings is compared to that of coatings deposited by the classical pack cementation technique using standard SIFCO procedures. The CVD coatings always seem to behave better upon exposure to isothermal and cyclic oxidation conditions. This is explained by a longer term stability of CVD coatings, with higher Al amounts in the diffusion zone and less refractory element precipitation in the additive layer. The qualities of Pt/Al coatings by out-of-pack and CVD are also compared as a previous step for further thermal barrier coating deposition. As an example, YSZ thermal barrier coatings are deposited by MO-CVD on Pt/Al CVD bond coats rendering adherent and thick coatings around the surface of turbine blades. This process under development does not require complex manipulation of the component to be coated. (orig.)

  17. Validation of a model to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD: the rotterdam ischemic heart disease and stroke computer simulation (RISC) model

    NARCIS (Netherlands)

    van Kempen, Bob J. H.; Ferket, Bart S.; Hofman, Albert; Steyerberg, Ewout W.; Colkesen, Ersen B.; Boekholdt, S. Matthijs; Wareham, Nicholas J.; Khaw, Kay-Tee; Hunink, M. G. Myriam

    2012-01-01

    Background: We developed a Monte Carlo Markov model designed to investigate the effects of modifying cardiovascular disease (CVD) risk factors on the burden of CVD. Internal, predictive, and external validity of the model have not yet been established. Methods: The Rotterdam Ischemic Heart Disease

  18. Low temperature CVD deposition of silicon carbide

    International Nuclear Information System (INIS)

    Dariel, M.; Yeheskel, J.; Agam, S.; Edelstein, D.; Lebovits, O.; Ron, Y.

    1991-04-01

    The coating of graphite on silicon carbide from the gaseous phase in a hot-well, open flow reactor at 1150degC is described. This study constitutes the first part of an investigation of the process for the coating of nuclear fuel by chemical vapor deposition (CVD)

  19. Performance of irradiated CVD diamond micro-strip sensors

    International Nuclear Information System (INIS)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15 /cm 2 ) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10 15 p/cm 2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10 15 π/cm 2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations

  20. Performance of irradiated CVD diamond micro-strip sensors

    Science.gov (United States)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D'Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; van Eijk, B.; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K. K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; mac Lynne, L.; Manfredotti, C.; Meier, D.; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L. S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J. L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R. J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A. M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S. V.; Thomson, G. B.

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a β-source and the performance before and after intense (>10 15/cm 2) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2×10 15 p/ cm2 lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9×10 15 π/ cm2 lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  1. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  2. A CVD Diamond Detector for (n,a) Cross-Section Measurements

    CERN Document Server

    Weiss, Christina; Griesmayer, Erich; Guerrero, Carlos

    A novel detector based on the chemical vapor deposition (CVD) diamond technology has been developed in the framework of this PhD, for the experimental determination of (n,a) cross-sections at the neutron time-of-flight facility n_TOF at CERN. The 59Ni(n,a)56Fe cross-section, which is relevant for astrophysical questions as well as for risk-assessment studies in nuclear technology, has been measured in order to validate the applicability of the detector for such experiments. The thesis is divided in four parts. In the introductory part the motivation for measuring (n,a) cross-sections, the experimental challenges for such measurements and the reasons for choosing the CVD diamond technology for the detector are given. This is followed by the presentation of the n_TOF facility, an introduction to neutron-induced nuclear reactions and a brief summary of the interaction of particles with matter. The CVD diamond technology and the relevant matters related to electronics are given as well in this first part of the t...

  3. Coffee consumption is not associated with prevalent subclinical cardiovascular disease (CVD) or the risk of CVD events, in nonalcoholic fatty liver disease: results from the multi-ethnic study of atherosclerosis.

    Science.gov (United States)

    Simon, Tracey G; Trejo, Maria Esther Perez; Zeb, Irfan; Frazier-Wood, Alexis C; McClelland, Robyn L; Chung, Raymond T; Budoff, Matthew J

    2017-10-01

    Atherosclerosis and its clinical sequelae represent the leading cause of mortality among patients with nonalcoholic fatty liver disease (NAFLD). While epidemiologic data support the hepatoprotective benefits of coffee in NAFLD, whether coffee improves NAFLD-associated CVD risk is unknown. We examined 3710 ethnically-diverse participants from the Multi-Ethnic Study of Atherosclerosis (MESA) cohort, without history of known liver disease, and with available coffee data from a validated 120-item food frequency questionnaire. All participants underwent baseline non-contrast cardiac CT from which NAFLD was defined by liver:spleen ratio (L:S0. Major CVD events were defined by the first occurrence of myocardial infarction, cardiac arrest, angina, stroke, or CVD death. We used log-binomial regression to calculate the adjusted prevalence ratio (PR) for CAC>0 by coffee intake and NAFLD status, and events were compared between groups using frequency of events within adjusted Cox proportional hazard regression models. Seventeen percent (N=637) of participants met criteria for NAFLD. NAFLD participants were more likely to have elevated BMI (mean 31.1±5.5kg/m 2 vs. 28.0±5.2kg/m 2 , pcoffee consumption (p=0.97). Among NAFLD participants, coffee consumption was not associated with prevalent, baseline CAC>0 (PR=1.02 [0.98-1.07]). Over 12.8years of follow-up, 93 NAFLD and 415 non-NAFLD participants experienced a CV event. However, coffee intake was not associated with incident CVD events, in either NAFLD (HR=1.05 [0.91-1.21]) or non-NAFLD participants (HR=1.03 [0.97-1.11]). In a large, population-based cohort, coffee consumption was not associated with the prevalence of subclinical CVD, nor did coffee impact the future risk of major CVD events, regardless of underlying NAFLD status. Copyright © 2017 Elsevier Inc. All rights reserved.

  4. Oxidation protection of multilayer CVD SiC/B/SiC coatings for 3D C/SiC composite

    International Nuclear Information System (INIS)

    Liu Yongsheng; Cheng Laifei; Zhang Litong; Wu Shoujun; Li Duo; Xu Yongdong

    2007-01-01

    A CVD boron coating was introduced between two CVD SiC coating layers. EDS and XRD results showed that the CVD B coating was a boron crystal without other impurity elements. SEM results indicated that the CVD B coating was a flake-like or column-like crystal with a compact cross-section. The crack width in the CVD SiC coating deposited on CVD B is smaller than that in a CVD SiC coating deposited on CVD SiC coating. After oxidation at 700 deg. C and 1000 deg. C, XRD results indicated that the coating was covered by product B 2 O 3 or B 2 O 3 .xSiO 2 film. The cracks were sealed as observed by SEM. There was a large amount of flake-like material on hybrid coating surface after oxidation at 1300 deg. C. Oxidation weight loss and residual flexural strength results showed that hybrid SiC/B/SiC multilayer coating provided better oxidation protection for C/SiC composite than a three layer CVD SiC coating at temperatures from 700 deg. C to 1000 deg. C for 600 min, but worse oxidation protection above 1000 deg. C due to the large amount of volatilization of B 2 O 3 or B 2 O 3 .xSiO 2

  5. Higher plasma soluble Receptor for Advanced Glycation End Products (sRAGE) levels are associated with incident cardiovascular disease and all-cause mortality in type 1 diabetes

    DEFF Research Database (Denmark)

    Nin, Johanna W M; Jorsal, Anders; Ferreira, Isabel

    2010-01-01

    To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal dysfunct......To investigate the associations of plasma levels of soluble receptor for advanced glycation end products (sRAGE) with incident cardiovascular disease (CVD) and all-cause mortality in type 1 diabetes and the extent to which any such associations could be explained by endothelial and renal...

  6. Assessment of CVD diamond as a thermoluminescence dosemeter material

    International Nuclear Information System (INIS)

    Borchi, E.; Furetta, C.; Leroy, C.

    1996-01-01

    Diamond has a low atomic number (Z = 6) and is therefore essentially soft tissue (Z = 7.4) equivalent. As such, diamond is an attractive material for applications in dosimetry in which the radiation absorption in the sensor material should be as close as possible to that of soft tissue. Synthetic diamond prepared by chemical vapour deposition (CVD) offers an attractive option for this application. The aim of the present work is to report results on the thermoluminescence (TL) properties of CVD diamond samples. The annealing procedures, the linearity of the TL response as a function of dose, a short-term fading experiment and some kinetic properties have been investigated and are reported here. (Author)

  7. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  8. Adaptation of the IBM ECR [electric cantilever robot] robot to plutonium processing applications

    International Nuclear Information System (INIS)

    Armantrout, G.A.; Pedrotti, L.R.; Halter, E.A.; Crossfield, M.

    1990-12-01

    The changing regulatory climate in the US is adding increasing incentive to reduce operator dose and TRU waste for DOE plutonium processing operations. To help achieve that goal the authors have begun adapting a small commercial overhead gantry robot, the IBM electric cantilever robot (ECR), to plutonium processing applications. Steps are being taken to harden this robot to withstand the dry, often abrasive, environment within a plutonium glove box and to protect the electronic components against alpha radiation. A mock-up processing system for the reduction of the oxide to a metal was prepared and successfully demonstrated. Design of a working prototype is now underway using the results of this mock-up study. 7 figs., 4 tabs

  9. Performance of irradiated CVD diamond micro-strip sensors

    Energy Technology Data Exchange (ETDEWEB)

    Adam, W.; Berdermann, E.; Bergonzo, P.; Bertuccio, G.; Bogani, F.; Borchi, E.; Brambilla, A.; Bruzzi, M.; Colledani, C.; Conway, J.; D' Angelo, P.; Dabrowski, W.; Delpierre, P.; Deneuville, A.; Dulinski, W.; Eijk, B. van; Fallou, A.; Fizzotti, F.; Foulon, F.; Friedl, M.; Gan, K.K.; Gheeraert, E.; Hallewell, G.; Han, S.; Hartjes, F.; Hrubec, J.; Husson, D.; Kagan, H.; Kania, D.; Kaplon, J.; Kass, R.; Koeth, T.; Krammer, M.; Logiudice, A.; Lu, R.; Mac Lynne, L.; Manfredotti, C.; Meier, D. E-mail: dirk.meier@cern.ch; Mishina, M.; Moroni, L.; Noomen, J.; Oh, A.; Pan, L.S.; Pernicka, M.; Peitz, A.; Perera, L.; Pirollo, S.; Procario, M.; Riester, J.L.; Roe, S.; Rousseau, L.; Rudge, A.; Russ, J.; Sala, S.; Sampietro, M.; Schnetzer, S.; Sciortino, S.; Stelzer, H.; Stone, R.; Suter, B.; Tapper, R.J.; Tesarek, R.; Trischuk, W.; Tromson, D.; Vittone, E.; Walsh, A.M.; Wedenig, R.; Weilhammer, P.; Wetstein, M.; White, C.; Zeuner, W.; Zoeller, M.; Plano, R.; Somalwar, S.V.; Thomson, G.B

    2002-01-11

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article, we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a {beta}-source and the performance before and after intense (>10{sup 15}/cm{sup 2}) proton- and pion-irradiations. We find that low dose irradiation increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiation with protons 2.2x10{sup 15} p/cm{sup 2} lowers the signal-to-noise ratio slightly. Intense irradiation with pions 2.9x10{sup 15} {pi}/cm{sup 2} lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  10. Performance of irradiated CVD diamond micro-strip sensors

    CERN Document Server

    Adam, W; Bergonzo, P; Bertuccio, G; Bogani, F; Borchi, E; Brambilla, A; Bruzzi, Mara; Colledani, C; Conway, J; D'Angelo, P; Dabrowski, W; Delpierre, P A; Deneuville, A; Dulinski, W; van Eijk, B; Fallou, A; Fizzotti, F; Foulon, F; Friedl, M; Gan, K K; Gheeraert, E; Hallewell, G D; Han, S; Hartjes, F G; Hrubec, Josef; Husson, D; Kagan, H; Kania, D R; Kaplon, J; Kass, R; Koeth, T W; Krammer, Manfred; Lo Giudice, A; Lü, R; MacLynne, L; Manfredotti, C; Meier, D; Mishina, M; Moroni, L; Noomen, J; Oh, A; Pan, L S; Pernicka, Manfred; Peitz, A; Perera, L P; Pirollo, S; Procario, M; Riester, J L; Roe, S; Rousseau, L; Rudge, A; Russ, J; Sala, S; Sampietro, M; Schnetzer, S R; Sciortino, S; Stelzer, H; Stone, R; Suter, B; Tapper, R J; Tesarek, R J; Trischuk, W; Tromson, D; Vittone, E; Walsh, A M; Wedenig, R; Weilhammer, Peter; Wetstein, M; White, C; Zeuner, W; Zöller, M

    2002-01-01

    CVD diamond detectors are of interest for charged particle detection and tracking due to their high radiation tolerance. In this article we present, for the first time, beam test results from recently manufactured CVD diamond strip detectors and their behavior under low doses of electrons from a $\\beta$-source and the performance before and after intense ($>10^{15}/{\\rm cm^2}$) proton- and pion-irradiations. We find that low dose irradiations increase the signal-to-noise ratio (pumping of the signal) and slightly deteriorate the spatial resolution. Intense irradiations with protons ($2.2\\times 10^{15}~p/{\\rm cm^2}$) lowers the signal-to-noise ratio slightly. Intense irradiation with pions ($2.9\\times 10^{15}~\\pi/{\\rm cm^2}$) lowers the signal-to-noise ratio more. The spatial resolution of the diamond sensors improves after irradiations.

  11. Synthesis of AlN fine particles by surface corona discharge-CVD; Enmen corona hoden CVD ni yoru AlN biryushi no gosei

    Energy Technology Data Exchange (ETDEWEB)

    Oyama, Y.; Chiba, S. [Hokkaido National Industrial Research Institute, Sapporo (Japan); Harima, K> ; Kondo, K.; Shinohara, K. [Hokkaido University, Sapporo (Japan)

    1994-09-15

    With an objective to improve insulating and heat dissipating substrates substituting for the conventional alumina substrates, discussions been given on synthesis of AlN fine particles by means of gaseous phase reaction between AlCl3 and NH3 using surface corona discharge as a reaction exciting source. AIN particles should be highly pure to acquire high-heat conductivity, and fine and uniform particles to obtain dense sinters at low temperatures. The particles obtained by using the present method were amorphous particles having nearly spherical form and smooth surface. The particle diameter depends on the initial concentration of AlCl3, and is proportional to 0.4 square of the concentration. Within the range in the present experiment, the diameters ranged from 208 nm to 431 nm. The particle diameter increased in proportion to 0.2 square of an average gas stagnating time within the plasma generating region. The particle size distribution consisted of highly uniform fine particles having the standard deviation at about the same degree as that in the conventional thermal CVD process. The alumina-based oxygen was removed completely by reduction due to graphite powder, but the re-oxidation during removal of the remaining graphite using combustion had oxygen remained at 7.4% by weight. 16 refs., 7 figs.

  12. Morphology of Diamond Layers Grown on Different Facets of Single Crystal Diamond Substrates by a Microwave Plasma CVD in CH4-H2-N2 Gas Mixtures

    Directory of Open Access Journals (Sweden)

    Evgeny E. Ashkinazi

    2017-06-01

    Full Text Available Epitaxial growth of diamond films on different facets of synthetic IIa-type single crystal (SC high-pressure high temperature (HPHT diamond substrate by a microwave plasma CVD in CH4-H2-N2 gas mixture with the high concentration (4% of nitrogen is studied. A beveled SC diamond embraced with low-index {100}, {110}, {111}, {211}, and {311} faces was used as the substrate. Only the {100} face is found to sustain homoepitaxial growth at the present experimental parameters, while nanocrystalline diamond (NCD films are produced on other planes. This observation is important for the choice of appropriate growth parameters, in particular, for the production of bi-layer or multilayer NCD-on-microcrystalline diamond (MCD superhard coatings on tools when the deposition of continuous conformal NCD film on all facet is required. The development of the film morphology with growth time is examined with SEM. The structure of hillocks, with or without polycrystalline aggregates, that appear on {100} face is analyzed, and the stress field (up to 0.4 GPa within the hillocks is evaluated based on high-resolution mapping of photoluminescence spectra of nitrogen-vacancy NV optical centers in the film.

  13. Edge and Plasma -Wall Interaction Diagnostics in the TJ-II Stellarator

    Energy Technology Data Exchange (ETDEWEB)

    Tabares, F. L.; Tafalla, D.; Branas, B.; Hidalgo, A.; Garcia-Cortes, I.; Lopez-Fraguas, A.; Ortiz, P.

    2003-07-01

    The operation of the TJ-II stellarator, carried out under ECR heating conditions until now, the plasma edge parameters and those processes has been identified. Therefore, an important , has implieda careful control of partied e sources and the associated plasma-wall interaction processes. A clear coupling between the plasma edge parameters and those processes has been identified. Therefore, an important effort has been devoted to the development of dedicated diagnostics in both fields. Remarkable success has been attained in the development of atomic-beam based edge diagnostics, namely, thermal Li and supersonic He beams. In particular, fast (up to 200 Hz) sampling of temperature and density profiles has been made possible thorough an upgraded version of the pulsed, supersonic He beam diagnostic. In this paper, whorl devoted to the upgrading of these techniques is described. Also, preliminary experiments oriented to the validation of the collisional radiative models use din the beam-based diagnostic interpretaron as well as simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. (Author) 17 refs.

  14. Edge and Plasma-Wall Interaction Diagnostics in the TJ-II Stellarator

    International Nuclear Information System (INIS)

    Tabares, F.L.; Tafalla, D.; Branas, B.; Hidalgo, A.; Garcia-Cortes, I.; Lopez-Fraguas, A.; Ortiz, P.

    2003-01-01

    The operation of the TJ-II stellarator, carried out under ECR heating conditions until now, the plasma edge parameters and those processes has been identified. Therefore, an important, has implied a careful control of partied e sources and the associated plasma-wall interaction processes. A clear coupling between the plasma edge parameters and those processes has been identified. Therefore, an important effort has been devoted to the development of dedicated diagnostics in both fields. Remarkable success has been attained in the development of atomic-beam based edge diagnostics, namely, thermal Li and supersonic He beams. In particular, fast (up to 200 Hz) sampling of temperature and density profiles has been made possible thorough an upgraded version of the pulsed, supersonic He beam diagnostic. In this paper, whorl devoted to the upgrading of these techniques is described. Also, preliminary experiments oriented to the validation of the collisional radiative models used in the beam-based diagnostic interpretaron as well as simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. (Author) 17 refs

  15. Influence of the density of the microwave plasma in the nitridation of the AISI 4140 steel

    International Nuclear Information System (INIS)

    Chirino O, S.; Camps C, E.; Escobar A, L.; Mejia H, J.A.

    2004-01-01

    A source of microwaves plasma type ECR was used to modify those mechanical properties of the surface of steel pieces AISI 4140. The experiments were carried out in a range of pressure among 4 X 10 -4 and 7 X 10 -4 Torr using one mixture of gases 60/40 hydrogen / nitrogen and an incident power of the microwaves of 400 W. Previous to the treatment of the samples, the plasma was studied using one Langmuir probe to determine the temperature of the electrons and the density of the plasma, the species excited in the plasma were determined by means of Optical emission spectroscopy. All the samples were treated during 50 min in a regime of low temperature (- 250 C), and the surface hardness it was increased up of 100% of their initial value, with a depth of penetration of the nitrogen of 4.5 μ m. The biggest hardness and depth of penetration of the nitrogen were obtained when the biggest density in the plasma was used to carry out the experiments. (Author)

  16. The inverse association of incident cardiovascular disease with plasma bilirubin is unaffected by adiponectin

    NARCIS (Netherlands)

    Dullaart, Robin P. F.; Boersema, Jeltje; Lefrandt, Joop D.; Wolffenbuttel, Bruce H. R.; Bakker, Stephan J. L.

    Objective: Bilirubin may protect against atherosclerotic cardiovascular disease (CVD). The heme oxygenase pathway is crucial for bilirubin generation, and is stimulated by adiponectin. We tested the relationship of plasma bilirubin with adiponectin, and determined whether the association of incident

  17. Intense beams from gases generated by a permanent magnet ECR ion source at PKU

    Energy Technology Data Exchange (ETDEWEB)

    Ren, H. T.; Chen, J. E. [College of Physical Sciences, Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); SKLNPT, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China); Peng, S. X.; Lu, P. N.; Yan, S.; Zhou, Q. F.; Zhao, J.; Yuan, Z. X.; Guo, Z. Y. [SKLNPT, Institute of Heavy Ion Physics, Peking University, Beijing 100871 (China)

    2012-02-15

    An electron cyclotron resonance (ECR) ion source is designed for the production of high-current ion beams of various gaseous elements. At the Peking University (PKU), the primary study is focused on developing suitable permanent magnet ECR ion sources (PMECRs) for separated function radio frequency quadrupole (SFRFQ) accelerator and for Peking University Neutron Imaging Facility. Recently, other kinds of high-intensity ion beams are required for new acceleration structure demonstration, simulation of fusion reactor material irradiation, aviation bearing modification, and other applications. So we expanded the ion beam category from O{sup +}, H{sup +}, and D{sup +} to N{sup +}, Ar{sup +}, and He{sup +}. Up to now, about 120 mA of H{sup +}, 83 mA of D{sup +}, 50 mA of O{sup +}, 63 mA of N{sup +}, 70 mA of Ar{sup +}, and 65 mA of He{sup +} extracted at 50 kV through a {phi} 6 mm aperture were produced by the PMECRs at PKU. Their rms emittances are less than 0.2 {pi} mm mrad. Tungsten samples were irradiated by H{sup +} or He{sup +} beam extracted from this ion source and H/He holes and bubbles have been observed on the samples. A method to produce a high intensity H/He mixed beam to study synergistic effect is developed for nuclear material irradiation. To design a He{sup +} beam injector for coupled radio frequency quadruple and SFRFQ cavity, He{sup +} beam transmission experiments were carried out on PKU low energy beam transport test bench and the transmission was less than 50%. It indicated that some electrode modifications must be done to decrease the divergence of He{sup +} beam.

  18. Research on EBEP (Electron Beam Excited Plasma) applications; EBEP (denshi beam reiki plasma) no tekiyo gijutsu ni kansuru kenkyu

    Energy Technology Data Exchange (ETDEWEB)

    Yanase, E.; Ryoji, M.; Mori, Y.; Tokai, M. [Kawasaki Heavy Industries, Ltd., Kobe (Japan)

    1996-04-20

    Research and development is actively conducted on machining technologies using plasma in various fields, with studies energetically pursued on etching techniques or those of forming a thin film by the use of high frequency and microwave plasma. The EBEP system jointly developed by Kawasaki Heavy Industries Ltd. and Institute of Physical and Chemical Research is a plasma source for forming a high density plasma by implanting into a plasma chamber from the outside a high-current electron beam accelerated to an energy of approximately 60 to 100eV where the collision cross-section of gas ionization is maximized. The characteristics of the system are such as (1) it enables electron energy distribution to be controlled from outside by varying acceleration voltage, (2) it excels in the controllability of ion energy and (3) it allows to form a steady high-density plasma in a nonmagnetic field. This paper presents the generating principle of EBEP, its plasma characteristics, etching technique using EBEP, thin film forming technique by EBEP-CVD method, and multipurpose apparatus for research and development. 6 refs., 7 figs., 1 tab.

  19. Pulse-height defect in single-crystal CVD diamond detectors

    Energy Technology Data Exchange (ETDEWEB)

    Beliuskina, O.; Imai, N. [The University of Tokyo, Center for Nuclear Study, Wako, Saitama (Japan); Strekalovsky, A.O.; Aleksandrov, A.A.; Aleksandrova, I.A.; Ilich, S.; Kamanin, D.V.; Knyazheva, G.N.; Kuznetsova, E.A.; Mishinsky, G.V.; Pyatkov, Yu.V.; Strekalovsky, O.V.; Zhuchko, V.E. [JINR, Flerov Laboratory of Nuclear Reactions, Dubna, Moscow Region (Russian Federation); Devaraja, H.M. [Manipal University, Manipal Centre for Natural Sciences, Manipal, Karnataka (India); Heinz, C. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); Heinz, S. [II. Physikalisches Institut, Justus-Liebig-Universitaet Giessen, Giessen (Germany); GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Hofmann, S.; Kis, M.; Kozhuharov, C.; Maurer, J.; Traeger, M. [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany); Pomorski, M. [CEA, LIST, Diamond Sensor Laboratory, CEA/Saclay, Gif-sur-Yvette (France)

    2017-02-15

    The pulse-height versus deposited energy response of a single-crystal chemical vapor deposition (scCVD) diamond detector was measured for ions of Ti, Cu, Nb, Ag, Xe, Au, and of fission fragments of {sup 252} Cf at different energies. For the fission fragments, data were also measured at different electric field strengths of the detector. Heavy ions have a significant pulse-height defect in CVD diamond material, which increases with increasing energy of the ions. It also depends on the electrical field strength applied at the detector. The measured pulse-height defects were explained in the framework of recombination models. Calibration methods known from silicon detectors were modified and applied. A comparison with data for the pulse-height defect in silicon detectors was performed. (orig.)

  20. Atomic physics at the Argonne PII ECR [electron cyclotron resonance] Ion Source

    International Nuclear Information System (INIS)

    Dunford, R.W.; Berry, H.G.; Billquist, P.J.; Pardo, R.C.; Zabransky, B.J.; Bakke, E.; Groeneveld, K.O.; Hass, M.; Raphaelian, M.L.A.

    1987-01-01

    An atomic physics beam line has been set up at the Argonne PII ECR Ion Source. The source is on a 350-kV high-voltage platform which is a unique feature of particular interest in work on atomic collisions. We describe our planned experimental program which includes: measurement of state-selective electron-capture cross sections, studies of doubly-excited states, precision spectroscopy of few-electron ions, tests of quantum electrodynamics, and studies of polarization transfer using optically pumped polarized alkali targets. The first experiments will be measurements of cross sections for electron capture into specific nl subshells in ion-atom collisions. Our method is to observe the characteristic radiation emitted after capture using a VUV spectrometer. Initial data from these experiments are presented. 12 refs., 4 figs