WorldWideScience

Sample records for direct write ebdw

  1. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  2. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-07-01

    IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.

  3. Future direction of direct writing

    Science.gov (United States)

    Kim, Nam-Soo; Han, Kenneth N.

    2010-11-01

    Direct write technology using special inks consisting of finely dispersed metal nanoparticles in liquid is receiving an undivided attention in recent years for its wide range of applicability in modern electronic industry. The application of this technology covers radio frequency identification-tag (RFID-tag), flexible-electronics, organic light emitting diodes (OLED) display, e-paper, antenna, bumpers used in flip-chip, underfilling, frit, miniresistance applications and biological uses, artificial dental applications and many more. In this paper, the authors have reviewed various direct write technologies on the market and discussed their advantages and shortfalls. Emphasis has given on microdispensing deposition write (MDDW), maskless mesoscale materials deposition (M3D), and ink-jet technologies. All of these technologies allow printing various patterns without employing a mask or a resist with an enhanced speed with the aid of computer. MDDW and M3D are capable of drawing patterns in three-dimension and MDDW, in particular, is capable of writing nanoinks with high viscosity. However, it is still far away for direct write to be fully implemented in the commercial arena. One of the hurdles to overcome is in manufacturing conductive inks which are chemically and physically stable, capable of drawing patterns with acceptable conductivity, and also capable of drawing patterns with acceptable adhesiveness with the substrates. The authors have briefly discussed problems involved in manufacturing nanometal inks to be used in various writing devices. There are numerous factors to be considered in manufacturing such inks. They are reducing agents, concentrations, oxidation, compact ability allowing good conductivity, and stability in suspension.

  4. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  5. DIRECT CORRECTIVE FEEDBACK ON STUDENTS‟WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Testiana Deni Wijayatiningsih

    2017-04-01

    Full Text Available Teaching writing genre especially recount, narrative, and descriptive need brief and detail correction. Moreover, students aware about their mistakes in writing genre clearly. So, they can revise themselves. Based on the background above, this research aimed to know students‘achievement differences between using direct corrective feedback and indirect corrective feedback. The subject of this research was the fourth semester of English Department of Universitas Muhammadiyah Semarang. It employed quasi experiment and one group pre test post test design to analyze the students‘ writing achievement. The result showed that there is a significant differences between direct corrective feedback and indirect corrective feedback. Hopefully this research gives benefit for lecturers to use direct corrective feedback in their teaching writing process .

  6. Additive direct-write microfabrication for MEMS: A review

    Science.gov (United States)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  7. Laser-based direct-write techniques for cell printing

    Energy Technology Data Exchange (ETDEWEB)

    Schiele, Nathan R; Corr, David T [Biomedical Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States); Huang Yong [Department of Mechanical Engineering, Clemson University, Clemson, SC (United States); Raof, Nurazhani Abdul; Xie Yubing [College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, NY (United States); Chrisey, Douglas B, E-mail: schien@rpi.ed, E-mail: chrisd@rpi.ed [Material Science and Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States)

    2010-09-15

    Fabrication of cellular constructs with spatial control of cell location ({+-}5 {mu}m) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  8. Laser-based direct-write techniques for cell printing

    International Nuclear Information System (INIS)

    Schiele, Nathan R; Corr, David T; Huang Yong; Raof, Nurazhani Abdul; Xie Yubing; Chrisey, Douglas B

    2010-01-01

    Fabrication of cellular constructs with spatial control of cell location (±5 μm) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  9. Symmetrical waveguide devices fabricated by direct UV writing

    DEFF Research Database (Denmark)

    Færch, Kjartan Ullitz; Svalgaard, Mikael

    2002-01-01

    Power splitters and directional couplers fabricated by direct UV writing in index matched silica-on-silicon samples can suffer from an asymmetrical device performance, even though the UV writing is carried out in a symmetrical fashion. This effect originates from a reduced photosensitivity...

  10. How directional change in reading/writing habits relates to directional change in displayed pictures.

    Science.gov (United States)

    Lee, Hachoung; Oh, Songjoo

    2016-01-01

    It has been suggested that reading/writing habits may influence the appreciation of pictures. For example, people who read and write in a rightward direction have an aesthetic preference for pictures that face rightward over pictures that face leftward, and vice versa. However, correlations for this phenomenon have only been found in cross-cultural studies. Will a directional change in reading/writing habits within a culture relate to changes in picture preference? Korea is a good place to research this question because the country underwent gradual changes in reading/writing direction habits, from leftward to rightward, during the 20th century. In this study, we analyzed the direction of drawings and photos published in the two oldest newspapers in Korea from 1920-2013. The results show that the direction of the drawings underwent a clear shift from the left to the right, but the direction of the photos did not change. This finding suggests a close psychological link between the habits of reading/writing and drawing that cannot be accounted for simply by an accidental correspondence across different cultures.

  11. Laser direct writing of micro- and nano-scale medical devices

    Science.gov (United States)

    Gittard, Shaun D; Narayan, Roger J

    2010-01-01

    Laser-based direct writing of materials has undergone significant development in recent years. The ability to modify a variety of materials at small length scales and using short production times provides laser direct writing with unique capabilities for fabrication of medical devices. In many laser-based rapid prototyping methods, microscale and submicroscale structuring of materials is controlled by computer-generated models. Various laser-based direct write methods, including selective laser sintering/melting, laser machining, matrix-assisted pulsed-laser evaporation direct write, stereolithography and two-photon polymerization, are described. Their use in fabrication of microstructured and nanostructured medical devices is discussed. Laser direct writing may be used for processing a wide variety of advanced medical devices, including patient-specific prostheses, drug delivery devices, biosensors, stents and tissue-engineering scaffolds. PMID:20420557

  12. Direct UV-writing of waveguides

    DEFF Research Database (Denmark)

    Færch, Kjartan Ullitz

    2003-01-01

    induced refractive index change of more than 10-2 have been obtained. New insight, with respect to understanding the UV induced index change obtained by direct UV writing, has been provided, through experiments conducted with such high-pressure loaded germanosilica samples. This include measurements...

  13. Carbon nanotube formation by laser direct writing

    International Nuclear Information System (INIS)

    Wu, Y.-T.; Su, H.-C.; Tsai, C.-M.; Liu, K.-L.; Chen, G.-D.; Huang, R.-H.; Yew, T.-R.

    2008-01-01

    This letter presents carbon nanotube (CNT) formation by laser direct writing using 248 nm KrF excimer pulsed laser in air at room temperature, which was applied to irradiate amorphous carbon (a-C) assisted by Ni catalysts underneath for the transformation of carbon species into CNTs. The CNTs were synthesized under appropriate combination of laser energy density and a-C thickness. The growth mechanism and key parameters to determine the success of CNT formation were also discussed. The demonstration of the CNT growth by laser direct writing in air at room temperature opens an opportunity of in-position CNT formation at low temperatures

  14. Study of device mass production capability of the character projection based electron beam direct writing process technology toward 14 nm node and beyond

    Science.gov (United States)

    Kojima, Yoshinori; Takahashi, Yasushi; Takakuwa, Masaki; Ohshio, Shuzo; Sugatani, Shinji; Tujimura, Ryo; Takita, Hiroshi; Ogino, Kozo; Hoshino, Hiromi; Ito, Yoshio; Miyajima, Masaaki; Kon, Jun-ichi

    2012-03-01

    Techniques to appropriately control the key factors for a character projection (CP) based electron beam direct writing (EBDW) technology for mass production are shown and discussed. In order to achieve accurate CD control, the CP technique using the master CP is adopted. Another CP technique, the Packed CP, is used to obtain suitable shot count. For the alignment on the some critical layers which have the normally an even surface, the alignment methodology differ from photolithography is required. The process that etches the SiO2 material in the shallow trench isolation is added and then the alignment marks can be detected using electron beam even at the gate layer, which is normally on an even surface. The proximity effect correction using the simplified electron energy flux model and the hybrid exposure are used to obtain enough process margins. As a result, the sufficient CD accuracy, overlay accuracy, and yield are obtained on the 65 nm node device. The condition in our system is checked using self-diagnosis on a regular basis, and scheduled maintenances have been properly performed. Due to the proper system control, more than 10,000 production wafers have been successfully exposed so far without any major system downtime. It is shown that those techniques can be adapted to the 32 nm node production with slight modifications. For the 14 nm node and beyond, however, the drastic increment of the shot count becomes more of a concern. The Multi column cell (MCC) exposure method, the key concept of which is the parallelization of the electron beam columns with a CP, can overcome this concern. It is expected that by using the MCC exposure system, those techniques will be applicable to the rapid establishment for the 14 nm node technology.

  15. Electrohydrodynamic Direct-Write Orderly Micro/Nanofibrous Structure on Flexible Insulating Substrate

    Directory of Open Access Journals (Sweden)

    Jiang-Yi Zheng

    2014-01-01

    Full Text Available AC pulse-modulated electrohydrodynamic direct-writing (EDW was utilized to direct-write orderly micro/nanofibrous structure on the flexible insulating polyethylene terephthalate (PET substrate. During the EDW process, AC electrical field induced charges to reciprocate along the jet and decreased the charge repulsive force that applied on charged jet. Thanks to the smaller charge repulsive force, stable straight jet can be built up to direct-write orderly micro/nanofibrous structures on the insulating substrate. The minimum motion velocity required to direct-write straight line fibrous structure on insulating PET substrate was 700 mm/s. Moreover, the influences of AC voltage amplitude, frequency, and duty cycle ratio on the line width of fibrous structures were investigated. This work proposes a novel solution to overcome the inherent charge repulsion emerging on the insulating substrate, and promotes the application of EDW technology on the flexible electronics.

  16. Effect of Direct Grammar Instruction on Student Writing Skills

    Science.gov (United States)

    Robinson, Lisa; Feng, Jay

    2016-01-01

    Grammar Instruction has an important role to play in helping students to speak and write more effectively. The purpose of this study was to examine the effects of direct grammar instruction on the quality of student's writing skills. The participants in this study included 18 fifth grade students and two fifth grade teachers. Based on the results…

  17. Rapid direct laser writing of desired plasmonic nanostructures.

    Science.gov (United States)

    Tong, Quang Cong; Luong, Mai Hoang; Remmel, Jacqueline; Do, Minh Thanh; Nguyen, Dam Thuy Trang; Lai, Ngoc Diep

    2017-06-15

    We demonstrate a direct way to realize arbitrary gold nanostructures via a local dewetting method. This technique was based on the optically induced local thermal effect at the focusing region of a direct laser writing (DLW) system employing a green continuous-wave laser. The local high temperature allowed the creation of gold nano-islands only at the focusing area of the optical system. By moving the focusing spot, this DLW method allowed us to "write" desired two-dimensional gold patterns with a feature size down to sub-lambda. A heat model was also proposed to theoretically explain the localized heating process of the absorbing gold layer. The preliminary results were demonstrated for data storage and color printer applications.

  18. 3D direct writing fabrication of electrodes for electrochemical storage devices

    Science.gov (United States)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  19. Direct Writing of Fiber Bragg Grating in Microstructured Polymer Optical Fiber

    DEFF Research Database (Denmark)

    Stefani, Alessio; Stecher, Matthias; Town, G. E.

    2012-01-01

    We report point-by-point laser direct writing of a 1520-nm fiber Bragg grating in a microstructured polymer optical fiber (mPOF). The mPOF is specially designed such that the microstructure does not obstruct the writing beam when properly aligned. A fourth-order grating is inscribed in the m......POF with only a 2.5-s writing time....

  20. Potential for GPC-based laser direct writing

    DEFF Research Database (Denmark)

    Bañas, Andrew; Glückstad, Jesper

    2016-01-01

    lasers for such applications by using phase modulation as opposed to amplitude truncating masks. Here, we explore GPC’s potential for increasing the yield of micropscopic 3D printing also known as direct laser writing. Many light based additive manufacturing techniques, adopt a point scanning approach...

  1. Large-Scale Direct-Writing of Aligned Nanofibers for Flexible Electronics.

    Science.gov (United States)

    Ye, Dong; Ding, Yajiang; Duan, Yongqing; Su, Jiangtao; Yin, Zhouping; Huang, Yong An

    2018-05-01

    Nanofibers/nanowires usually exhibit exceptionally low flexural rigidities and remarkable tolerance against mechanical bending, showing superior advantages in flexible electronics applications. Electrospinning is regarded as a powerful process for this 1D nanostructure; however, it can only be able to produce chaotic fibers that are incompatible with the well-patterned microstructures in flexible electronics. Electro-hydrodynamic (EHD) direct-writing technology enables large-scale deposition of highly aligned nanofibers in an additive, noncontact, real-time adjustment, and individual control manner on rigid or flexible, planar or curved substrates, making it rather attractive in the fabrication of flexible electronics. In this Review, the ground-breaking research progress in the field of EHD direct-writing technology is summarized, including a brief chronology of EHD direct-writing techniques, basic principles and alignment strategies, and applications in flexible electronics. Finally, future prospects are suggested to advance flexible electronics based on orderly arranged EHD direct-written fibers. This technology overcomes the limitations of the resolution of fabrication and viscosity of ink of conventional inkjet printing, and represents major advances in manufacturing of flexible electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Rapid selective metal patterning on polydimethylsiloxane (PDMS) fabricated by capillarity-assisted laser direct write

    KAUST Repository

    Lee, Ming-Tsang; Lee, Daeho; Sherry, Alexander; Grigoropoulos, Costas P

    2011-01-01

    direct write (LDW) technology. To achieve good metal film quality, a capillarity-assisted laser direct writing (CALDW) of nanoparticle suspensions on a low surface energy material (PDMS) was utilized. Experimental results showed controllable electrical

  3. Dynamic exposure model analysis of continuous laser direct writing in Polar-coordinate

    Science.gov (United States)

    Zhang, Shan; Lv, Yingjun; Mao, Wenjie

    2018-01-01

    In order to exactly predict the continuous laser direct writing quality in Polar-coordinate, we take into consideration the effect of the photoresist absorbing beam energy, the Gaussian attribute of the writing beam and the dynamic exposure process, and establish a dynamic exposure model to describe the influence of the tangential velocity of the normal incident facular center and laser power on the line width and sidewall angle. Numerical simulation results indicate that while writing velocity remains unchanged, the line width and sidewall angle are all increased as the laser power increases; while laser power remains unchanged, the line width and sidewall angle are all decreased as the writing velocity increases; at the same time the line profile in the exposure section is asymmetry and the center of the line has tiny excursion toward the Polar-coordinate origin compared with the facular center. Then it is necessary to choose the right writing velocity and laser power to obtain the ideal line profile. The model makes up the shortcomings of traditional models that can only predict line width or estimate the profile of the writing line in the absence of photoresist absorption, and can be considered as an effect analysis method for optimizing the parameters of fabrication technique of laser direct writing.

  4. Direct ink write fabrication of transparent ceramic gain media

    Science.gov (United States)

    Jones, Ivy Krystal; Seeley, Zachary M.; Cherepy, Nerine J.; Duoss, Eric B.; Payne, Stephen A.

    2018-01-01

    Solid-state laser gain media based on the garnet structure with two spatially distinct but optically contiguous regions have been fabricated. Transparent gain media comprised of a central core of Y2.97Nd0.03Al5.00O12.00 (Nd:YAG) and an undoped cladding region of Y3Al5O12 (YAG) were fabricated by direct ink write and transparent ceramic processing. Direct ink write (DIW) was employed to form the green body, offering a general route to preparing functionally structured solid-state laser gain media. Fully-dense transparent optical ceramics in a "top hat" geometry with YAG/Nd:YAG have been fabricated by DIW methods with optical scatter at 1064 nm of <3%/cm.

  5. Variable optical attenuator fabricated by direct UV writing

    DEFF Research Database (Denmark)

    Svalgaard, Mikael; Færch, Kjartan Ullitz; Andersen, L.U.

    2003-01-01

    It is demonstrated that direct ultraviolet writing of waveguides is a method suitable for mass production of compact variable optical attenuators with low insertion loss, low polarization-dependent loss, and high dynamic range. The fabrication setup is shown to be robust, providing good device...

  6. Direct writing of flexible electronics through room temperature liquid metal ink.

    Science.gov (United States)

    Gao, Yunxia; Li, Haiyan; Liu, Jing

    2012-01-01

    Conventional approaches of making a flexible circuit are generally complex, environment unfriendly, time and energy consuming, and thus expensive. Here, we describe for the first time the method of using high-performance GaIn(10)-based electrical ink, a significantly neglected room temperature liquid metal, as both electrical conductors and interconnects, for directly writing flexible electronics via a rather easy going and cost effective way. The new generation electric ink was made and its wettability with various materials was modified to be easily written on a group of either soft or rigid substrates such as epoxy resin board, glass, plastic, silica gel, paper, cotton, textiles, cloth and fiber etc. Conceptual experiments were performed to demonstrate and evaluate the capability of directly writing the electrical circuits via the invented metal ink. Mechanisms involved were interpreted through a series of fundamental measurements. The electrical resistivity of the fluid like GaIn(10)-based material was measured as 34.5 µΩ·cm at 297 K by four point probe method and increased with addition of the oxygen quantity, which indicates it as an excellent metal ink. The conductive line can be written with features that are approximately 10 µm thick. Several functional devices such as a light emitting diode (LED) array showing designed lighting patterns and electrical fan were made to work by directly writing the liquid metal on the specific flexible substrates. And satisfactory performances were obtained. The present method opens the way to directly and quickly writing flexible electronics which can be as simple as signing a name or drawing a picture on the paper. The unique merit of the GaIn(10)-based liquid metal ink lies in its low melting temperature, well controlled wettability, high electrical conductivity and good biocompability. The new electronics writing strategy and basic principle has generalized purpose and can be extended to more industrial areas, even

  7. Direct writing of flexible electronics through room temperature liquid metal ink.

    Directory of Open Access Journals (Sweden)

    Yunxia Gao

    Full Text Available BACKGROUND: Conventional approaches of making a flexible circuit are generally complex, environment unfriendly, time and energy consuming, and thus expensive. Here, we describe for the first time the method of using high-performance GaIn(10-based electrical ink, a significantly neglected room temperature liquid metal, as both electrical conductors and interconnects, for directly writing flexible electronics via a rather easy going and cost effective way. METHODS: The new generation electric ink was made and its wettability with various materials was modified to be easily written on a group of either soft or rigid substrates such as epoxy resin board, glass, plastic, silica gel, paper, cotton, textiles, cloth and fiber etc. Conceptual experiments were performed to demonstrate and evaluate the capability of directly writing the electrical circuits via the invented metal ink. Mechanisms involved were interpreted through a series of fundamental measurements. RESULTS: The electrical resistivity of the fluid like GaIn(10-based material was measured as 34.5 µΩ·cm at 297 K by four point probe method and increased with addition of the oxygen quantity, which indicates it as an excellent metal ink. The conductive line can be written with features that are approximately 10 µm thick. Several functional devices such as a light emitting diode (LED array showing designed lighting patterns and electrical fan were made to work by directly writing the liquid metal on the specific flexible substrates. And satisfactory performances were obtained. CONCLUSIONS: The present method opens the way to directly and quickly writing flexible electronics which can be as simple as signing a name or drawing a picture on the paper. The unique merit of the GaIn(10-based liquid metal ink lies in its low melting temperature, well controlled wettability, high electrical conductivity and good biocompability. The new electronics writing strategy and basic principle has generalized

  8. Direct write printing of three-dimensional ZrO2 biological scaffolds

    International Nuclear Information System (INIS)

    Li, Ya-yun; Li, Long-tu; Li, Bo

    2015-01-01

    Graphical abstract: Three-dimensional (3D) zirconium dioxide (ZrO 2 ) scaffolds have been fabricated for biological engineering by direct write printing method. The water-based ZrO 2 ink with a solid content fraction of 70 wt% was deposited through a fine nozzle on the substrate by a layer-by-layer sequence to produce the 3D microperiodic structures. Under a microscope, the proliferation of HCT116 cells can be observed around the 3D ZrO 2 scaffolds. 3D porous internal architecture is beneficial for cell growth by providing more locations for cell attachment and proliferation. The largest value of compressive strength reached 10 MPa, which is more than that of the hydroxyapatite (HAp) scaffold. The ability of printing 3D scaffolds with the high precise control of their internal architecture is the unique characteristics performed by the direct write technique, which will provide potential application of biomaterials and tissue engineering scaffolds. (a) Top view of the sintered 3D woodpile ZrO 2 scaffold; (b) top view of the sintered 3D cylindrical ZrO 2 scaffold. - Highlights: • 3D cylindrical and woodpile ZrO 2 scaffolds were fabricated by direct write printing method. • The compressive strength of the sample with porosity about 63% was 8 MPa. • The compressive strength of the porosity 55% sample was 10 MPa. • 3D porous ZrO 2 scaffolds with interconnected architecture are beneficial for cell attachment and proliferation. - Abstract: Three-dimensional (3D) zirconium dioxide (ZrO 2 ) scaffolds have been fabricated for biological engineering by direct write printing method. The water-based ZrO 2 ink with a solid content fraction of 70 wt% was deposited through a fine nozzle on the substrate by a layer-by-layer sequence to produce the 3D microperiodic structures. The preparation and the rheological behavior of this ink, as well as the principles of the direct write printing process were investigated systematically. Sintered at 1250 °C for 4 h was the optimal

  9. Effects of direct instruction and strategy modeling on upper-primary students' writing development

    NARCIS (Netherlands)

    López, P.; Torrance, M.; Rijlaarsdam, G.; Fidalgo, R.

    Strategy-focused instruction is one of the most effective approaches to improve writing skills. It aims to teach developing writers strategies that give them executive control over their writing processes. Programs under this kind of instruction tend to have multiple components that include direct

  10. Direct laser writing for nanoporous liquid core laser sensors

    DEFF Research Database (Denmark)

    Grossmann, Tobias; Christiansen, Mads Brøkner; Peterson, Jeffrey

    2012-01-01

    We report the fabrication of nanoporous liquid core lasers via direct laser writing based on two-photon absorption in combination with thiolene-chemistry. As gain medium Rhodamine 6G was embedded in the nanoporous polybutadiene matrix. The lasing devices with thresholds of 19 µJ/mm2 were measured...

  11. Pervasive liquid metal based direct writing electronics with roller-ball pen

    Directory of Open Access Journals (Sweden)

    Yi Zheng

    2013-11-01

    Full Text Available A roller-ball pen enabled direct writing electronics via room temperature liquid metal ink was proposed. With the rolling to print mechanism, the metallic inks were smoothly written on flexible polymer substrate to form conductive tracks and electronic devices. The contact angle analyzer and scanning electron microscope were implemented to disclose several unique inner properties of the obtained electronics. An ever high writing resolution with line width and thickness as 200 μm and 80 μm, respectively was realized. Further, with the administration of external writing pressure, GaIn24.5 droplets embody increasing wettability on polymer which demonstrates the pervasive adaptability of the roller-ball pen electronics.

  12. E-beam direct write versus reticle/stepper technology for ASICS in small volume production

    International Nuclear Information System (INIS)

    Wheeler, M.J.

    1987-01-01

    The pros and cons of using e-beam direct writing or reticles plus optical/UV steppers in fast prototyping and the small volume production of ASICs are discussed. The main conclusion is that fast prototyping is best achieved by e-beam direct write whereas small volume production of ASICs is best done via reticles and optical/UV stepping provided that the reticles are made in-house rather than by commercial maskhouses

  13. Laser deposition and direct-writing of thermoelectric misfit cobaltite thin films

    Science.gov (United States)

    Chen, Jikun; Palla-Papavlu, Alexandra; Li, Yulong; Chen, Lidong; Shi, Xun; Döbeli, Max; Stender, Dieter; Populoh, Sascha; Xie, Wenjie; Weidenkaff, Anke; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2014-06-01

    A two-step process combining pulsed laser deposition of calcium cobaltite thin films and a subsequent laser induced forward transfer as micro-pixel is demonstrated as a direct writing approach of micro-scale thin film structures for potential applications in thermoelectric micro-devices. To achieve the desired thermo-electric properties of the cobaltite thin film, the laser induced plasma properties have been characterized utilizing plasma mass spectrometry establishing a direct correlation to the corresponding film composition and structure. The introduction of a platinum sacrificial layer when growing the oxide thin film enables a damage-free laser transfer of calcium cobaltite thereby preserving the film composition and crystallinity as well as the shape integrity of the as-transferred pixels. The demonstrated direct writing approach simplifies the fabrication of micro-devices and provides a large degree of flexibility in designing and fabricating fully functional thermoelectric micro-devices.

  14. Direct writing of sub-wavelength ripples on silicon using femtosecond laser at high repetition rate

    International Nuclear Information System (INIS)

    Xie, Changxin; Li, Xiaohong; Liu, Kaijun; Zhu, Min; Qiu, Rong; Zhou, Qiang

    2016-01-01

    Graphical abstract: - Highlights: • The NSRs and DSRs are obtained on silicon surface. • With increasing direct writing speed, the NSRs suddenly changes and becomes the DSRs. • We develop a Sipe–Drude interference theory by considering the thermal excitation. - Abstract: The near sub-wavelength and deep sub-wavelength ripples on monocrystalline silicon were formed in air by using linearly polarized and high repetition rate femtosecond laser pulses (f = 76 MHz, λ = 800 nm, τ = 50 fs). The effects of laser pulse energy, direct writing speed and laser polarization on silicon surface morphology are studied. When the laser pulse energy is 2 nJ/pulse and the direct writing speed varies from 10 to 25 mm/s, the near sub-wavelength ripples (NSRs) with orientation perpendicular to the laser polarization are generated. While the direct writing speed reaches 30 mm/s, the direction of the obtained deep sub-wavelength ripples (DSRs) suddenly changes and becomes parallel to the laser polarization, rarely reported so far for femtosecond laser irradiation of silicon. Meanwhile, we extend the Sipe–Drude interference theory by considering the thermal excitation, and numerically calculate the efficacy factor for silicon irradiated by femtosecond laser pulses. The revised Sipe–Drude interference theoretical results show good agreement with the periods and orientations of sub-wavelength ripples.

  15. Direct-write/cure conductive polymer nanocomposites for 3D structural electronics

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Yanfeng; Vatani, Morteza; Choi, Jae Won [The University of Akron, Akron, Ohio (United States)

    2013-10-15

    The use of direct-write (DW) in the fabrication of conductive structures offers dramatic benefits over traditional technologies in terms of low-cost, print-on-demand conformal manufacturing. This DW process can be combined with direct-cure (DC) process as one-step manufacturing of conducting elements, whereas conventional methods need a manufacturing process of conducting elements followed by a relatively long time post-curing/baking process. A hybrid technology combined with direct-write/cure (DWC) and projection microstereolithography (PμSL) is presented in this work. Carbon nanotubes (CNTs) were dispersed in a photopolymer solution to introduce conductivity. The developed PμSL was used to create 3D structures, and DWC of conductive photopolymers with CNTs was utilized to produce conductive paths. To show the capabilities of the developed system and materials, a 3D structure with embedded conductive paths was designed and fabricated. Based on the experiments, it is thought that the suggested manufacturing process and materials are promising to produce 3D structural electronics.

  16. Direct-write/cure conductive polymer nanocomposites for 3D structural electronics

    International Nuclear Information System (INIS)

    Lu, Yanfeng; Vatani, Morteza; Choi, Jae Won

    2013-01-01

    The use of direct-write (DW) in the fabrication of conductive structures offers dramatic benefits over traditional technologies in terms of low-cost, print-on-demand conformal manufacturing. This DW process can be combined with direct-cure (DC) process as one-step manufacturing of conducting elements, whereas conventional methods need a manufacturing process of conducting elements followed by a relatively long time post-curing/baking process. A hybrid technology combined with direct-write/cure (DWC) and projection microstereolithography (PμSL) is presented in this work. Carbon nanotubes (CNTs) were dispersed in a photopolymer solution to introduce conductivity. The developed PμSL was used to create 3D structures, and DWC of conductive photopolymers with CNTs was utilized to produce conductive paths. To show the capabilities of the developed system and materials, a 3D structure with embedded conductive paths was designed and fabricated. Based on the experiments, it is thought that the suggested manufacturing process and materials are promising to produce 3D structural electronics.

  17. Fabrication of 2 × 8 power splitters in silica-on-silicon by the direct UV writing technique

    DEFF Research Database (Denmark)

    Olivero, Massimo; Svalgaard, Mikael

    2006-01-01

    In this letter, we present the first demonstration of 2 × 8 power splitters made in silica-on-silicon by direct ultraviolet (UV) writing. The fabricated components are compact and exhibit good performance in terms of loss, uniformity, and bandwidth, showing that direct UV writing can become...

  18. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  19. Rapid selective metal patterning on polydimethylsiloxane (PDMS) fabricated by capillarity-assisted laser direct write

    KAUST Repository

    Lee, Ming-Tsang

    2011-08-12

    In this study we demonstrate a novel approach for the rapid fabricating micro scale metal (silver) patterning directly on a polydimethylsiloxane (PDMS) substrate. Silver nanoparticles were sintered on PDMS to form conductive metal films using laser direct write (LDW) technology. To achieve good metal film quality, a capillarity-assisted laser direct writing (CALDW) of nanoparticle suspensions on a low surface energy material (PDMS) was utilized. Experimental results showed controllable electrical conductivities and good film properties of the sintered silver patterns. This study reveals an advanced method of metal patterning on PDMS, and proposes a new research application of LDW in a nanoparticle colloidal environment. © 2011 IOP Publishing Ltd.

  20. Direct Writing of Three-Dimensional Macroporous Photonic Crystals on Pressure-Responsive Shape Memory Polymers.

    Science.gov (United States)

    Fang, Yin; Ni, Yongliang; Leo, Sin-Yen; Wang, Bingchen; Basile, Vito; Taylor, Curtis; Jiang, Peng

    2015-10-28

    Here we report a single-step direct writing technology for making three-dimensional (3D) macroporous photonic crystal patterns on a new type of pressure-responsive shape memory polymer (SMP). This approach integrates two disparate fields that do not typically intersect: the well-established templating nanofabrication and shape memory materials. Periodic arrays of polymer macropores templated from self-assembled colloidal crystals are squeezed into disordered arrays in an unusual shape memory "cold" programming process. The recovery of the original macroporous photonic crystal lattices can be triggered by direct writing at ambient conditions using both macroscopic and nanoscopic tools, like a pencil or a nanoindenter. Interestingly, this shape memory disorder-order transition is reversible and the photonic crystal patterns can be erased and regenerated hundreds of times, promising the making of reconfigurable/rewritable nanooptical devices. Quantitative insights into the shape memory recovery of collapsed macropores induced by the lateral shear stresses in direct writing are gained through fundamental investigations on important process parameters, including the tip material, the critical pressure and writing speed for triggering the recovery of the deformed macropores, and the minimal feature size that can be directly written on the SMP membranes. Besides straightforward applications in photonic crystal devices, these smart mechanochromic SMPs that are sensitive to various mechanical stresses could render important technological applications ranging from chromogenic stress and impact sensors to rewritable high-density optical data storage media.

  1. Quasi-crystalline and disordered photonic structures fabricated using direct laser writing

    Science.gov (United States)

    Sinelnik, Artem D.; Pinegin, Konstantin V.; Bulashevich, Grigorii A.; Rybin, Mikhail V.; Limonov, Mikhail F.; Samusev, Kirill B.

    2017-09-01

    Direct laser writing is a rapid prototyping technology that has been utilized for the fabrication of micro- and nano-scale materials that have a perfect structure in most of the cases. In this study we exploit the direct laser writing to create several classes of non-periodic materials, such as quasi-crystalline lattices and three-dimensional (3D) objects with an orientation disorder in structural elements. Among quasi-crystalline lattices we consider Penrose tiling and Lévy-type photonic glasses. Images of the fabricated structures are obtained with a scanning electron microscope. In experiment we study the optical diffraction from 3D woodpile photonic structures with orientation disorder and analyze diffraction patters observed on a flat screen positioned behind the sample. With increasing of the disorder degree, we find an impressive transformation of the diffraction patterns from perfect Laue picture to a speckle pattern.

  2. Fabrication of computer-generated holograms using femtosecond laser direct writing.

    Science.gov (United States)

    Berlich, René; Richter, Daniel; Richardson, Martin; Nolte, Stefan

    2016-04-15

    We demonstrate a single-step fabrication method for computer-generated holograms based on femtosecond laser direct writing. Therefore, a tightly arranged longitudinal waveguide array is directly inscribed into a transparent material. By tailoring the individual waveguide length, the phase profile of an incident laser beam can be arbitrarily adapted. The approach is verified in common borosilicate glass by inscribing a designed phase hologram, which forms the desired intensity pattern in its far field. The resulting performance is analyzed, and the potential as well as limitations of the method are discussed.

  3. Estimation of line dimensions in 3D direct laser writing lithography

    International Nuclear Information System (INIS)

    Guney, M G; Fedder, G K

    2016-01-01

    Two photon polymerization (TPP) based 3D direct laser writing (3D-DLW) finds application in a wide range of research areas ranging from photonic and mechanical metamaterials to micro-devices. Most common structures are either single lines or formed by a set of interconnected lines as in the case of crystals. In order to increase the fidelity of these structures and reach the ultimate resolution, the laser power and scan speed used in the writing process should be chosen carefully. However, the optimization of these writing parameters is an iterative and time consuming process in the absence of a model for the estimation of line dimensions. To this end, we report a semi-empirical analytic model through simulations and fitting, and demonstrate that it can be used for estimating the line dimensions mostly within one standard deviation of the average values over a wide range of laser power and scan speed combinations. The model delimits the trend in onset of micro-explosions in the photoresist due to over-exposure and of low degree of conversion due to under-exposure. The model guides setting of high-fidelity and robust writing parameters of a photonic crystal structure without iteration and in close agreement with the estimated line dimensions. The proposed methodology is generalizable by adapting the model coefficients to any 3D-DLW setup and corresponding photoresist as a means to estimate the line dimensions for tuning the writing parameters. (paper)

  4. Direct femtosecond laser writing of buried infrared waveguides in chalcogenide glasses

    Science.gov (United States)

    Le Coq, D.; Bychkov, E.; Masselin, P.

    2016-02-01

    Direct laser writing technique is now widely used in particular in glass, to produce both passive and active photonic devices. This technique offers a real scientific opportunity to generate three-dimensional optical components and since chalcogenide glasses possess transparency properties from the visible up to mid-infrared range, they are of great interest. Moreover, they also have high optical non-linearity and high photo-sensitivity that make easy the inscription of refractive index modification. The understanding of the fundamental and physical processes induced by the laser pulses is the key to well-control the laser writing and consequently to realize integrated photonic devices. In this paper, we will focus on two different ways allowing infrared buried waveguide to be obtained. The first part will be devoted to a very original writing process based on a helical translation of the sample through the laser beam. In the second part, we will report on another original method based on both a filamentation phenomenon and a point by point technique. Finally, we will demonstrate that these two writing techniques are suitable for the design of single mode waveguide for wavelength ranging from the visible up to the infrared but also to fabricate optical components.

  5. Fabrication of submicron proteinaceous structures by direct laser writing

    Energy Technology Data Exchange (ETDEWEB)

    Serien, Daniela [Center for International Research on Integrative Biomedical Systems, Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan); Takeuchi, Shoji, E-mail: takeuchi@iis.u-tokyo.ac.jp [Center for International Research on Integrative Biomedical Systems, Institute of Industrial Science, The University of Tokyo, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan); ERATO Takeuchi Biohybrid Innovation Project, Japan Science and Technology Agency, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo (Japan)

    2015-07-06

    In this paper, we provide a characterization of truly free-standing proteinaceous structures with submicron feature sizes depending on the fabrication conditions by model-based analysis. Protein cross-linking of bovine serum albumin is performed by direct laser writing and two-photon excitation of flavin adenine dinucleotide. We analyze the obtainable fabrication resolution and required threshold energy for polymerization. The applied polymerization model allows prediction of fabrication conditions and resulting fabrication size, alleviating the application of proteinaceous structure fabrication.

  6. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  7. Dewetting of thin films on flexible substrates via direct-write laser exposure

    Science.gov (United States)

    Ferrer, Anthony Jesus

    Microelectromechanical systems (MEMS) have enabled a wide variety of technologies both in the consumer space and in industrial/research areas. At the market level, such devices advance by the invention and innovation of production techniques. Additionally, there has been increased demand for flexible versions of such MEMS devices. Thin film patterning, represents a key technology for the realization of such flexible electronics. Patterns and methods that can be directly written into the thin film allow for design modification on the fly with the need for harsh chemicals and long etching steps. Laser-induced dewetting has the potential to create patterns in thin films at both the microscopic and nanoscopic level without wasting deposited material. This thesis presents the first demonstration of high-speed direct-write patterning of metallic thin films that uses a laser-induced dewetting phenomenon to prevent material loss. The ability to build film material with this technique is explored using various scanning geometries. Finally, demonstrations of direct-write dewetting of a variety of thin films will be presented with special consideration for high melting point metals deposited upon polymer substrates.

  8. Integrated optical devices for wavelength division multiplexing using PECVD and direct UV writing techniques

    DEFF Research Database (Denmark)

    Zauner, Dan; Leistiko, Otto

    1999-01-01

    channel waveguides are presented: a conventional method and direct UV writing. It is shown that an optimized three layer glass structure yields directly UV written waveguides with low insertion losses. Integrated optical structures have been designed and fabricated. The impact of process variations...

  9. Engineering of refractive index in sulfide chalcogenide glass by direct laser writing

    KAUST Repository

    Zhang, Yaping; Gao, Yangqin; Ng, Tien Khee; Ooi, Boon S.; Chew, Basil; Hedhili, Mohamed N.; Zhao, Donghui; Jain, Himanshu

    2010-01-01

    Arsenic trisulfide (As2S3) glass is an interesting material for photonic integrated circuits (PICs) as infrared (IR) or nonlinear optical components. In this paper, direct laser writing was applied to engineer the refractive index of As2S3 thin film

  10. Gelatin-based laser direct-write technique for the precise spatial patterning of cells.

    Science.gov (United States)

    Schiele, Nathan R; Chrisey, Douglas B; Corr, David T

    2011-03-01

    Laser direct-writing provides a method to pattern living cells in vitro, to study various cell-cell interactions, and to build cellular constructs. However, the materials typically used may limit its long-term application. By utilizing gelatin coatings on the print ribbon and growth surface, we developed a new approach for laser cell printing that overcomes the limitations of Matrigel™. Gelatin is free of growth factors and extraneous matrix components that may interfere with cellular processes under investigation. Gelatin-based laser direct-write was able to successfully pattern human dermal fibroblasts with high post-transfer viability (91% ± 3%) and no observed double-strand DNA damage. As seen with atomic force microscopy, gelatin offers a unique benefit in that it is present temporarily to allow cell transfer, but melts and is removed with incubation to reveal the desired application-specific growth surface. This provides unobstructed cellular growth after printing. Monitoring cell location after transfer, we show that melting and removal of gelatin does not affect cellular placement; cells maintained registry within 5.6 ± 2.5 μm to the initial pattern. This study demonstrates the effectiveness of gelatin in laser direct-writing to create spatially precise cell patterns with the potential for applications in tissue engineering, stem cell, and cancer research.

  11. Surface patterned dielectrics by direct writing of anodic oxides using scanning droplet cell microscopy

    International Nuclear Information System (INIS)

    Siket, Christian M.; Mardare, Andrei Ionut; Kaltenbrunner, Martin; Bauer, Siegfried; Hassel, Achim Walter

    2013-01-01

    Highlights: • Scanning droplet cell microscopy was applied for local gate oxide writing. • Sharp lines are obtained at the highest writing speed of 1 mm min −1 . • 13.4 kC cm −3 was found as charge per volume for aluminium oxide. • High field constant of 24 nm V −1 and dielectric constant of 12 were determined for Al 2 O 3 by CV and EIS. -- Abstract: Scanning droplet cell microscopy was used for patterning of anodic oxide lines on the surface of Al thin films by direct writing. The structural modifications of the written oxide lines as a function of the writing speed were studied by analyzing the relative error of the line widths. Sharper lines were obtained for writing speeds faster than 1 mm min −1 . An increase in sharpness was observed for higher writing speeds. A theoretical model based on the Faraday law is proposed to explain the constant anodisation current measured during the writing process and yielded a charge per volume of 13.4 kC cm −3 for Al 2 O 3 . From calculated oxide film thicknesses the high field constant was found to be 24 nm V −1 . Electrochemical impedance spectroscopy revealed an increase of the electrical permittivity up to ε = 12 with the decrease of the writing speed of the oxide line. Writing of anodic oxide lines was proven to be an important step in preparing capacitors and gate dielectrics in plastic electronics

  12. Direct Write Printing on Thin and Flexible Substrates for Space Applications

    Science.gov (United States)

    Paquette, Beth

    2016-01-01

    This presentation describes the work done on direct-write printing conductive traces for a flexible detector application. A Repeatability Plan was established to define detector requirements, material and printer selections, printing facilities, and tests to verify requirements are met. Designs were created for the detector, and printed using an aerosol jet printer. Testing for requirement verification is ongoing.

  13. Higher-resolution selective metallization on alumina substrate by laser direct writing and electroless plating

    International Nuclear Information System (INIS)

    Lv, Ming; Liu, Jianguo; Wang, Suhuan; Ai, Jun; Zeng, Xiaoyan

    2016-01-01

    Graphical abstract: - Highlights: • Mechanisms of laser direct writing and electroless plating were studied. • Active seeds in laser-irradiated zone and laser-affected zone were found to be different. • A special chemical cleaning method with aqua regia was taken. • Higher-resolution copper patterns on alumina ceramic were obtained conveniently. - Abstract: How to fabricate conductive patterns on ceramic boards with higher resolution is a challenge in the past years. The fabrication of copper patterns on alumina substrate by laser direct writing and electroless copper plating is a low cost and high efficiency method. Nevertheless, the lower resolution limits its further industrial applications in many fields. In this report, the mechanisms of laser direct writing and electroless copper plating were studied. The results indicated that as the decomposed products of precursor PdCl_2 have different chemical states respectively in laser-irradiated zone (LIZ) and laser-affected zone (LAZ). This phenomenon was utilized and a special chemical cleaning method with aqua regia solution was taken to selectively remove the metallic Pd in LAZ, while kept the PdO in LIZ as the only active seeds. As a result, the resolution of subsequent copper patterns was improved significantly. This technique has a great significance to develop the microelectronics devices.

  14. Final Report for Grant "Direct Writing via Novel Aromatic Ladder Polymer Precursors"

    Energy Technology Data Exchange (ETDEWEB)

    C. B. Gorman

    2010-10-29

    This report describes activities and findings under the above entitled grant. These pertain to the development of new synthetic routes to novel precursor polymers and oligomers that are applicable for conversion from electrical insulators to electrical conductors under the application of light (e.g. direct photolithographic writing)

  15. Playwright meets career coach : writing dialogues to promote awareness and self-direction

    NARCIS (Netherlands)

    Reinekke Lengelle; dr. Frans Meijers

    2015-01-01

    In this chapter we propose that writing dialogues in creative, expressive, and reflective ways can foster more awareness and self-direction among those who aim to start, build, or rescue their careers. In the first section of the chapter we sketch the societal issues for which narrative counselling

  16. Composition Medium Comparability in a Direct Writing Assessment of Non-Native English Speakers

    Directory of Open Access Journals (Sweden)

    Edward W. Wolfe

    2004-01-01

    Full Text Available The Test of English as a Foreign Language (TOEFL contains a direct writing assessment, and examinees are given the option of composing their responses at a computer terminal using a keyboard or composing their responses in handwriting. This study sought to determine whether performance on a direct writing assessment is comparable for examinees when given the choice to compose essays in handwriting versus word processing. We examined this relationship controlling for English language proficiency and several demographic characteristics of examinees using linear models. We found a weak two-way interaction between composition medium and English language proficiency with examinees with weaker English language scores performing better on handwritten essays while examinees with better English language scores performing comparably on the two testing media. We also observed predictable differences associated with geographic region, native language, gender, and age.

  17. Direct-write fabrication of a nanoscale digital logic element on a single nanowire

    International Nuclear Information System (INIS)

    Roy, Somenath; Gao Zhiqiang

    2010-01-01

    In this paper we report on the 'direct-write' fabrication and electrical characteristics of a nanoscale logic inverter, integrating enhancement-mode (E-mode) and depletion-mode (D-mode) field-effect transistors (FETs) on a single zinc oxide (ZnO) nanowire. 'Direct-writing' of platinum metal electrodes and a dielectric layer is executed on individual single-crystalline ZnO nanowires using either a focused electron beam (FEB) or a focused ion beam (FIB). We fabricate a top-gate FET structure, in which the gate electrode wraps around the ZnO nanowire, resulting in a more efficient gate response than the conventional back-gate nanowire transistors. For E-mode device operation, the gate electrode (platinum) is deposited directly onto the ZnO nanowire by a FEB, which creates a Schottky barrier and in turn a fully depleted channel. Conversely, sandwiching an insulating layer between the FIB-deposited gate electrode and the nanowire channel makes D-mode operation possible. Integrated E- and D-mode FETs on a single nanowire exhibit the characteristics of a direct-coupled FET logic (DCFL) inverter with a high gain and noise margin.

  18. Engineering fluidic delays in paper-based devices using laser direct-writing.

    Science.gov (United States)

    He, P J W; Katis, I N; Eason, R W; Sones, C L

    2015-10-21

    We report the use of a new laser-based direct-write technique that allows programmable and timed fluid delivery in channels within a paper substrate which enables implementation of multi-step analytical assays. The technique is based on laser-induced photo-polymerisation, and through adjustment of the laser writing parameters such as the laser power and scan speed we can control the depth and/or the porosity of hydrophobic barriers which, when fabricated in the fluid path, produce controllable fluid delay. We have patterned these flow delaying barriers at pre-defined locations in the fluidic channels using either a continuous wave laser at 405 nm, or a pulsed laser operating at 266 nm. Using this delay patterning protocol we generated flow delays spanning from a few minutes to over half an hour. Since the channels and flow delay barriers can be written via a common laser-writing process, this is a distinct improvement over other methods that require specialist operating environments, or custom-designed equipment. This technique can therefore be used for rapid fabrication of paper-based microfluidic devices that can perform single or multistep analytical assays.

  19. Direct writing of metal nanostructures: lithographic tools for nanoplasmonics research.

    Science.gov (United States)

    Leggett, Graham J

    2011-03-22

    Continued progress in the fast-growing field of nanoplasmonics will require the development of new methods for the fabrication of metal nanostructures. Optical lithography provides a continually expanding tool box. Two-photon processes, as demonstrated by Shukla et al. (doi: 10.1021/nn103015g), enable the fabrication of gold nanostructures encapsulated in dielectric material in a simple, direct process and offer the prospect of three-dimensional fabrication. At higher resolution, scanning probe techniques enable nanoparticle particle placement by localized oxidation, and near-field sintering of nanoparticulate films enables direct writing of nanowires. Direct laser "printing" of single gold nanoparticles offers a remarkable capability for the controlled fabrication of model structures for fundamental studies, particle-by-particle. Optical methods continue to provide a powerful support for research into metamaterials.

  20. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  1. Training Engineers to Write: Old Assumptions and New Directions.

    Science.gov (United States)

    Schillaci, William C.

    1996-01-01

    States that universities and engineering firms do not generally train engineers in business technical writing, although firms benefit from having engineers who can write clear descriptions of their work. Suggests a program to promote writing skills of engineers and engineering students with limited English skills that involves clear, logical lists…

  2. Direct Laser Writing of Single-Material Sheets with Programmable Self-Rolling Capability

    Science.gov (United States)

    Bauhofer, Anton; KröDel, Sebastian; Bilal, Osama; Daraio, Chiara; Constantinescu, Andrei

    Direct laser writing, a sub-class of two-photon polymerization, facilitates 3D-printing of single-material microstructures with inherent residual stresses. Here we show that controlled distribution of these stresses allows for fast and cost-effective fabrication of structures with programmable self-rolling capability. We investigate 2D sheets that evolve into versatile 3D structures. Precise control over the shape morphing potential is acquired through variations in geometry and writing parameters. Effects of capillary action and gravity were shown to be relevant for very thin sheets (thickness 1.5um) are dominated by residual stresses and adhesion forces. The presented structures create local tensions up to 180MPa, causing rolling curvatures of 25E3m-1. A comprehensive analytical model that captures the relevant influence factors was developed based on laminate plate theory. The predicted curvature and directionality correspond well with the experimentally obtained data. Potential applications are found in drug encapsulation and particle traps for emulsions with differing surface energies. This work was supported by the Swiss National Science Foundation.

  3. Electrostatic nanopatterning of PMMA by AFM charge writing for directed nano-assembly

    International Nuclear Information System (INIS)

    Ressier, L; Nader, V Le

    2008-01-01

    Electrostatic nanopatterning of poly(methylmethacrylate) (PMMA) thin films by atomic force microscopy (AFM) charge writing was investigated using Kelvin force microscopy (KFM). The lateral size of the electrostatic patterns and the amount of injected charges are closely correlated and can be controlled by the height of the voltage pulses applied to the AFM tip and the tip-sample separation during the writing process. Charge retention measurements show that PMMA has excellent charge storage properties in air under relative humidities from 1% to 60% and withstands immersion in ultra-pure water. This study thus reveals that PMMA is a very promising electret to create efficient electrostatic nanopatterns for directed self-assembly of nanoscale objects, including the broad range of colloidal particles or molecules in aqueous solutions

  4. Large-area nanoimprinting on various substrates by reconfigurable maskless laser direct writing

    KAUST Repository

    Lee, Daeho

    2012-08-10

    Laser-assisted, one-step direct nanoimprinting of metal and semiconductor nanoparticles (NPs) was investigated to fabricate submicron structures including mesh, line, nanopillar and nanowire arrays. Master molds were fabricated with high-speed (200mms 1) laser direct writing (LDW) of negative or positive photoresists on Si wafers. The fabrication was completely free of lift-off or reactive ion etching processes. Polydimethylsiloxane (PDMS) stamps fabricated from master molds replicated nanoscale structures (down to 200nm) with no or negligible residual layers on various substrates. The low temperature and pressure used for nanoimprinting enabled direct nanofabrication on flexible substrates. With the aid of high-speed LDW, wafer scale 4inch direct nanoimprinting was demonstrated. © 2012 IOP Publishing Ltd.

  5. Zone-boundary optimization for direct laser writing of continuous-relief diffractive optical elements.

    Science.gov (United States)

    Korolkov, Victor P; Nasyrov, Ruslan K; Shimansky, Ruslan V

    2006-01-01

    Enhancing the diffraction efficiency of continuous-relief diffractive optical elements fabricated by direct laser writing is discussed. A new method of zone-boundary optimization is proposed to correct exposure data only in narrow areas along the boundaries of diffractive zones. The optimization decreases the loss of diffraction efficiency related to convolution of a desired phase profile with a writing-beam intensity distribution. A simplified stepped transition function that describes optimized exposure data near zone boundaries can be made universal for a wide range of zone periods. The approach permits a similar increase in the diffraction efficiency as an individual-pixel optimization but with fewer computation efforts. Computer simulations demonstrated that the zone-boundary optimization for a 6 microm period grating increases the efficiency by 7% and 14.5% for 0.6 microm and 1.65 microm writing-spot diameters, respectively. The diffraction efficiency of as much as 65%-90% for 4-10 microm zone periods was obtained experimentally with this method.

  6. Direct laser writing of nanorough cell microbarriers on anatase/Si and graphite/Si

    Energy Technology Data Exchange (ETDEWEB)

    Xiang, Y.C. [Departamento de Física Aplicada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, Madrid (Spain); Department of Physics, Blackett Laboratory, Imperial College London, Prince Consort Road, London SW7 2BW (United Kingdom); Martínez-Martínez, R.M. [Departamento de Física Aplicada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, Madrid (Spain); Torres-Costa, V. [Departamento de Física Aplicada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, Madrid (Spain); Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, Madrid (Spain); Agulló-Rueda, F. [Instituto de Ciencia de Materiales de Madrid (ICMM-CSIC), 28049 Madrid (Spain); García-Ruiz, J.P. [Departamento de Biología Molecular, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Manso-Silván, M., E-mail: miguel.manso@uam.es [Departamento de Física Aplicada and Instituto Nicolás Cabrera, Universidad Autónoma de Madrid, Madrid (Spain)

    2016-09-01

    The formation of hierarchical structures consisting of microstripe barriers decorated with nanorough ablated materials prepared by direct laser writing is described. Linear features of circa 25 μm width and 12 μm height are achieved on amorphous and crystalline titania and graphitic carbon films deposited on silicon. Ablated protrusions build up barriers decorated by nanoscale Si-film reconstructions, as indicated by EDX maps and micro-Raman spectroscopy. Wettability tests show a dramatic change in water contact angle, which leads to almost full wetting after irradiation, irrespective of the original film composition. Fluorescence microscopy images of human mesenchymal stem cells cultured on 1D and 2D structures demonstrate the short term biocompatibility of the ablated surfaces. It is shown that cells adhere, extend and polarize on feature edges, independently of the type of surface, thus suggesting that the created nanoroughness is at the origin of the antifouling behavior. In particular, irradiated anatase and graphite surfaces demonstrate an increased performance of crystalline films for the creation of cell guiding and trapping devices. The results suggest that such laser processing of films may serve as a time-and-cost-efficient method for the design of few-cells analytical surfaces. - Graphical abstract: Response of human mesenchymal stem cells to the microbarriers grown by direct laser writing on anatase/Si. Microbarriers show low colonization and high induction of cellular polarization on the feature edges. Display Omitted - Highlights: • Hierarchical microbarriers by direct IR laser writing on thin films. • Complex reconstruction of irradiated materials includes nanorough features. • Ablated areas become fully wettable. • Short term biocompatibility of the grown hierarchical structures confirmed. • Particular designs functional for cell guiding or trapping.

  7. Direct laser writing of nanorough cell microbarriers on anatase/Si and graphite/Si

    International Nuclear Information System (INIS)

    Xiang, Y.C.; Martínez-Martínez, R.M.; Torres-Costa, V.; Agulló-Rueda, F.; García-Ruiz, J.P.; Manso-Silván, M.

    2016-01-01

    The formation of hierarchical structures consisting of microstripe barriers decorated with nanorough ablated materials prepared by direct laser writing is described. Linear features of circa 25 μm width and 12 μm height are achieved on amorphous and crystalline titania and graphitic carbon films deposited on silicon. Ablated protrusions build up barriers decorated by nanoscale Si-film reconstructions, as indicated by EDX maps and micro-Raman spectroscopy. Wettability tests show a dramatic change in water contact angle, which leads to almost full wetting after irradiation, irrespective of the original film composition. Fluorescence microscopy images of human mesenchymal stem cells cultured on 1D and 2D structures demonstrate the short term biocompatibility of the ablated surfaces. It is shown that cells adhere, extend and polarize on feature edges, independently of the type of surface, thus suggesting that the created nanoroughness is at the origin of the antifouling behavior. In particular, irradiated anatase and graphite surfaces demonstrate an increased performance of crystalline films for the creation of cell guiding and trapping devices. The results suggest that such laser processing of films may serve as a time-and-cost-efficient method for the design of few-cells analytical surfaces. - Graphical abstract: Response of human mesenchymal stem cells to the microbarriers grown by direct laser writing on anatase/Si. Microbarriers show low colonization and high induction of cellular polarization on the feature edges. Display Omitted - Highlights: • Hierarchical microbarriers by direct IR laser writing on thin films. • Complex reconstruction of irradiated materials includes nanorough features. • Ablated areas become fully wettable. • Short term biocompatibility of the grown hierarchical structures confirmed. • Particular designs functional for cell guiding or trapping.

  8. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  9. The direct writing and focusing of nanoparticles generated by an electrical discharge

    Energy Technology Data Exchange (ETDEWEB)

    Saleh, E., E-mail: ems2g09@soton.ac.uk; Praeger, M. [University of Southampton, Optoelectronics Research Centre (United Kingdom); Vaughan, A. S. [University of Southampton, Electronics and Computer Science (United Kingdom); Stewart, W.; Loh, W. H. [University of Southampton, Optoelectronics Research Centre (United Kingdom)

    2012-11-15

    Direct writing aims to deposit materials onto substrates in localised positions. In this paper, we demonstrate a new method for direct writing of nanoparticles at ambient-air-pressure. An electrical discharge is used to generate gold nanoparticles of the order of 10 nm diameter, which are then transported and 'focused' by an electric field in air, through the process of electric field-assisted diffusion, as opposed to normal ballistic focusing since the mean free path in air is very short. This process is novel and allows for practical normal atmospheric-pressure focused deposition of nanoparticles. The focusing mechanism is capable of producing patterned arrays of deposited nanoparticles with widths that are less than 10 % of the diameter of the focusing apparatus; in the present experimental configuration, gold spots with diameters of a few tens of micrometres were achieved, with ultimate size being limited by transverse diffusion and by charged particle mutual repulsion. In this study, the process of generating nanoparticles from bulk material, transporting and focusing these particles takes place in one operation, which is a key advantage in rapid prototyping and manufacturing techniques.

  10. Mask-free and programmable patterning of graphene by ultrafast laser direct writing

    International Nuclear Information System (INIS)

    Chen, Hao-Yan; Han, Dongdong; Tian, Ye; Shao, Ruiqiang; Wei, Shu

    2014-01-01

    Graphical abstract: - Highlights: • We present a mask-free and programmable patterning of graphene. • Ultrafast laser can homogeneously reduce graphene oxides into micropatterns. • Desired graphene micropatterns could be created on flexible substrates. • Laser exposure duration shows influence on the conductivity of reduced graphene. • The method holds promise for fabrication and integration of graphene electronics. - Abstract: Reported here is a mask-free and programmable patterning of graphene by using femtosecond laser direct writing on graphene oxide (GO) films. Take advantage of the ultrahigh instantaneous intensity of the femtosecond laser pulse, and especially its nonlinear interactions with materials, the GO could be efficiently reduced under atmospheric condition at room temperature. Moreover, the designability of femtosecond laser direct writing (FsLDW) technique allow making graphene micropatterns arbitrarily according to the preprogrammed structures, which provides the feasibility for rational design, flexible fabrication and integration of graphene-based micro-devices. Raman spectra show that the reduced and patterned region is very homogeneous, which is confirmed by the almost consistent I D /I G ratio. The novel graphene patterning technique would provide a technical support for the development of graphene-based micro-devices for future electronics

  11. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    Science.gov (United States)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  12. Polymeric turbidity sensor fabricated by laser direct writing

    International Nuclear Information System (INIS)

    Li, Shu; Lin, Qiao; Wu, George; Chen, Liuhua; Wu, X

    2011-01-01

    The design of a miniature-sized turbidity sensor fabricated by laser direct writing was proposed and tested. A dual-beam dual-detector sensing structure was written by a 488 nm laser from UV curable optical polymer to form a 4 mm diameter turbidity sensing probe, with the fabrication process being shortened to a few seconds. Experimental tests on prototypes were conducted by using standard turbidity solutions, and the data were processed with a self-adapting neural network based on a single input single output algorithm. The scattering coefficient for normalized turbidity of the standards was obtained, and system accuracy was validated by an error analysis. Experimental results indicated that in the testing situation presented in this paper, the sensor was capable of responding to turbidity with a relative error of about 3%

  13. Did you seek assistance for writing your advance directive? A qualitative study.

    Science.gov (United States)

    Becker, Matthias; Jaspers, Birgit; King, Claudius; Radbruch, Lukas; Voltz, Raymond; Nauck, Friedemann

    2010-11-01

    the completion of an advanced directive is paired with a high degree of self-responsibility of the signatory. It requires anticipation of probably complex medical situations. In the literature, the family physician is often seen as the most important person for advice when writing an advance directive. But little is known about whether or not patients want to involve medical advisors and to what extent physicians are willing to give advice. The aim of this study was to analyse whether or not individuals approached advisors for the completion of their advance directive, whom they chose and which reasons were given for seeking or foregoing assistance. semi-structured interviews with healthy individuals, chronically ill individuals and patients in palliative care including questions associated with advice for completing an advance directive (8/2008-7/2009). age 55-70 years and advance directive ≥ 3 months old. The interviews were fully transcribed according to standard transcription rules and analysed applying an inductive category development. interviews were conducted with 53 probands (healthy n = 20, chronically ill n = 17, palliative care patients n = 16); 18 probands were male. Mean age was 63.2 ± 4.4 years (range 55-70 years). Professional advice was sought by 12 probands (physician = 2, nurse = 1, lawyer/notary = 8, self-employed advisor = 1), another 8 probands included family members. In 17 cases, the physician knew the proband's advance directive, 36 probands never told their doctor about its existence. Categories of reasons for seeking or foregoing advice were trust/lack of trust, autonomy, rejection and financial considerations. information about the medical implications concerning patient preferences for end-of-life care seems not to be the main focus of interest when individuals write an advance directive. Autonomy and trust into notarially certified documents seem to be more important matters. If family physicians want to have a role in their

  14. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  15. Higher-resolution selective metallization on alumina substrate by laser direct writing and electroless plating

    Science.gov (United States)

    Lv, Ming; Liu, Jianguo; Wang, Suhuan; Ai, Jun; Zeng, Xiaoyan

    2016-03-01

    How to fabricate conductive patterns on ceramic boards with higher resolution is a challenge in the past years. The fabrication of copper patterns on alumina substrate by laser direct writing and electroless copper plating is a low cost and high efficiency method. Nevertheless, the lower resolution limits its further industrial applications in many fields. In this report, the mechanisms of laser direct writing and electroless copper plating were studied. The results indicated that as the decomposed products of precursor PdCl2 have different chemical states respectively in laser-irradiated zone (LIZ) and laser-affected zone (LAZ). This phenomenon was utilized and a special chemical cleaning method with aqua regia solution was taken to selectively remove the metallic Pd in LAZ, while kept the PdO in LIZ as the only active seeds. As a result, the resolution of subsequent copper patterns was improved significantly. This technique has a great significance to develop the microelectronics devices.

  16. Controllable assembly of silver nanoparticles induced by femtosecond laser direct writing

    International Nuclear Information System (INIS)

    Wang, Huan; Liu, Sen; Zhang, Yong-Lai; Wang, Jian-Nan; Wang, Lei; Xia, Hong; Chen, Qi-Dai; Sun, Hong-Bo; Ding, Hong

    2015-01-01

    We report controllable assembly of silver nanoparticles (Ag NPs) for patterning of silver microstructures. The assembly is induced by femtosecond laser direct writing (FsLDW). A tightly focused femtosecond laser beam is capable of trapping and driving Ag NPs to form desired micropatterns with a high resolution of ∼190 nm. Taking advantage of the ‘direct writing’ feature, three microelectrodes have been integrated with a microfluidic chip; two silver-based microdevices including a microheater and a catalytic reactor have been fabricated inside a microfluidic channel for chip functionalization. The FsLDW-induced programmable assembly of Ag NPs may open up a new way to the designable patterning of silver microstructures toward flexible fabrication and integration of functional devices. (focus issue paper)

  17. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    International Nuclear Information System (INIS)

    Zhang Wei; Theil Kuhn, Luise; Zhang Qiang; Zhao Mengqiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10 −9 A m −2 ) in scanning transmission electron microscopy (STEM) mode. Under electron probe irradiation, the carbon atom tends to displace within a crystalline specimen, and dangling bonds are formed from the original sp 2 bonding after local carbon atoms have been kicked off. The absorbed random foreign amorphous carbon assembles along the line of the scanning direction induced by secondary electrons and is immobilized near the edge. With the ultralow secondary electron yield of the graphene, additional foreign atoms determining the accuracy of the pattern have been greatly reduced near the targeting region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through electron beams. (paper)

  18. Design of titania nanotube structures by focused laser beam direct writing

    International Nuclear Information System (INIS)

    Enachi, Mihai; Stevens-Kalceff, Marion A.; Sarua, Andrei; Ursaki, Veaceslav; Tiginyanu, Ion

    2013-01-01

    In this work, we report on electrochemical fabrication of titania films consisting of nanotubes (NTs) and their treatment by focused laser beam. The results of sample characterization by optical and scanning electron microscopy, cathodoluminescence imaging, and Raman scattering scanning spectroscopy are compared to those inherent to specimens subjected to thermal treatment in a furnace. The obtained data demonstrate possibilities for controlling crystallographic structure of TiO 2 NTs by focused laser beam direct writing. These findings open new prospects for the design and fabrication of spatial architectures based on titania nanotubes

  19. Direct-write Bioprinting of Cell-laden Methacrylated Gelatin Hydrogels

    Science.gov (United States)

    Bertassoni, Luiz E.; Cardoso, Juliana C.; Manoharan, Vijayan; Cristino, Ana L.; Bhise, Nupura S.; Araujo, Wesleyan A.; Zorlutuna, Pinar; Vrana, Nihal E.; Ghaemmaghami, Amir M.

    2014-01-01

    Fabrication of three dimensional (3D) organoids with controlled microarchitectures has been shown to enhance tissue functionality. Bioprinting can be used to precisely position cells and cell-laden materials to generate controlled tissue architecture. Therefore, it represents an exciting alternative for organ fabrication. Despite the rapid progress in the field, the development of printing processes that can be used to fabricate macroscale tissue constructs from ECM-derived hydrogels has remained a challenge. Here we report a strategy for bioprinting of photolabile cell-laden methacrylated gelatin (GelMA) hydrogels. We bioprinted cell-laden GelMA at concentrations ranging from 7 to 15% with varying cell densities and found a direct correlation between printability and the hydrogel mechanical properties. Furthermore, encapsulated HepG2 cells preserved cell viability for at least 8 days following the bioprinting process. In summary, this work presents a strategy for direct-write bioprinting of a cell-laden photolabile ECM-derived hydrogel, which may find widespread application for tissue engineering, organ printing and the development of 3D drug discovery platforms. PMID:24695367

  20. Direct-write bioprinting of cell-laden methacrylated gelatin hydrogels

    International Nuclear Information System (INIS)

    Bertassoni, Luiz E; Cardoso, Juliana C; Manoharan, Vijayan; Cristino, Ana L; Bhise, Nupura S; Araujo, Wesleyan A; Zorlutuna, Pinar; Vrana, Nihal E; Dokmeci, Mehmet R; Khademhosseini, Ali; Ghaemmaghami, Amir M

    2014-01-01

    Fabrication of three dimensional (3D) organoids with controlled microarchitectures has been shown to enhance tissue functionality. Bioprinting can be used to precisely position cells and cell-laden materials to generate controlled tissue architecture. Therefore, it represents an exciting alternative for organ fabrication. Despite the rapid progress in the field, the development of printing processes that can be used to fabricate macroscale tissue constructs from ECM-derived hydrogels has remained a challenge. Here we report a strategy for bioprinting of photolabile cell-laden methacrylated gelatin (GelMA) hydrogels. We bioprinted cell-laden GelMA at concentrations ranging from 7 to 15% with varying cell densities and found a direct correlation between printability and the hydrogel mechanical properties. Furthermore, encapsulated HepG2 cells preserved cell viability for at least eight days following the bioprinting process. In summary, this work presents a strategy for direct-write bioprinting of a cell-laden photolabile ECM-derived hydrogel, which may find widespread application for tissue engineering, organ printing and the development of 3D drug discovery platforms. (paper)

  1. Direct-write bioprinting of cell-laden methacrylated gelatin hydrogels.

    Science.gov (United States)

    Bertassoni, Luiz E; Cardoso, Juliana C; Manoharan, Vijayan; Cristino, Ana L; Bhise, Nupura S; Araujo, Wesleyan A; Zorlutuna, Pinar; Vrana, Nihal E; Ghaemmaghami, Amir M; Dokmeci, Mehmet R; Khademhosseini, Ali

    2014-06-01

    Fabrication of three dimensional (3D) organoids with controlled microarchitectures has been shown to enhance tissue functionality. Bioprinting can be used to precisely position cells and cell-laden materials to generate controlled tissue architecture. Therefore, it represents an exciting alternative for organ fabrication. Despite the rapid progress in the field, the development of printing processes that can be used to fabricate macroscale tissue constructs from ECM-derived hydrogels has remained a challenge. Here we report a strategy for bioprinting of photolabile cell-laden methacrylated gelatin (GelMA) hydrogels. We bioprinted cell-laden GelMA at concentrations ranging from 7 to 15% with varying cell densities and found a direct correlation between printability and the hydrogel mechanical properties. Furthermore, encapsulated HepG2 cells preserved cell viability for at least eight days following the bioprinting process. In summary, this work presents a strategy for direct-write bioprinting of a cell-laden photolabile ECM-derived hydrogel, which may find widespread application for tissue engineering, organ printing and the development of 3D drug discovery platforms.

  2. Announcing the ESL-WOW for Self-Directed Writing Support

    Directory of Open Access Journals (Sweden)

    Thaddeus M. Niles

    2013-03-01

    Full Text Available This is an overview of ESL-WOW (Writing Online Workshop, a new online resource for students aiming to develop academic writing skills which has been available to the public at no charge since December 2012. Students can visit www.esl-wow.org to learn more about the academic conventions that confound new entrants into academic discourse communities, or to learn more about what makes writing clear and cogent in general. While the site is designed for adult learners and students entering community colleges, a wide variety of intermediate and advanced learners can certainly benefit from the materials offered by the ESL-WOW.

  3. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  4. Identification of constitutive theory parameters using a tensile machine for deposited filaments of microcrystalline ink by the direct-write method

    International Nuclear Information System (INIS)

    Lourdel, N; Therriault, D; Lévesque, M

    2009-01-01

    A custom-designed tensile machine is developed to characterize the mechanical properties of ink micro-filaments deposited by the direct-write method. The direct-write method has been used for the fabrication of a wide variety of micro-systems such as microvascular networks, chaotic mixers and laboratory on chips. The tensile machine was used to measure the induced force in ink filaments during tensile and tension-relaxation tests as a function of the applied strain rate, the ink composition and the filament diameter. Experimental data were fitted by a linearly viscoelastic model using a data reduction procedure in order to identify the constitutive theory parameters of the deposited ink filaments. The model predictions based on the linearly viscoelastic model and the defined constitutive theory parameters give a close approximation of all experimental data generated in this study. Such models will be useful for the development and optimization of future 3D complex structures made by the direct-write method

  5. Polymeric flat focal field arrayed waveguide grating using electron-beam direct writing

    Science.gov (United States)

    Lu, Si; Yan, Yingbai; Jin, Guofan; Wong, W. H.; Pun, E. Y. B.

    2004-06-01

    A four-channel 400-GHz spacing flat focal field arrayed waveguide grating (AWG) demultiplexer is designed based on polymeric optical waveguide. The waveguide core-layer material is a newly developed negative tone epoxy Novolak resin (ENR) polymer with ultravoilet (UV) cured resin Norland optical adhesive 61 (NOA61) as the cladding layer. The device is fabricated using electron-beam direct writing, which has less processing steps than the reported polymeric AWGs. The experimental result is presented.

  6. UV waveguides light fabricated in fluoropolymer CYTOP by femtosecond laser direct writing.

    Science.gov (United States)

    Hanada, Yasutaka; Sugioka, Koji; Midorikawa, Katsumi

    2010-01-18

    We have fabricated optical waveguides inside the UV-transparent polymer, CYTOP, by femtosecond laser direct writing for propagating UV light in biochip applications. Femtosecond laser irradiation is estimated to increase the refractive index of CYTOP by 1.7 x 10(-3) due to partial bond breaking in CYTOP. The waveguide in CYTOP has propagation losses of 0.49, 0.77, and 0.91 dB/cm at wavelengths of 632.8, 355, and 266 nm, respectively.

  7. Orchestrated structure evolution: accelerating direct-write nanomanufacturing by combining top-down patterning with bottom-up growth

    Energy Technology Data Exchange (ETDEWEB)

    Kitayaporn, Sathana; Baneyx, Francois; Schwartz, Daniel T [Department of Chemical Engineering, University of Washington, Seattle, WA 98195-1750 (United States); Hoo, Ji Hao; Boehringer, Karl F, E-mail: dts@uw.edu [Department of Electrical Engineering, University of Washington, Seattle, WA 98195-1750 (United States)

    2010-05-14

    Direct-write nanomanufacturing with scanning beams and probes is flexible and can produce high quality products, but it is normally slow and expensive to raster point-by-point over a pattern. We demonstrate the use of an accelerated direct-write nanomanufacturing method called 'orchestrated structure evolution' (OSE), where a direct-write tool patterns a small number of growth 'seeds' that subsequently grow into the final thin film pattern. Through control of seed size and spacing, it is possible to vary the ratio of 'top-down' to 'bottom-up' character of the patterning processes, ranging from conventional top-down raster patterning to nearly pure bottom-up space-filling via seed growth. Electron beam lithography (EBL) and copper electrodeposition were used to demonstrate trade-offs between process time and product quality over nano- to microlength scales. OSE can reduce process times for high-cost EBL patterning by orders of magnitude, at the expense of longer (but inexpensive) copper electrodeposition processing times. We quantify the degradation of pattern quality that accompanies fast OSE patterning by measuring deviations from the desired patterned area and perimeter. We also show that the density of OSE-induced grain boundaries depends upon the seed separation and size. As the seed size is reduced, the uniformity of an OSE film becomes more dependent on details of seed nucleation processes than normally seen for conventionally patterned films.

  8. Patterned direct-write and screen-printing of NIR-to-visible upconverting inks for security applications.

    Science.gov (United States)

    Blumenthal, Tyler; Meruga, Jeevan; Stanley May, P; Kellar, Jon; Cross, William; Ankireddy, Krishnamraju; Vunnam, Swathi; Luu, Quocanh N

    2012-05-11

    Two methods of direct-write printing for producing highly resolved features of a polymer impregnated with luminescent upconversion phosphors for security applications are presented. The printed polymer structures range in shape from features to text. The thin polymer features were deposited by direct-write printing of atomized material as well as by screen-printing techniques. These films contain highly luminescent lanthanide-doped, rare-earth nanocrystals, β-NaYF₄:3%Er, 17%Yb, which are capped with oleic acid. This capping agent allows the nanocrystals to disperse throughout the films for full detailing of printed features. Upconversion of deposited features was obtained using a 980 nm wavelength laser with emission of upconverted light in the visible region at both 540 and 660 nm. Features were deposited onto high bond paper, Kapton®, and glass to demonstrate possible covert and forensic security printing applications, as they are printed in various features and invisible to 'naked-eye' viewing at low concentrations of nanocrystals.

  9. Patterned direct-write and screen-printing of NIR-to-visible upconverting inks for security applications

    International Nuclear Information System (INIS)

    Blumenthal, Tyler; Meruga, Jeevan; Kellar, Jon; Cross, William; Ankireddy, Krishnamraju; Vunnam, Swathi; Stanley May, P; Luu, QuocAnh N

    2012-01-01

    Two methods of direct-write printing for producing highly resolved features of a polymer impregnated with luminescent upconversion phosphors for security applications are presented. The printed polymer structures range in shape from features to text. The thin polymer features were deposited by direct-write printing of atomized material as well as by screen-printing techniques. These films contain highly luminescent lanthanide-doped, rare-earth nanocrystals, β-NaYF 4 :3%Er, 17%Yb, which are capped with oleic acid. This capping agent allows the nanocrystals to disperse throughout the films for full detailing of printed features. Upconversion of deposited features was obtained using a 980 nm wavelength laser with emission of upconverted light in the visible region at both 540 and 660 nm. Features were deposited onto high bond paper, Kapton ® , and glass to demonstrate possible covert and forensic security printing applications, as they are printed in various features and invisible to ‘naked-eye’ viewing at low concentrations of nanocrystals. (paper)

  10. Patterned direct-write and screen-printing of NIR-to-visible upconverting inks for security applications

    Science.gov (United States)

    Blumenthal, Tyler; Meruga, Jeevan; May, P. Stanley; Kellar, Jon; Cross, William; Ankireddy, Krishnamraju; Vunnam, Swathi; Luu, QuocAnh N.

    2012-05-01

    Two methods of direct-write printing for producing highly resolved features of a polymer impregnated with luminescent upconversion phosphors for security applications are presented. The printed polymer structures range in shape from features to text. The thin polymer features were deposited by direct-write printing of atomized material as well as by screen-printing techniques. These films contain highly luminescent lanthanide-doped, rare-earth nanocrystals, β-NaYF4:3%Er, 17%Yb, which are capped with oleic acid. This capping agent allows the nanocrystals to disperse throughout the films for full detailing of printed features. Upconversion of deposited features was obtained using a 980 nm wavelength laser with emission of upconverted light in the visible region at both 540 and 660 nm. Features were deposited onto high bond paper, Kapton®, and glass to demonstrate possible covert and forensic security printing applications, as they are printed in various features and invisible to ‘naked-eye’ viewing at low concentrations of nanocrystals.

  11. The role of research-article writing motivation and self-regulatory strategies in explaining research-article abstract writing ability.

    Science.gov (United States)

    Lin, Ming-Chia; Cheng, Yuh-Show; Lin, Sieh-Hwa; Hsieh, Pei-Jung

    2015-04-01

    The purpose of the study was to investigate the effects of research-article writing motivation and use of self-regulatory writing strategies in explaining second language (L2) research-article abstract writing ability, alongside the L2 literacy effect. Four measures were administered: a L2 literacy test, a research abstract performance assessment, and inventories of writing motivation and strategy. Participants were L2 graduate students in Taiwan (N=185; M age=25.8 yr., SD=4.5, range=22-53). Results of structural equation modeling showed a direct effect of motivation on research-article writing ability, but no direct effect of strategy or indirect effect of motivation via strategy on research-article writing ability, with L2 literacy controlled. The findings suggest research-article writing instruction should address writing motivation, besides L2 literacy.

  12. Fabrication of Si-nozzles for parallel mechano-electrospinning direct writing

    International Nuclear Information System (INIS)

    Pan, Yanqiao; Huang, YongAn; Bu, Ningbin; Yin, Zhouping

    2013-01-01

    Nozzles with micro-scale orifices drive high-resolution printing techniques for generating micro- to nano-scale droplets/lines. This paper presents the fabrication and application of Si-nozzles in mechano-electrospinning (MES). The fabrication process mainly consists of photolithography, Au deposition, inductively coupled plasma, and polydimethylsiloxane encapsulation. The 6 wt% polyethylene oxide solution is adopted to study the electrospinning behaviour and the relations between fibre diameter and process parameters in MES. A fibre grid with 250 µm spacing is able to be direct written, and the diameters are less than 3 µm. To improve the printing efficiency, positioning accuracy and flexibility, a rotatable multi-nozzle is adopted. The distance between parallel lines reduces sharply from 4.927 to 0.308 mm with the rotating angle increasing from 0° to 87°, and the fibre grids with tunable distance are achieved. This method paves the way for fabrication of addressable Si-nozzle array in parallel MES direct writing. (paper)

  13. Revision Strategies for Adolescent Writers: Moving Students in the Write Direction

    Science.gov (United States)

    Borgese, Jolene; Heyler, Dick; Romano, Stephanie

    2011-01-01

    For many secondary students, writing effectively is the most elusive of the critical literacy skills needed for college and career readiness. And for many teachers, revision is the most difficult part of the writing process to tackle. How can adolescent writers be guided to revisit their work, to identify the weaknesses in their writing drafts,…

  14. Femtosecond laser direct writing of monocrystalline hexagonal silver prisms

    Energy Technology Data Exchange (ETDEWEB)

    Vora, Kevin; Kang, SeungYeon; Moebius, Michael [School of Engineering and Applied Sciences, Harvard University, 9 Oxford Street, Cambridge, Massachusetts 02138 (United States); Mazur, Eric [School of Engineering and Applied Sciences, Harvard University, 9 Oxford Street, Cambridge, Massachusetts 02138 (United States); Department of Physics, Harvard University, 9 Oxford Street, Cambridge, Massachusetts 02138 (United States)

    2014-10-06

    Bottom-up growth methods and top-down patterning techniques are both used to fabricate metal nanostructures, each with a distinct advantage: One creates crystalline structures and the other offers precise positioning. Here, we present a technique that localizes the growth of metal crystals to the focal volume of a laser beam, combining advantages from both approaches. We report the fabrication of silver nanoprisms—hexagonal nanoscale silver crystals—through irradiation with focused femtosecond laser pulses. The growth of these nanoprisms is due to a nonlinear optical interaction between femtosecond laser pulses and a polyvinylpyrrolidone film doped with silver nitrate. The hexagonal nanoprisms have bases hundreds of nanometers in size and the crystal growth occurs over exposure times of less than 1 ms (8 orders of magnitude faster than traditional chemical techniques). Electron backscatter diffraction analysis shows that the hexagonal nanoprisms are monocrystalline. The fabrication method combines advantages from both wet chemistry and femtosecond laser direct-writing to grow silver crystals in targeted locations. The results presented in this letter offer an approach to directly positioning and growing silver crystals on a substrate, which can be used for plasmonic devices.

  15. Femtosecond laser direct writing of monocrystalline hexagonal silver prisms

    International Nuclear Information System (INIS)

    Vora, Kevin; Kang, SeungYeon; Moebius, Michael; Mazur, Eric

    2014-01-01

    Bottom-up growth methods and top-down patterning techniques are both used to fabricate metal nanostructures, each with a distinct advantage: One creates crystalline structures and the other offers precise positioning. Here, we present a technique that localizes the growth of metal crystals to the focal volume of a laser beam, combining advantages from both approaches. We report the fabrication of silver nanoprisms—hexagonal nanoscale silver crystals—through irradiation with focused femtosecond laser pulses. The growth of these nanoprisms is due to a nonlinear optical interaction between femtosecond laser pulses and a polyvinylpyrrolidone film doped with silver nitrate. The hexagonal nanoprisms have bases hundreds of nanometers in size and the crystal growth occurs over exposure times of less than 1 ms (8 orders of magnitude faster than traditional chemical techniques). Electron backscatter diffraction analysis shows that the hexagonal nanoprisms are monocrystalline. The fabrication method combines advantages from both wet chemistry and femtosecond laser direct-writing to grow silver crystals in targeted locations. The results presented in this letter offer an approach to directly positioning and growing silver crystals on a substrate, which can be used for plasmonic devices.

  16. New Directions in Postcolonial Studies: a review of Absolutely Postcolonial: Writing Between the Singular and the Specific 5 New Directions in Postcolonial Studies: a review of Absolutely Postcolonial: Writing Between the Singular and the Specific 5

    Directory of Open Access Journals (Sweden)

    Jessica Schagerl

    2008-04-01

    Full Text Available Peter Hallward’s aggressively theoretical book, Absolutely Postcolonial: Writing Between the Singular and the Specific, offers a focused reconceptualisation of some of the critical terms in contemporary postcolonial discourse. Accordingly, Hallward’s book marks a new direction for postcolonial literary studies since in contrast to much postcolonial theory, which has often privileged poststructuralist notions of hybridity, heterogeneity, and contingency, Hallward argues that the postcolonial is singular, subjective, and non-relational and can be best understood in tension with the specific. Peter Hallward’s aggressively theoretical book, Absolutely Postcolonial: Writing Between the Singular and the Specific, offers a focused reconceptualisation of some of the critical terms in contemporary postcolonial discourse. Accordingly, Hallward’s book marks a new direction for postcolonial literary studies since in contrast to much postcolonial theory, which has often privileged poststructuralist notions of hybridity, heterogeneity, and contingency, Hallward argues that the postcolonial is singular, subjective, and non-relational and can be best understood in tension with the specific.

  17. Directly writing resistor, inductor and capacitor to composite functional circuits: a super-simple way for alternative electronics.

    Science.gov (United States)

    Gao, Yunxia; Li, Haiyan; Liu, Jing

    2013-01-01

    The current strategies for making electronic devices are generally time, water, material and energy consuming. Here, the direct writing of composite functional circuits through comprehensive use of GaIn10-based liquid metal inks and matching material is proposed and investigated, which is a rather easy going and cost effective electronics fabrication way compared with the conventional approaches. Owing to its excellent adhesion and electrical properties, the liquid metal ink was demonstrated as a generalist in directly making various basic electronic components such as planar resistor, inductor and capacitor or their combination and thus composing circuits with expected electrical functions. For a precise control of the geometric sizes of the writing, a mask with a designed pattern was employed and demonstrated. Mechanisms for justifying the chemical components of the inks and the magnitudes of the target electronic elements so as to compose various practical circuits were disclosed. Fundamental tests on the electrical components including capacitor and inductor directly written on paper with working time up to 48 h and elevated temperature demonstrated their good stability and potential widespread adaptability especially when used in some high frequency circuits. As the first proof-of-concept experiment, a typical functional oscillating circuit including an integrated chip of 74HC04 with a supply voltage of 5 V, a capacitor of 10 nF and two resistors of 5 kΩ and 1 kΩ respectively was directly composed on paper through integrating specific electrical elements together, which presented an oscillation frequency of 8.8 kHz. The present method significantly extends the roles of the metal ink in recent works serving as only a single electrical conductor or interconnecting wires. It opens the way for directly writing out complex functional circuits or devices on different substrates. Such circuit composition strategy has generalized purpose and can be extended to more

  18. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    Science.gov (United States)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  19. Direct laser writing of polymeric nanostructures via optically induced local thermal effect

    Energy Technology Data Exchange (ETDEWEB)

    Tong, Quang Cong [Laboratoire de Photonique Quantique et Moléculaire, UMR 8537, École Normale Supérieure de Cachan, CentraleSupélec, CNRS, Université Paris-Saclay, 61 avenue du Président Wilson, 94235 Cachan (France); Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet, Cau Giay, 10000 Hanoi (Viet Nam); Nguyen, Dam Thuy Trang; Do, Minh Thanh; Luong, Mai Hoang; Journet, Bernard; Ledoux-Rak, Isabelle; Lai, Ngoc Diep, E-mail: nlai@lpqm.ens-cachan.fr [Laboratoire de Photonique Quantique et Moléculaire, UMR 8537, École Normale Supérieure de Cachan, CentraleSupélec, CNRS, Université Paris-Saclay, 61 avenue du Président Wilson, 94235 Cachan (France)

    2016-05-02

    We demonstrate the fabrication of desired structures with feature size below the diffraction limit by use of a positive photoresist. The direct laser writing technique employing a continuous-wave laser was used to optically induce a local thermal effect in a positive photoresist, which then allowed the formation of solid nanostructures. This technique enabled us to realize multi-dimensional sub-microstructures by use of a positive photoresist, with a feature size down to 57 nm. This mechanism acting on positive photoresists opens a simple and low-cost way for nanofabrication.

  20. Direct write of copper-graphene composite using micro-cold spray

    Directory of Open Access Journals (Sweden)

    Sameh Dardona

    2016-08-01

    Full Text Available Direct write of a new class of composite materials containing copper and graphene in the powder phase is described. The composite was synthesized using batch electroless plating of copper for various times onto Nano Graphene Platelets (NGP to control the amount of copper deposited within the loosely aggregated graphene powder. Copper deposition was confirmed by both Focused Ion Beam (FIB and Auger electron spectroscopic analysis. A micro-cold spray technique was used to deposit traces that are ∼230 μm wide and ∼5 μm thick of the formulated copper/graphene powder onto a glass substrate. The deposited traces were found to have good adhesion to the substrate with ∼65x the copper bulk resistivity.

  1. Laser direct-write of single microbeads into spatially-ordered patterns

    International Nuclear Information System (INIS)

    Phamduy, Theresa B; Schiele, Nathan R; Corr, David T; Chrisey, Douglas B; Raof, Nurazhani Abdul; Xie Yubing; Yan Zijie; Huang Yong

    2012-01-01

    Fabrication of heterogeneous microbead patterns on a bead-by-bead basis promotes new opportunities for sensors, lab-on-a-chip technology and cell-culturing systems within the context of customizable constructs. Laser direct-write (LDW) was utilized to target and deposit solid polystyrene and stem cell-laden alginate hydrogel beads into computer-programmed patterns. We successfully demonstrated single-bead printing resolution and fabricated spatially-ordered patterns of microbeads. The probability of successful microbead transfer from the ribbon surface increased from 0 to 80% with decreasing diameter of 600 to 45 µm, respectively. Direct-written microbeads retained spatial pattern registry, even after 10 min of ultrasonication treatment. SEM imaging confirmed immobilization of microbeads. Viability of cells encapsulated in transferred hydrogel microbeads achieved 37 ± 11% immediately after the transfer process, whereas randomly-patterned pipetted control beads achieved a viability of 51 ± 25%. Individual placement of >10 µm diameter microbeads onto planar surfaces has previously been unattainable. We have demonstrated LDW as a valuable tool for the patterning of single, micrometer-diameter beads into spatially-ordered patterns. (paper)

  2. Droplet-Assisted Laser Direct Nanoscale Writing on Silicon

    Directory of Open Access Journals (Sweden)

    Yuan-Jen Chang

    2016-03-01

    Full Text Available Nano-structuring using laser direct writing technology has shown great potential for industrial applications. A novel application of water droplets to this technology is proposed in this paper. With a hydrophobic layer and a controlled substrate temperature, a layer of randomly distributed water droplets with a high contact angle is formed on the substrate. These liquid droplets can be used as lenses to enhance the laser intensity at the bottom of the droplets. As a result, nanoscale holes can be fabricated on the substrate by controlling the laser energy density. We successfully fabricated holes with a diameter of 600 nm at a substrate temperature of 12 ∘C and a power density of 1.2 × 108 W/cm2 in our experiments. We also found that the hole diameter was around a ninth of the water droplet diameter. Meanwhile, the machined holes are not affected much by the focal length of the lens, but a hole with less than 100 nm in diameter at the center was observed.

  3. Technical Writing 1987: Galloping Off in at Least Two Directions.

    Science.gov (United States)

    Stohrer, Freda F.

    Technical writing instructors generally agree about the absolute need for communication skills throughout the technological work place, but a survey of technical writing journal articles shows a lack of focus on ways to address business's needs for on-the-job literacy. One major advance within the profession in recent years has been the…

  4. Avant-garde femtosecond laser writing

    OpenAIRE

    Kazansky, Peter G.; Beresna, Martynas; Shimotsuma, Yasuhiko; Hirao, Kazuyuki; Svirko, Yuri P.; Aktürk, Selcuk

    2010-01-01

    Recently discovered phenomena of quill and non-reciprocal femtosecond laser writing in glasses and crystals are reviewed. Common beliefs that laser writing does not change when reversing beam scan or propagation direction are challenged.

  5. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography

    Directory of Open Access Journals (Sweden)

    Juan Jaramillo

    2017-01-01

    Full Text Available This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D microtechnology developments in which the typical feature size used is in the micrometer range.

  6. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography.

    Science.gov (United States)

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-31

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range.

  7. Laser direct writing (LDW of magnetic structures

    Directory of Open Access Journals (Sweden)

    Alaa Alasadi

    2018-05-01

    Full Text Available Laser direct writing (LDW has been used to pattern 90nm thick permalloy (Ni81Fe19 into 1-D and 2-D microstructures with strong shape anisotropy. Sub-nanosecond laser pulses were focused with a 0.75 NA lens to a 1.85μm diameter spot, to achieve a fluence of approximately 350 mJ.cm-2 and ablate the permalloy film. Computer-controlled sample scanning then allowed structures to be defined. Scan speeds were controlled to give 30% overlap between successive laser pulses and reduce the extent of width modulation in the final structures. Continuous magnetic wires that adjoined the rest of the film were fabricated with widths from 650 nm - 6.75μm and magneto-optical measurements showed coercivity reducing across this width range from 47 Oe to 11 Oe. Attempts to fabricate wires narrower than 650nm resulted in discontinuities in the wires and a marked decrease in coercivity. This approach is extremely rapid and was carried out in air, at room temperature and with no chemical processing. The 6-kHz laser pulse repetition rate allowed wire arrays across an area of 4 mm x 0.18 mm to be patterned in 85 s.

  8. Laser direct writing (LDW) of magnetic structures

    Science.gov (United States)

    Alasadi, Alaa; Claeyssens, F.; Allwood, D. A.

    2018-05-01

    Laser direct writing (LDW) has been used to pattern 90nm thick permalloy (Ni81Fe19) into 1-D and 2-D microstructures with strong shape anisotropy. Sub-nanosecond laser pulses were focused with a 0.75 NA lens to a 1.85μm diameter spot, to achieve a fluence of approximately 350 mJ.cm-2 and ablate the permalloy film. Computer-controlled sample scanning then allowed structures to be defined. Scan speeds were controlled to give 30% overlap between successive laser pulses and reduce the extent of width modulation in the final structures. Continuous magnetic wires that adjoined the rest of the film were fabricated with widths from 650 nm - 6.75μm and magneto-optical measurements showed coercivity reducing across this width range from 47 Oe to 11 Oe. Attempts to fabricate wires narrower than 650nm resulted in discontinuities in the wires and a marked decrease in coercivity. This approach is extremely rapid and was carried out in air, at room temperature and with no chemical processing. The 6-kHz laser pulse repetition rate allowed wire arrays across an area of 4 mm x 0.18 mm to be patterned in 85 s.

  9. Femtosecond laser direct writing of gratings and waveguides in high quantum efficiency erbium-doped Baccarat glass

    International Nuclear Information System (INIS)

    Vishnubhatla, K C; Kumar, R Sai Santosh; Rao, D Narayana; Rao, S Venugopal; Osellame, R; Ramponi, R; Bhaktha, S N B; Mattarelli, M; Montagna, M; Turrell, S; Chiappini, A; Chiasera, A; Ferrari, M; Righini, G C

    2009-01-01

    The femtosecond laser direct writing technique was employed to inscribe gratings and waveguides in high quantum efficiency erbium-doped Baccarat glass. Using the butt coupling technique, a systematic study of waveguide loss with respect to input pulse energy and writing speed was performed to achieve the best waveguide with low propagation loss (PL). By pumping at 980 nm, we observed signal enhancement in these active waveguides in the telecom spectral region. The refractive index change was smooth and we estimated it to be ∼10 -3 . The high quantum efficiency (∼80%) and a best PL of ∼0.9 dB cm -1 combined with signal enhancement makes Baccarat glass a potential candidate for application in photonics.

  10. Nanoscale Engineering in VO2 Nanowires via Direct Electron Writing Process.

    Science.gov (United States)

    Zhang, Zhenhua; Guo, Hua; Ding, Wenqiang; Zhang, Bin; Lu, Yue; Ke, Xiaoxing; Liu, Weiwei; Chen, Furong; Sui, Manling

    2017-02-08

    Controlling phase transition in functional materials at nanoscale is not only of broad scientific interest but also important for practical applications in the fields of renewable energy, information storage, transducer, sensor, and so forth. As a model functional material, vanadium dioxide (VO 2 ) has its metal-insulator transition (MIT) usually at a sharp temperature around 68 °C. Here, we report a focused electron beam can directly lower down the transition temperature of a nanoarea to room temperature without prepatterning the VO 2 . This novel process is called radiolysis-assisted MIT (R-MIT). The electron beam irradiation fabricates a unique gradual MIT zone to several times of the beam size in which the temperature-dependent phase transition is achieved in an extended temperature range. The gradual transformation zone offers to precisely control the ratio of metal/insulator phases. This direct electron writing technique can open up an opportunity to precisely engineer nanodomains of diversified electronic properties in functional material-based devices.

  11. Fabrication of multi-functional silicon surface by direct laser writing

    Science.gov (United States)

    Verma, Ashwani Kumar; Soni, R. K.

    2018-05-01

    We present a simple, quick and one-step methodology based on nano-second laser direct writing for the fabrication of micro-nanostructures on silicon surface. The fabricated surfaces suppress the optical reflection by multiple reflection due to light trapping effect to a much lower value than polished silicon surface. These textured surfaces offer high enhancement ability after gold nanoparticle deposition and then explored for Surface Enhanced Raman Scattering (SERS) for specific molecular detection. The effect of laser scanning line interval on optical reflection and SERS signal enhancement ability was also investigated. Our results indicate that low optical reflection substrates exhibit uniform SERS enhancement with enhancement factor of the order of 106. Furthermore, this methodology provide an alternative approach for cost-effective large area fabrication with good control over feature size.

  12. The Conductive Silver Nanowires Fabricated by Two-beam Laser Direct Writing on the Flexible Sheet

    Science.gov (United States)

    He, Gui-Cang; Zheng, Mei-Ling; Dong, Xian-Zi; Jin, Feng; Liu, Jie; Duan, Xuan-Ming; Zhao, Zhen-Sheng

    2017-02-01

    Flexible electrically conductive nanowires are now a key component in the fields of flexible devices. The achievement of metal nanowire with good flexibility, conductivity, compact and smooth morphology is recognized as one critical milestone for the flexible devices. In this study, a two-beam laser direct writing system is designed to fabricate AgNW on PET sheet. The minimum width of the AgNW fabricated by this method is 187 ± 34 nm with the height of 84 ± 4 nm. We have investigated the electrical resistance under different voltages and the applicable voltage per meter range is determined to be less than 7.5 × 103 V/m for the fabricated AgNW. The flexibility of the AgNW is very excellent, since the resistance only increases 6.63% even after the stretched bending of 2000 times at such a small bending radius of 1.0 mm. The proposed two-beam laser direct writing is an efficient method to fabricate AgNW on the flexible sheet, which could be applied in flexible micro/nano devices.

  13. The Word Writing CAFE: Assessing Student Writing for Complexity, Accuracy, and Fluency

    Science.gov (United States)

    Leal, Dorothy J.

    2005-01-01

    The Word Writing CAFE is a new assessment tool designed for teachers to evaluate objectively students' word-writing ability for fluency, accuracy, and complexity. It is designed to be given to the whole class at one time. This article describes the development of the CAFE and provides directions for administering and scoring it. The author also…

  14. Directly writing resistor, inductor and capacitor to composite functional circuits: a super-simple way for alternative electronics.

    Directory of Open Access Journals (Sweden)

    Yunxia Gao

    Full Text Available BACKGROUND: The current strategies for making electronic devices are generally time, water, material and energy consuming. Here, the direct writing of composite functional circuits through comprehensive use of GaIn10-based liquid metal inks and matching material is proposed and investigated, which is a rather easy going and cost effective electronics fabrication way compared with the conventional approaches. METHODS: Owing to its excellent adhesion and electrical properties, the liquid metal ink was demonstrated as a generalist in directly making various basic electronic components such as planar resistor, inductor and capacitor or their combination and thus composing circuits with expected electrical functions. For a precise control of the geometric sizes of the writing, a mask with a designed pattern was employed and demonstrated. Mechanisms for justifying the chemical components of the inks and the magnitudes of the target electronic elements so as to compose various practical circuits were disclosed. RESULTS: Fundamental tests on the electrical components including capacitor and inductor directly written on paper with working time up to 48 h and elevated temperature demonstrated their good stability and potential widespread adaptability especially when used in some high frequency circuits. As the first proof-of-concept experiment, a typical functional oscillating circuit including an integrated chip of 74HC04 with a supply voltage of 5 V, a capacitor of 10 nF and two resistors of 5 kΩ and 1 kΩ respectively was directly composed on paper through integrating specific electrical elements together, which presented an oscillation frequency of 8.8 kHz. CONCLUSIONS: The present method significantly extends the roles of the metal ink in recent works serving as only a single electrical conductor or interconnecting wires. It opens the way for directly writing out complex functional circuits or devices on different substrates. Such circuit

  15. Model of diffusion-assisted direct laser writing by means of nanopolymerization in the presence of radical quencher

    International Nuclear Information System (INIS)

    Pikulin, Alexander; Bityurin, Nikita; Sokolov, Viktor I.

    2015-01-01

    Diffusion-assisted direct laser writing (DA-DLW) by multiphoton polymerization has been recently shown to be one of the most promising methods for the high-resolution 3D nanofabrication [I. Sakellari, et al., ACS Nano 6, 2302 (2012)]. The improvement of the writing spatial resolution has been observed under certain conditions when the mobile radical quencher (polymerization inhibitor) is added to the photosensitive composition. In this work, we present a theoretical study of this method, focusing on the resolution capabilities and optimal writing parameters. The laser beam absorption in the polymerizable composition causes the localized depletion of the quencher molecules. If the quencher depletion is balanced by its diffusion from the outside of the focal volume, the quasi-stationary non-equillibrium concentration spatial profile with zero minimum can be obtained. The polymer is then effectively formed only in the domain where the quencher is depleted. The spatially-distributed quencher, in this case, has the effect similar to that of the vortex beam in STimulated Emission Microscopy (STED)

  16. Hybrid 2D patterning using UV laser direct writing and aerosol jet printing of UV curable polydimethylsiloxane

    Science.gov (United States)

    Obata, Kotaro; Schonewille, Adam; Slobin, Shayna; Hohnholz, Arndt; Unger, Claudia; Koch, Jürgen; Suttmann, Oliver; Overmeyer, Ludger

    2017-09-01

    The hybrid technique of aerosol jet printing and ultraviolet (UV) laser direct writing was developed for 2D patterning of thin film UV curable polydimethylsiloxane (PDMS). A dual atomizer module in an aerosol jet printing system generated aerosol jet streams from material components of the UV curable PDMS individually and enables the mixing in a controlled ratio. Precise control of the aerosol jet printing achieved the layer thickness of UV curable PDMS as thin as 1.6 μm. This aerosol jet printing system is advantageous because of its ability to print uniform thin-film coatings of UV curable PDMS on planar surfaces as well as free-form surfaces without the use of solvents. In addition, the hybrid 2D patterning using the combination of UV laser direct writing and aerosol jet printing achieved selective photo-initiated polymerization of the UV curable PDMS layer with an X-Y resolution of 17.5 μm.

  17. Direct writing of large-area micro/nano-structural arrays on single crystalline germanium substrates using femtosecond lasers

    Science.gov (United States)

    Li, Lin; Wang, Jun

    2017-06-01

    A direct writing technique for fabricating micro/nano-structural arrays without using a multi-scanning process, multi-beam interference, or any assisted microlens arrays is reported. Various sub-wavelength micro/nano-structural arrays have been directly written on single crystalline germanium substrate surfaces using femtosecond laser pulses. The evolution of the multiscale surface morphology from periodic micro/nano-structures to V-shaped microgrooves has been achieved, and the relationship between array characteristics and laser polarization directions has been discussed. The self-organization model agrees well with the experimental results in this study.

  18. Revelation of graphene-Au for direct write deposition and characterization

    Directory of Open Access Journals (Sweden)

    Deepa Melepurath

    2011-01-01

    Full Text Available Abstract Graphene nanosheets were prepared using a modified Hummer's method, and Au-graphene nanocomposites were fabricated by in situ reduction of a gold salt. The as-produced graphene was characterized by X-ray photoelectron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, and high-resolution transmission electron microscopy (HR-TEM. In particular, the HR-TEM demonstrated the layered crystallites of graphene with fringe spacing of about 0.32 nm in individual sheets and the ultrafine facetted structure of about 20 to 50 nm of Au particles in graphene composite. Scanning helium ion microscopy (HIM technique was employed to demonstrate direct write deposition on graphene by lettering with gaps down to 7 nm within the chamber of the microscope. Bare graphene and graphene-gold nanocomposites were further characterized in terms of their composition and optical and electrical properties.

  19. YBa2Cu3O7 nanobridges fabricated by direct-write electron beam lithography

    International Nuclear Information System (INIS)

    Wendt, J.R.; Martens, J.S.; Ashby, C.I.H.; Plut, T.A.; Hietala, V.M.; Tigges, C.P.; Ginley, D.S.; Siegal, M.P.; Phillips, J.M.; Hohenwarter, G.K.G.

    1992-01-01

    A direct method for nondamaging, nanometer-scale patterning of high T c superconductor thin films is presented. We have fabricated superconducting nanobridges in high-quality, epitaxial thin-film YBa 2 Cu 3 O 7 (YBCO) by combining direct-write electron beam lithography and an improved aqueous etchant. Weak links with both length and width dimensions less than 20 nm have exhibited critical currents at 77 K of 4--20 μA and I cRn products of 10--100 μV which compare favorably with results for other YBCO junction technologies. We have used this technique in the fabrication of a shock-wave pulse former as an initial demonstration of its applicability to monolithic superconductive electronics

  20. The art of femtosecond laser writing

    OpenAIRE

    Kazansky, Peter G.; Yang, Weijia; Shimotsuma, Yasuhiko; Hirao, Kazuyuki; Arai, Alan; Svirko, Yuri P.

    2009-01-01

    Common beliefs that laser writing does not change when reversing beam scan or propagation direction are challenged. Recently discovered phenomena of quill and non-reciprocal femtosecond laser writing in glasses and crystals are reviewed

  1. Reading and writing direction effects on the aesthetic appreciation of photographs.

    Science.gov (United States)

    Chahboun, Sobh; Flumini, Andrea; Pérez González, Carmen; McManus, I Chris; Santiago, Julio

    2017-05-01

    Does reading and writing direction (RWD) influence the aesthetic appreciation of photography? Pérez González showed that nineteenth-century Iranian and Spanish professional photographers manifest lateral biases linked to RWD in their compositions. The present study aimed to test whether a population sample showed similar biases. Photographs with left-to-right (L-R) and right-to-left (R-L) directionality were selected from Pérez González's collections and presented in both original and mirror-reversed forms to Spanish (L-R readers) and Moroccan (R-L readers) participants. In Experiment 1, participants rated each picture for its aesthetic pleasingness. The results showed neither effects of lateral organization nor interactions with RWD. In Experiment 2, each picture and its mirror version were presented together and participants chose the one they liked better. Spaniards preferred rightward versions and Moroccans preferred leftward versions. RWD therefore affects aesthetic impressions of photography in our participants when people pay attention to the lateral spatial dimension of pictures. The observed directional aesthetic preferences were not sensitive to the sex of the model in the photographs, failing to support expectations from the hypotheses of emotionality and agency. Preferences were attributable to the interaction between general scanning strategies and scanning habits linked to RWD.

  2. Advanced Methods for Direct Ink Write Additive Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Compel, W. S. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Lewicki, J. P. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2018-01-24

    Lawrence Livermore National Laboratory is one of the world’s premier labs for research and development of additive manufacturing processes. Out of these many processes, direct ink write (DIW) is arguably one of the most relevant for the manufacture of architected polymeric materials, components and hardware. However, a bottleneck in this pipeline that has largely been ignored to date is the lack of advanced software implementation with respect to toolpath execution. There remains to be a convenient, automated method to design and produce complex parts that is user-friendly and enabling for the realization of next generation designs and structures. For a material to be suitable as a DIW ink it must possess the appropriate rheological properties for this process. Most importantly, the material must exhibit shear-thinning in order to extrude through a print head and have a rapid recovery of its static shear modulus. This makes it possible for the extrudate to be self-supporting upon exiting the print head. While this and other prerequisites narrow the scope of ‘offthe- shelf’ printable materials directly amenable to DIW, the process still tolerates a wide range of potential feedstock materials. These include metallic alloys, inorganic solvent borne dispersions, polymeric melts, filler stabilized monomer compositions, pre-elastomeric feedstocks and thermoset resins each of which requires custom print conditions tailored to the individual ink. As such, an ink perfectly suited for DIW may be prematurely determined to be undesirable for the process if printed under the wrong conditions. Defining appropriate print conditions such as extrusion rate, layer height, and maximum bridge length is a vital first step in validating an ink’s DIW capability.

  3. Kindergarten Predictors of Third Grade Writing

    Science.gov (United States)

    Kim, Young-Suk; Al Otaiba, Stephanie; Wanzek, Jeanne

    2015-01-01

    The primary goal of the present study was to examine the relations of kindergarten transcription, oral language, word reading, and attention skills to writing skills in third grade. Children (N = 157) were assessed on their letter writing automaticity, spelling, oral language, word reading, and attention in kindergarten. Then, they were assessed on writing in third grade using three writing tasks – one narrative and two expository prompts. Children’s written compositions were evaluated in terms of writing quality (the extent to which ideas were developed and presented in an organized manner). Structural equation modeling showed that kindergarten oral language and lexical literacy skills (i.e., word reading and spelling) were independently predicted third grade narrative writing quality, and kindergarten literacy skill uniquely predicted third grade expository writing quality. In contrast, attention and letter writing automaticity were not directly related to writing quality in either narrative or expository genre. These results are discussed in light of theoretical and practical implications. PMID:25642118

  4. Direct writing of microtunnels using proton beam micromachining

    International Nuclear Information System (INIS)

    Marot, Laurent; Munnik, Frans; Mikhailov, Serguei

    2006-01-01

    The production of high aspect ratio microstructures is a potential growth area. The combination of deep X-ray lithography with electroforming and micromolding (i.e. LIGA) is one of the main techniques used to produce 3D microstructures. The new technique of proton micromachining employs focused MeV protons in a direct write process which is complementary to LIGA. During ion exposure of positive photoresist like PMMA, scission of molecular chains occurs. These degraded polymer chains are removed by the developer. The aim of this paper is to investigate the capabilities of proton micromachining as a lithographic technique. We show the realization of sub-surface channels, or microtunnels, which have been fabricated in only one exposure and without cutting or resurfacing the material. Using our Van-de-Graaff accelerator, the resist (PMMA) has been exposed with high-energy protons (2.5 MeV). The range of charged particles in matter is well-defined and depends on the energy. Therefore, it is possible to obtain a dose which is sufficient to develop the bottom part of the ion paths but not the top part. Thus, by selecting the energy and the exposure time, a big variety of microtunnels can be realized

  5. Laser-Direct Writing of Silver Metal Electrodes on Transparent Flexible Substrates with High-Bonding Strength.

    Science.gov (United States)

    Zhou, Weiping; Bai, Shi; Ma, Ying; Ma, Delong; Hou, Tingxiu; Shi, Xiaomin; Hu, Anming

    2016-09-21

    We demonstrate a novel approach to rapidly fabricate conductive silver electrodes on transparent flexible substrates with high-bonding strength by laser-direct writing. A new type of silver ink composed of silver nitrate, sodium citrate, and polyvinylpyrrolidone (PVP) was prepared in this work. The role of PVP was elucidated for improving the quality of silver electrodes. Silver nanoparticles and sintered microstructures were simultaneously synthesized and patterned on a substrate using a focused 405 nm continuous wave laser. The writing was completed through the transparent flexible substrate with a programmed 2D scanning sample stage. Silver electrodes fabricated by this approach exhibit a remarkable bonding strength, which can withstand an adhesive tape test at least 50 times. After a 1500 time bending test, the resistance only increased 5.2%. With laser-induced in-situ synthesis, sintering, and simultaneous patterning of silver nanoparticles, this technology is promising for the facile fabrication of conducting electronic devices on flexible substrates.

  6. Simulation flow and model verification for laser direct-write lithography

    Science.gov (United States)

    Onanuga, Temitope; Rumler, Maximilian; Erdmann, Andreas

    2017-07-01

    A simulation flow for laser direct-write lithography (LDWL), a maskless lithography process in which a focused laser beam is scanned through a photoresist, is proposed. The simulation flow includes focusing of Gaussian beams, photoresist exposure, free-radical polymerization chemistry of the photoresist, and photoresist development. We applied the simulation method to investigate the scaling of feature sizes or linewidths for a varying number of exposure cycles at a total constant exposure dose. Experimental results from literature demonstrate that exposing the photoresist over multiple exposure cycles causes a reduction in linewidths. We explore possible reasons for this phenomenon and conclude that radical losses occurring between subsequent exposures provide a possible explanation of the observed effects. Furthermore, we apply the developed simulation method to analyze lithographic structures that were fabricated by a combination of LDWL and nanoimprint lithography. The simulation results agree with the experimental tendencies of a reduced likelihood of overexposures with an increase in the number of exposure cycles.

  7. Jet behaviors and ejection mode recognition of electrohydrodynamic direct-write

    Science.gov (United States)

    Zheng, Jianyi; Zhang, Kai; Jiang, Jiaxin; Wang, Xiang; Li, Wenwang; Liu, Yifang; Liu, Juan; Zheng, Gaofeng

    2018-01-01

    By introducing image recognition and micro-current testing, jet behavior research was conducted, in which the real-time recognition of ejection mode was realized. To study the factors influencing ejection modes and the current variation trends under different modes, an Electrohydrodynamic Direct-Write (EDW) system with functions of current detection and ejection mode recognition was firstly built. Then a program was developed to recognize the jet modes. As the voltage applied to the metal tip increased, four jet ejection modes in EDW occurred: droplet ejection mode, Taylor cone ejection mode, retractive ejection mode and forked ejection mode. In this work, the corresponding relationship between the ejection modes and the effect on fiber deposition as well as current was studied. The real-time identification of ejection mode and detection of electrospinning current was realized. The results in this paper are contributed to enhancing the ejection stability, providing a good technical basis to produce continuous uniform nanofibers controllably.

  8. Direct writing of fiber optic components in photonic crystal fibers and other specialty fibers

    Science.gov (United States)

    Fernandes, Luis Andre; Sezerman, Omur; Best, Garland; Ng, Mi Li; Kane, Saidou

    2016-04-01

    Femtosecond direct laser writing has recently shown great potential for the fabrication of complex integrated devices in the cladding of optical fibers. Such devices have the advantage of requiring no bulk optical components and no breaks in the fiber path, thus reducing the need for complicated alignment, eliminating contamination, and increasing stability. This technology has already found applications using combinations of Bragg gratings, interferometers, and couplers for the fabrication of optical filters, sensors, and power monitors. The femtosecond laser writing method produces a local modification of refractive index through non-linear absorption of the ultrafast laser pulses inside the dielectric material of both the core and cladding of the fiber. However, fiber geometries that incorporate air or hollow structures, such as photonic crystal fibers (PCFs), still present a challenge since the index modification regions created by the writing process cannot be generated in the hollow regions of the fiber. In this work, the femtosecond laser method is used together with a pre-modification method that consists of partially collapsing the hollow holes using an electrical arc discharge. The partial collapse of the photonic band gap structure provides a path for femtosecond laser written waveguides to couple light from the core to the edge of the fiber for in-line power monitoring. This novel approach is expected to have applications in other specialty fibers such as suspended core fibers and can open the way for the integration of complex devices and facilitate miniaturization of optical circuits to take advantage of the particular characteristics of the PCFs.

  9. Direct writing of conductive silver micropatterns on flexible polyimide film by laser-induced pyrolysis of silver nanoparticle-dispersed film

    International Nuclear Information System (INIS)

    Aminuzzaman, Mohammod; Watanabe, Akira; Miyashita, Tokuji

    2010-01-01

    This article describes fabrication of Ag micropatterns on a flexible polyimide (PI) film by laser direct writing using an Ag nanoparticle-dispersed film as a precursor. Ag micropatterns are characterized by optical microscopy, atomic force microscopy (AFM), field emission scanning electron microscopy (FE-SEM), surface profilometry, and resistivity measurements. The line width of Ag micropatterns can be effectively controlled by altering the experimental parameters of laser direct writing especially laser intensity, objective lens, and laser beam scanning speed etc. Using an objective lens of 100x and laser intensity of 170.50 kW/cm 2 , Ag micropatterns with a line width of about 6 μm have been achieved. The Ag micropatterns show strong adhesion to polyimide surface as evaluated by Scotch-tape test. The resistivity of the Ag micropatterns is determined to be 4.1 x 10 -6 Ω cm using two-point probe method. This value is comparable with the resistivity of bulk Ag (1.6 x 10 -6 Ω cm).

  10. Generating size-controlled embryoid bodies using laser direct-write

    International Nuclear Information System (INIS)

    Dias, A D; Corr, D T; Unser, A M; Xie, Y; Chrisey, D B

    2014-01-01

    Embryonic stem cells (ESCs) have the potential to self-renew and differentiate into any specialized cell type. One common method to differentiate ESCs in vitro is through embryoid bodies (EBs), three-dimensional cellular aggregates that spontaneously self-assemble and generally express markers for the three germ layers, endoderm, ectoderm, and mesoderm. It has been previously shown that both EB size and 2D colony size each influence differentiation. We hypothesized that we could control the size of the EB formed by mouse ESCs (mESCs) by using a cell printing method, laser direct-write (LDW), to control both the size of the initial printed colony and the local cell density in printed colonies. After printing mESCs at various printed colony sizes and printing densities, two-way ANOVAs indicated that the EB diameter was influenced by printing density after three days (p = 0.0002), while there was no effect of the printed colony diameter on the EB diameter at the same timepoint (p = 0.74). There was no significant interaction between these two factors. Tukey's honestly significant difference test showed that high-density colonies formed significantly larger EBs, suggesting that printed mESCs quickly aggregate with nearby cells. Thus, EBs can be engineered to a desired size by controlling printing density, which will influence the design of future differentiation studies. Herein, we highlight the capacity of LDW to control the local cell density and colony size independently, at prescribed spatial locations, potentially leading to better stem cell maintenance and directed differentiation. (paper)

  11. Direct cell writing of 3D microorgan for in vitro pharmacokinetic model.

    Science.gov (United States)

    Chang, Robert; Nam, Jae; Sun, Wei

    2008-06-01

    A novel targeted application of tissue engineering is the development of an in vitro pharmacokinetic model for drug screening and toxicology. An in vitro pharmacokinetic model is needed to realistically and reliably predict in vivo human response to drug administrations and potential toxic exposures. This paper details the fabrication process development and adaptation of microfluidic devices for the creation of such a physiologically relevant pharmacokinetic model. First, an automated syringe-based, layered direct cell writing (DCW) bioprinting process creates a 3D microorgan that biomimics the cell's natural microenvironment with enhanced functionality. Next, soft lithographic micropatterning techniques are used to fabricate a microscale in vitro device to house the 3D microorgan. This paper demonstrates the feasibility of the DCW process for freeform biofabrication of 3D cell-encapsulated hydrogel-based tissue constructs with defined reproducible patterns, direct integration of 3D constructs onto a microfluidic device for continuous perfusion drug flow, and characterization of 3D tissue constructs with predictable cell viability/proliferation outcomes and enhanced functionality over traditional culture methods.

  12. The Effects of Teacher Directed Writing Instruction Combined with SOLO Literacy Suite

    Science.gov (United States)

    Park, Y.; Ambrose, G.; Coleman, M. B.; Moore, T. C.

    2017-01-01

    The purpose of this study was to examine the effectiveness of an intervention in which teacher-led instruction was combined with computerized writing software to improve paragraph writing for three middle school students with intellectual disability. A multiple probe across participants design was used to evaluate the effectiveness of the…

  13. Balancing Self-Directed Learning with Expert Mentoring: The Science Writing Heuristic Approach

    Science.gov (United States)

    Shelley, Mack; Fostvedt, Luke; Gonwa-Reeves, Christopher; Baenziger, Joan; McGill, Michael; Seefeld, Ashley; Hand, Brian; Therrien, William; Taylor, Jonte; Villanueva, Mary Grace

    2012-01-01

    This study focuses on the implementation of the Science Writing Heuristic (SWH) curriculum (Hand, 2007), which combines current understandings of learning as a cognitive and negotiated process with the techniques of argument-based inquiry, critical thinking skills, and writing to strengthen student outcomes. Success of SWH is dependent on the…

  14. High-throughput fabrication of micrometer-sized compound parabolic mirror arrays by using parallel laser direct-write processing

    International Nuclear Information System (INIS)

    Yan, Wensheng; Gu, Min; Cumming, Benjamin P

    2015-01-01

    Micrometer-sized parabolic mirror arrays have significant applications in both light emitting diodes and solar cells. However, low fabrication throughput has been identified as major obstacle for the mirror arrays towards large-scale applications due to the serial nature of the conventional method. Here, the mirror arrays are fabricated by using a parallel laser direct-write processing, which addresses this barrier. In addition, it is demonstrated that the parallel writing is able to fabricate complex arrays besides simple arrays and thus offers wider applications. Optical measurements show that each single mirror confines the full-width at half-maximum value to as small as 17.8 μm at the height of 150 μm whilst providing a transmittance of up to 68.3% at a wavelength of 633 nm in good agreement with the calculation values. (paper)

  15. Laser Direct Write micro-fabrication of large area electronics on flexible substrates

    International Nuclear Information System (INIS)

    Zacharatos, F.; Makrygianni, M.; Geremia, R.; Biver, E.; Karnakis, D.; Leyder, S.; Puerto, D.; Delaporte, P.; Zergioti, I.

    2016-01-01

    Highlights: • Laser Direct Writing of metallic patterns with a minimum feature size of 1 μm. • Selective Laser Ablation of 50 nm thick metal films on flexible substrates. • Selective Laser sintering resulting in an electrical resistivity of 9 μΩ cm. • Laser fabrication of interdigitated electrodes for sensor applications. - Abstract: To date, Laser Direct Write (LDW) techniques, such as Laser Induced Forward Transfer (LIFT), selective laser ablation and selective laser sintering of metal nanoparticle (NP) ink layers are receiving growing attention for the printing of uniform and well-defined conductive patterns with resolution down to 10 μm. For flexible substrates in particular, selective laser sintering of such NP patterns has been widely applied, as a low temperature and high resolution process compatible with large area electronics. In this work, LDW of silver NP inks has been carried out on polyethylene-terephthalate (PET), polyethylene-naphthalate (PEN) and polyimide (PI) substrates to achieve low electrical resistivity electrodes. In more detail, high speed short pulsed (picosecond and nanosecond) lasers with repetition rates up to 1 MHz were used to print (LIFT) metal NP inks. We thus achieved uniform and continuous patterns with a minimum feature size of 1 μm and a total footprint larger than 1 cm"2. Next, the printed patterns were laser sintered with ns pulses at 532 nm over a wide laser fluence window, resulting in an electrical resistivity of 10 μΩ cm. We carried out spatial beam shaping experiments to achieve a top-hat laser intensity profile and employed selective laser ablation of thin films (thickness on the order of 100 nm) to produce silver micro-electrodes with a resolution on the order of 10 μm and a low line edge roughness. Laser sintering was combined with laser ablation to constitute a fully autonomous micro-patterning technique of metallic micro-features, with a 10 μm resolution and geometrical characteristics tuned for

  16. Laser Direct Write micro-fabrication of large area electronics on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Zacharatos, F.; Makrygianni, M. [National Technical University of Athens, Physics Department, Zografou Campus, 15780 (Greece); Geremia, R.; Biver, E.; Karnakis, D. [Oxford Lasers Ltd, Unit 8 Moorbrook Park, Oxfordshire OX11 7HP (United Kingdom); Leyder, S.; Puerto, D.; Delaporte, P. [Aix-Marseille University, CNRS, LP3 – UMR 7341, 13288 Marseille Cedex 9 (France); Zergioti, I., E-mail: zergioti@central.ntua.gr [National Technical University of Athens, Physics Department, Zografou Campus, 15780 (Greece)

    2016-06-30

    Highlights: • Laser Direct Writing of metallic patterns with a minimum feature size of 1 μm. • Selective Laser Ablation of 50 nm thick metal films on flexible substrates. • Selective Laser sintering resulting in an electrical resistivity of 9 μΩ cm. • Laser fabrication of interdigitated electrodes for sensor applications. - Abstract: To date, Laser Direct Write (LDW) techniques, such as Laser Induced Forward Transfer (LIFT), selective laser ablation and selective laser sintering of metal nanoparticle (NP) ink layers are receiving growing attention for the printing of uniform and well-defined conductive patterns with resolution down to 10 μm. For flexible substrates in particular, selective laser sintering of such NP patterns has been widely applied, as a low temperature and high resolution process compatible with large area electronics. In this work, LDW of silver NP inks has been carried out on polyethylene-terephthalate (PET), polyethylene-naphthalate (PEN) and polyimide (PI) substrates to achieve low electrical resistivity electrodes. In more detail, high speed short pulsed (picosecond and nanosecond) lasers with repetition rates up to 1 MHz were used to print (LIFT) metal NP inks. We thus achieved uniform and continuous patterns with a minimum feature size of 1 μm and a total footprint larger than 1 cm{sup 2}. Next, the printed patterns were laser sintered with ns pulses at 532 nm over a wide laser fluence window, resulting in an electrical resistivity of 10 μΩ cm. We carried out spatial beam shaping experiments to achieve a top-hat laser intensity profile and employed selective laser ablation of thin films (thickness on the order of 100 nm) to produce silver micro-electrodes with a resolution on the order of 10 μm and a low line edge roughness. Laser sintering was combined with laser ablation to constitute a fully autonomous micro-patterning technique of metallic micro-features, with a 10 μm resolution and geometrical characteristics tuned for

  17. Direct writing and electro-mechanical characterization of Ag micro-patterns on polymer substrates for flexible electronics

    International Nuclear Information System (INIS)

    Torres Arango, Maria A.; Cokeley, Anna M.; Beard, Jared J.; Sierros, Konstantinos A.

    2015-01-01

    There is currently a great interest in developing flexible electrodes. Such components are used in most electronic devices from displays to solar cells to flexible sensors. To date most of them are fabricated using expensive vacuum techniques, and are based on transparent conducting oxides. These oxides are not entirely compatible with flexible substrates under the application of mechanical stresses, due to their brittle nature. Therefore, there is a need to explore novel low-cost, large-area fabrication methods to deposit alternative conducting materials with enhanced electro-mechanical performance. This work focuses on Ag patterns fabricated at low temperatures (below 150 °C) on flexible polyethylene naphthalate utilizing a robotic printing approach. Such lithography-free method minimizes material waste by printing exact amounts of inks on digitally predefined locations. Additionally, it allows a broad feature size range, from a few μm to a few mm, and a variety of ink viscosities for better pattern control. We investigate the synthesis and direct writing of Ag particle-based inks, patterned-on-flex as lines and grids in the μm scale. We report on a high-yield ink synthesis method (~ 61.6%) with controlled particle size. It is found that the electrical resistivity (1.75 ∗ 10"−"4 Ω cm) of the patterns is in the same range with similar particle-based conductive components. The correlation between annealing temperature, microstructural evolution, and electrical performance is established. Also, the optical transmittance of the patterns can be controlled to meet specific application requirements by regulating the substrate surface area covered. Finally, the mechanical behavior under both monotonic and cyclic conditions shows a superior performance compared to brittle counterparts and underlines the potential of such metallic micro-patterns to be utilized in a wide range of flexible electronic applications. It is believed that direct writing of Ag patterns on

  18. Direct writing and electro-mechanical characterization of Ag micro-patterns on polymer substrates for flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Torres Arango, Maria A.; Cokeley, Anna M.; Beard, Jared J.; Sierros, Konstantinos A., E-mail: kostas.sierros@mail.wvu.edu

    2015-12-01

    There is currently a great interest in developing flexible electrodes. Such components are used in most electronic devices from displays to solar cells to flexible sensors. To date most of them are fabricated using expensive vacuum techniques, and are based on transparent conducting oxides. These oxides are not entirely compatible with flexible substrates under the application of mechanical stresses, due to their brittle nature. Therefore, there is a need to explore novel low-cost, large-area fabrication methods to deposit alternative conducting materials with enhanced electro-mechanical performance. This work focuses on Ag patterns fabricated at low temperatures (below 150 °C) on flexible polyethylene naphthalate utilizing a robotic printing approach. Such lithography-free method minimizes material waste by printing exact amounts of inks on digitally predefined locations. Additionally, it allows a broad feature size range, from a few μm to a few mm, and a variety of ink viscosities for better pattern control. We investigate the synthesis and direct writing of Ag particle-based inks, patterned-on-flex as lines and grids in the μm scale. We report on a high-yield ink synthesis method (~ 61.6%) with controlled particle size. It is found that the electrical resistivity (1.75 ∗ 10{sup −4} Ω cm) of the patterns is in the same range with similar particle-based conductive components. The correlation between annealing temperature, microstructural evolution, and electrical performance is established. Also, the optical transmittance of the patterns can be controlled to meet specific application requirements by regulating the substrate surface area covered. Finally, the mechanical behavior under both monotonic and cyclic conditions shows a superior performance compared to brittle counterparts and underlines the potential of such metallic micro-patterns to be utilized in a wide range of flexible electronic applications. It is believed that direct writing of Ag patterns

  19. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    DEFF Research Database (Denmark)

    Zhang, Wei; Zhang, Qiang; Zhao, Meng-Qiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information...... is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10...... region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through...

  20. Laser Direct Writing of Tree-Shaped Hierarchical Cones on a Superhydrophobic Film for High-Efficiency Water Collection.

    Science.gov (United States)

    Wang, Meng; Liu, Qian; Zhang, Haoran; Wang, Chuang; Wang, Lei; Xiang, Bingxi; Fan, Yongtao; Guo, Chuan Fei; Ruan, Shuangchen

    2017-08-30

    Directional water collection has stimulated a great deal of interest because of its potential applications in the field of microfluidics, liquid transportation, fog harvesting, and so forth. There have been some bio or bioinspired structures for directional water collection, from one-dimensional spider silk to two-dimensional star-like patterns to three-dimensional Nepenthes alata. Here we present a simple way for the accurate design and highly controllable driving of tiny droplets: by laser direct writing of hierarchical patterns with modified wettability and desired geometry on a superhydrophobic film, the patterned film can precisely and directionally drive tiny water droplets and dramatically improve the efficiency of water collection with a factor of ∼36 compared with the original superhydrophobic film. Such a patterned film might be an ideal platform for water collection from humid air and for planar microfluidics without tunnels.

  1. Direct UV-written broadband directional broadband planar waveguide couplers

    DEFF Research Database (Denmark)

    Olivero, Massimo; Svalgaard, Mikael

    2005-01-01

    We report the fabrication of broadband directional couplers by direct UV-writing. The fabrication process is shown to be beneficial, robust and flexible. The components are compact and show superior performance in terms of loss and broadband operation.......We report the fabrication of broadband directional couplers by direct UV-writing. The fabrication process is shown to be beneficial, robust and flexible. The components are compact and show superior performance in terms of loss and broadband operation....

  2. Effect of D2 outdiffusion on direct writing of optical waveguides

    DEFF Research Database (Denmark)

    Svalgaard, Mikael

    1999-01-01

    It is shown that the performance of UV written waveguides can be influenced strongly by the outdiffusion of molecular deuterium during fabrication. By cooling the sample to -33 °C, the time available for UV writing may be increased to > 10 h, compared to ~15 min at room temperature.......It is shown that the performance of UV written waveguides can be influenced strongly by the outdiffusion of molecular deuterium during fabrication. By cooling the sample to -33 °C, the time available for UV writing may be increased to > 10 h, compared to ~15 min at room temperature....

  3. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  4. EXPLICIT PLANNING FOR PARAGRAPH WRITING CLASS

    Directory of Open Access Journals (Sweden)

    Lestari Setyowati

    2017-11-01

    Full Text Available The purpose of the study is to improve the students writing ability for paragraph writing class. The subjects of the study were 37 students of English Education Study Program who joined the paragraph writing class. The design of the study was Classroom Action Research with two cycles. Cycle 1 consisted of three meetings, and cycle 2 consisted of two meetings. The types of explicit planning used in the action research were word listing and word mapping with phrases and sentence for detail.  The instruments used were direct writing test, observation, and  documentation of students’ reflective essay. To score the students’ writing, two raters  were asked to rate the composition by using Jacobs ESL Composition profile scoring rubric. The finding shows that the use of explicit planning was able to improve the students’ paragraph writing performance, indicated with the achievement of the criteria of success. The students’ mean improved from cycle 1 (74.62  to cycle2 (76.78. Although explicit planning instruction was able to help the students to write better, data from their self-reflection essay showed that many of the students preferred to use free writing instead of explicit planning instruction.

  5. Simultaneous measurement of temperature and tensile loading using superstructure FBGs developed by laser direct writing of periodic on-fiber metallic films

    International Nuclear Information System (INIS)

    Alemohammad, Hamidreza; Toyserkani, Ehsan

    2009-01-01

    This paper addresses the development of superstructure fiber Bragg gratings (FBGs) by laser-assisted direct writing of on-fiber metallic films. A novel laser direct write method is characterized to fabricate periodic films of silver nanoparticles on the non-planar surface of as-fabricated FBGs. Silver films with a thickness of 9 µm are fabricated around a Bragg grating optical fiber. The performance of the superstructure FBG is studied by applying temperature and tensile stress on the fiber. An opto-mechanical model is also developed to predict the optical response of the synthesized superstructure FBG under thermal and structural loadings. The results show that the reflectivity of sidebands in the reflection spectrum can be tuned up to 20% and 37% under thermal and structural loadings, respectively. In addition, the developed superstructure FBG is used for simultaneous measurement of force and temperature to eliminate the inherent limitation of regular FBGs in multi-parameter sensing

  6. Laser direct writing of thin-film copper structures as a modification of lithographic processes

    International Nuclear Information System (INIS)

    Meyer, F; Ostendorf, A; Stute, U

    2007-01-01

    This paper presents a flexible, mask-free and efficient technique for UV-laser micropatterning of photosensitive resist by laser direct writing (LDW). Photo resist spun on gold sputtered silicon wafers has been laser structured by a scanner guided 266nm DPSSL and electroplated. Ablation behaviour and optimum seed layer preparation in relation to parameters like pulse energy, scanning speed and number of scanned cycles and the electroplating results are discussed. The resulting adhesive strength was measured by a μ-sear device and the gold seed layer-plated copper interface investigated by SEM and EDX to explain correlation to identified bonding behaviour. Improved adhesive strength was observed with higher laser pulse energy and reduced number of cycle

  7. What is technical writing? Prolegomenon to a contextual definition

    Science.gov (United States)

    Barton, B. F.; Barton, M. S.

    1981-01-01

    The question, "What is Technical Writing?", is addressed. Interest about the stance a teacher assumes in a classroom, the orientation of textbooks, and the shape of curricula are considered. Technical writing is considered of age, definitions abound and the time is ripe for a metaperspective on the question. This analyzes pitfalls in representative definitions of technical writing suggest a direction for future inquiry.

  8. Scalable High-Performance Ultraminiature Graphene Micro-Supercapacitors by a Hybrid Technique Combining Direct Writing and Controllable Microdroplet Transfer.

    Science.gov (United States)

    Shen, Daozhi; Zou, Guisheng; Liu, Lei; Zhao, Wenzheng; Wu, Aiping; Duley, Walter W; Zhou, Y Norman

    2018-02-14

    Miniaturization of energy storage devices can significantly decrease the overall size of electronic systems. However, this miniaturization is limited by the reduction of electrode dimensions and the reproducible transfer of small electrolyte drops. This paper reports first a simple scalable direct writing method for the production of ultraminiature microsupercapacitor (MSC) electrodes, based on femtosecond laser reduced graphene oxide (fsrGO) interlaced pads. These pads, separated by 2 μm spacing, are 100 μm long and 8 μm wide. A second stage involves the accurate transfer of an electrolyte microdroplet on top of each individual electrode, which can avoid any interference of the electrolyte with other electronic components. Abundant in-plane mesopores in fsrGO induced by a fs laser together with ultrashort interelectrode spacing enables MSCs to exhibit a high specific capacitance (6.3 mF cm -2 and 105 F cm -3 ) and ∼100% retention after 1000 cycles. An all graphene resistor-capacitor (RC) filter is also constructed by combining the MSC and a fsrGO resistor, which is confirmed to exhibit highly enhanced performance characteristics. This new hybrid technique combining fs laser direct writing and precise microdroplet transfer easily enables scalable production of ultraminiature MSCs, which is believed to be significant for practical application of micro-supercapacitor microelectronic systems.

  9. Direct writing of half-meter long CNT based fiber for flexible electronics.

    Science.gov (United States)

    Huang, Sihan; Zhao, Chunsong; Pan, Wei; Cui, Yi; Wu, Hui

    2015-03-11

    Rapid construction of flexible circuits has attracted increasing attention according to its important applications in future smart electronic devices. Herein, we introduce a convenient and efficient "writing" approach to fabricate and assemble ultralong functional fibers as fundamental building blocks for flexible electronic devices. We demonstrated that, by a simple hand-writing process, carbon nanotubes (CNTs) can be aligned inside a continuous and uniform polymer fiber with length of more than 50 cm and diameters ranging from 300 nm to several micrometers. The as-prepared continuous fibers exhibit high electrical conductivity as well as superior mechanical flexibility (no obvious conductance increase after 1000 bending cycles to 4 mm diameter). Such functional fibers can be easily configured into designed patterns with high precision according to the easy "writing" process. The easy construction and assembly of functional fiber shown here holds potential for convenient and scalable fabrication of flexible circuits in future smart devices like wearable electronics and three-dimensional (3D) electronic devices.

  10. Direct Laser Writing of Low-Density Interdigitated Foams for Plasma Drive Shaping [Direct Laser Writing of Low Density Nanostitched Foams for Plasma Drive Shaping

    International Nuclear Information System (INIS)

    Oakdale, James S.; Smith, Raymond F.; Forien, Jean-Baptiste; Smith, William L.; Ali, Suzanne J.

    2017-01-01

    Monolithic porous bulk materials have many promising applications ranging from energy storage and catalysis to high energy density physics. High resolution additive manufacturing techniques, such as direct laser writing via two photon polymerization (DLW-TPP), now enable the fabrication of highly porous microlattices with deterministic morphology control. In this work, DLW-TPP is used to print millimeter-sized foam reservoirs (down to 0.06 g cm –3 ) with tailored density-gradient profiles, where density is varied by over an order of magnitude (for instance from 0.6 to 0.06 g cm –3 ) along a length of <100 µm. Taking full advantage of this technology, however, is a multiscale materials design problem that requires detailed understanding of how the different length scales, from the molecular level to the macroscopic dimensions, affect each other. The design of these 3D-printed foams is based on the brickwork arrangement of 100 × 100 × 16 µm 3 log-pile blocks constructed from sub-micrometer scale features. A block-to-block interdigitated stitching strategy is introduced for obtaining high density uniformity at all length scales. Lastly, these materials are used to shape plasma-piston drives during ramp-compression of targets under high energy density conditions created at the OMEGA Laser Facility.

  11. Relating beliefs in writing skill malleability to writing performance: The mediating role of achievement goals and self-efficacy

    Directory of Open Access Journals (Sweden)

    Teresa Limpo

    2017-10-01

    Full Text Available It is well established that students’ beliefs in skill malleability influence their academic performance. Specifically, thinking of ability as an incremental (vs. fixed trait is associated with better outcomes. Though this was shown across many domains, little research exists into these beliefs in the writing domain and into the mechanisms underlying their effects on writing performance. The aim of this study was twofold: to gather evidence on the validity and reliability of instruments to measure beliefs in skill malleability, achievement goals, and self-efficacy in writing; and to test a path-analytic model specifying beliefs in writing skill malleability to influence writing performance, via goals and self-efficacy. For that, 196 Portuguese students in Grades 7-8 filled in the instruments and wrote an opinion essay that was assessed for writing performance. Confirmatory factor analyses supported instruments’ validity and reliability. Path analysis revealed direct effects from beliefs in writing skill malleability to mastery goals (ß = .45; from mastery goals to self-efficacy for conventions, ideation, and self-regulation (ß = .27, .42, and .42, respectively; and from self-efficacy for self-regulation to writing performance (ß = .16; along with indirect effects from beliefs in writing skill malleability to self-efficacy for self-regulation via mastery goals (ß = .19, and from mastery goals to writing performance via self-efficacy for self-regulation (ß = .07. Overall, students’ mastery goals and self-efficacy for self-regulation seem to be key factors underlying the link between beliefs in writing skill malleability and writing performance. These findings highlight the importance of attending to motivation-related components in the teaching of writing.

  12. Fully Packaged Carbon Nanotube Supercapacitors by Direct Ink Writing on Flexible Substrates.

    Science.gov (United States)

    Chen, Bolin; Jiang, Yizhou; Tang, Xiaohui; Pan, Yayue; Hu, Shan

    2017-08-30

    The ability to print fully packaged integrated energy storage components (e.g., supercapacitors) is of critical importance for practical applications of printed electronics. Due to the limited variety of printable materials, most studies on printed supercapacitors focus on printing the electrode materials but rarely the full-packaged cell. This work presents for the first time the printing of a fully packaged single-wall carbon nanotube-based supercapacitor with direct ink writing (DIW) technology. Enabled by the developed ink formula, DIW setup, and cell architecture, the whole printing process is mask free, transfer free, and alignment free with precise and repeatable control on the spatial distribution of all constituent materials. Studies on cell design show that a wider electrode pattern and narrower gap distance between electrodes lead to higher specific capacitance. The as-printed fully packaged supercapacitors have energy and power performances that are among the best in recently reported planar carbon-based supercapacitors that are only partially printed or nonprinted.

  13. CO2 laser direct writing of silver lines on epoxy resin from solid film

    International Nuclear Information System (INIS)

    Liu, J.G.; Chen, C.H.; Zheng, J.S.; Huang, J.Y.

    2005-01-01

    A technique of CO 2 laser direct writing from solid film was proposed in this paper. Patterns of silver lines were locally deposited on the non-conductive substrate using a preset layer of silver compound solid film, which was irradiated by focused CO 2 laser beam. The deposits were analyzed by XPS and EPMA. Results showed that metallic silver was dominant with an even distribution on the surface of the substrate, and part of the deposited silver had diffused into the substrate interior. The deposits had catalytic activity for the further electroless copper plating and had strong adhesion to the substrate. At last, the deposition mechanism and the dependence of the width of silver lines on the laser power and scan speed were roughly explored

  14. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  15. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  16. A synthesis of mathematics writing: Assessments, interventions, and surveys

    Directory of Open Access Journals (Sweden)

    Sarah R. Powell

    2017-02-01

    Full Text Available Mathematics standards in the United States describe communication as an essential part of mathematics. One outlet for communication is writing. To understand the mathematics writing of students, we conducted a synthesis to evaluate empirical research about mathematics writing. We identified 29 studies that included a mathematics-writing assessment, intervention, or survey for students in 1st through 12th grade. All studies were published between 1991 and 2015. The majority of assessments required students to write explanations to mathematical problems, and fewer than half scored student responses according to a rubric. Approximately half of the interventions involved the use of mathematics journals as an outlet for mathematics writing. Few intervention studies provided explicit direction on how to write in mathematics, and a small number of investigations provided statistical evidence of intervention efficacy. From the surveys, the majority of students expressed enjoyment when writing in mathematics settings but teachers reported using mathematics writing rarely. Across studies, findings indicate mathematics writing is used for a variety of purposes, but the quality of the studies is variable and more empirical research is needed.

  17. Direct laser writing of auxetic structures: present capabilities and challenges

    International Nuclear Information System (INIS)

    Hengsbach, Stefan; Lantada, Andrés Díaz

    2014-01-01

    Auxetic materials (or metamaterials) are those with a negative Poisson ratio (NPR) and that display the unexpected property of lateral expansion when stretched, as well as an equal and opposing densification when compressed. Such geometries are being progressively employed in the development of novel products, especially in the fields of intelligent expandable actuators, shape morphing structures and minimally invasive implantable devices. Although several micromanufacturing technologies have already been applied to the development of auxetic geometries and devices, additional precision is needed to take full advantage of their special mechanical properties. In this study we present a very promising approach for the development of auxetic metamaterials and devices based on the use of direct laser writing. The process stands out for its precision and complex three-dimensional (3D) geometries attainable without the need of supporting structures. To our knowledge it represents one of the first examples of the application of this technology to the manufacture of auxetic geometries and mechanical metamaterials, with details even more remarkable than those shown in very recent studies, almost reaching the current limit of this additive manufacturing technology. We have used some special 3D auxetic designs whose remarkable NPR has been previously highlighted. (paper)

  18. Recognizing Textual Entailment with Attentive Reading and Writing Operations

    DEFF Research Database (Denmark)

    Liu, Liang; Huo, Huan; Liu, Xiufeng

    2018-01-01

    -range dependency. In this paper, we propose to facilitate the conventional attentive reading operations with two sophisticated writing operations - forget and update. Instead of utilizing a single vector that accommodates the attention history, we write the past attention information directly into the sentence...

  19. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  20. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  1. Direct laser writing of synthetic poly(amino acid) hydrogels and poly(ethylene glycol) diacrylates by two-photon polymerization

    Energy Technology Data Exchange (ETDEWEB)

    Käpylä, Elli, E-mail: elli.kapyla@tut.fi [Department of Electronics and Communications Engineering, Tampere University of Technology, P.O. Box 692, 33101 Tampere (Finland); BioMediTech, Biokatu 10, 33520 Tampere (Finland); Sedlačík, Tomáš [Institute of Macromolecular Chemistry of the Academy of Sciences of the Czech Republic, Heyrovského nám. 2, 162 06 Praha 6, Břevnov, Prague (Czech Republic); Aydogan, Dogu Baran [Department of Electronics and Communications Engineering, Tampere University of Technology, P.O. Box 692, 33101 Tampere (Finland); BioMediTech, Biokatu 10, 33520 Tampere (Finland); Viitanen, Jouko [VTT Technical Research Centre of Finland, P.O. Box 1300, 33101 Tampere (Finland); Rypáček, František [Institute of Macromolecular Chemistry of the Academy of Sciences of the Czech Republic, Heyrovského nám. 2, 162 06 Praha 6, Břevnov, Prague (Czech Republic); Kellomäki, Minna [Department of Electronics and Communications Engineering, Tampere University of Technology, P.O. Box 692, 33101 Tampere (Finland); BioMediTech, Biokatu 10, 33520 Tampere (Finland)

    2014-10-01

    The additive manufacturing technique of direct laser writing by two-photon polymerization (2PP-DLW) enables the fabrication of three-dimensional microstructures with superior accuracy and flexibility. When combined with biomimetic hydrogel materials, 2PP-DLW can be used to recreate the microarchitectures of the extracellular matrix. However, there are currently only a limited number of hydrogels applicable for 2PP-DLW. In order to widen the selection of synthetic biodegradable hydrogels, in this work we studied the 2PP-DLW of methacryloylated and acryloylated poly(α-amino acid)s (poly(AA)s). The performance of these materials was compared to widely used poly(ethylene glycol) diacrylates (PEGdas) in terms of polymerization and damage thresholds, voxel size, line width, post-polymerization swelling and deformation. We found that both methacryloylated and acryloylated poly(AA) hydrogels are suitable to 2PP-DLW with a wider processing window than PEGdas. The poly(AA) with the highest degree of acryloylation showed the greatest potential for 3D microfabrication. - Highlights: • Methacryloylated and acryloylated poly(α-amino acid)s (poly(AA)s) were synthesized. • Direct laser writing by two-photon polymerization (2PP-DLW) of poly(AA)s is shown. • Poly(AA)s have wider processing windows than poly(ethylene glycol) diacrylates. • 3D poly(AA) structures with 80% water content were fabricated.

  2. Direct Metal Writing and Precise Positioning of Gold Nanoparticles within Microfluidic Channels for SERS Sensing of Gaseous Analytes.

    Science.gov (United States)

    Lee, Mian Rong; Lee, Hiang Kwee; Yang, Yijie; Koh, Charlynn Sher Lin; Lay, Chee Leng; Lee, Yih Hong; Phang, In Yee; Ling, Xing Yi

    2017-11-15

    We demonstrate a one-step precise direct metal writing of well-defined and densely packed gold nanoparticle (AuNP) patterns with tunable physical and optical properties. We achieve this by using two-photon lithography on a Au precursor comprising poly(vinylpyrrolidone) (PVP) and ethylene glycol (EG), where EG promotes higher reduction rates of Au(III) salt via polyol reduction. Hence, clusters of monodisperse AuNP are generated along raster scanning of the laser, forming high-particle-density, well-defined structures. By varying the PVP concentration, we tune the AuNP size from 27.3 to 65.0 nm and the density from 172 to 965 particles/μm 2 , corresponding to a surface roughness of 12.9 to 67.1 nm, which is important for surface-based applications such as surface-enhanced Raman scattering (SERS). We find that the microstructures exhibit an SERS enhancement factor of >10 5 and demonstrate remote writing of well-defined Au microstructures within a microfluidic channel for the SERS detection of gaseous molecules. We showcase in situ SERS monitoring of gaseous 4-methylbenzenethiol and real-time detection of multiple small gaseous species with no specific affinity to Au. This one-step, laser-induced fabrication of AuNP microstructures ignites a plethora of possibilities to position desired patterns directly onto or within most surfaces for the future creation of multifunctional lab-on-a-chip devices.

  3. Direct laser writing of synthetic poly(amino acid) hydrogels and poly(ethylene glycol) diacrylates by two-photon polymerization

    International Nuclear Information System (INIS)

    Käpylä, Elli; Sedlačík, Tomáš; Aydogan, Dogu Baran; Viitanen, Jouko; Rypáček, František; Kellomäki, Minna

    2014-01-01

    The additive manufacturing technique of direct laser writing by two-photon polymerization (2PP-DLW) enables the fabrication of three-dimensional microstructures with superior accuracy and flexibility. When combined with biomimetic hydrogel materials, 2PP-DLW can be used to recreate the microarchitectures of the extracellular matrix. However, there are currently only a limited number of hydrogels applicable for 2PP-DLW. In order to widen the selection of synthetic biodegradable hydrogels, in this work we studied the 2PP-DLW of methacryloylated and acryloylated poly(α-amino acid)s (poly(AA)s). The performance of these materials was compared to widely used poly(ethylene glycol) diacrylates (PEGdas) in terms of polymerization and damage thresholds, voxel size, line width, post-polymerization swelling and deformation. We found that both methacryloylated and acryloylated poly(AA) hydrogels are suitable to 2PP-DLW with a wider processing window than PEGdas. The poly(AA) with the highest degree of acryloylation showed the greatest potential for 3D microfabrication. - Highlights: • Methacryloylated and acryloylated poly(α-amino acid)s (poly(AA)s) were synthesized. • Direct laser writing by two-photon polymerization (2PP-DLW) of poly(AA)s is shown. • Poly(AA)s have wider processing windows than poly(ethylene glycol) diacrylates. • 3D poly(AA) structures with 80% water content were fabricated

  4. 5 nm structures produced by direct laser writing

    International Nuclear Information System (INIS)

    Pavel, E; Jinga, S; Andronescu, E; Vasile, B S; Rotiu, E; Ionescu, L; Mazilu, C

    2011-01-01

    Here we present a new approach to overcome the optical diffraction limit by using novel materials. In the paper, we report experimental results obtained by high-resolution transmission electron microscopy (HRTEM) and optical absorption spectroscopy, for a fluorescent photosensitive glass-ceramic containing rare-earth ions such as samarium (Sm). Using a home built dynamic tester, with a low power laser, we recorded nanostructures having 5 nm line widths. In the line structure, measurements reveal the presence of silver nanocrystals with few nanometre sizes. HRTEM shows that there is a random orientation of the nanocrystals. A writing mechanism with three steps is proposed.

  5. Teaching Science Writing in an Introductory Lab Course

    Science.gov (United States)

    Holstein, Sarah E.; Mickley Steinmetz, Katherine R.; Miles, John D.

    2015-01-01

    One challenge that many neuroscience instructors face is how to teach students to communicate within the field. The goal of this project was to improve students’ scientific writing in an introductory psychology laboratory course that serves as a feeder course into the neuroscience curriculum. This course included a scaffolded approach - breaking assignments into different sections that build upon each other to allow for more direction and feedback on each section. Students were also provided with examples of scientific writing, given direction on finding and reading journal articles, and were taught how to effectively peer review a paper. Research papers were assessed before (Year 1) and after (Year 2) this scaffolded approach was instituted. The assessment included measures of “Genre Knowledge” for each section of a research paper (abstract, introduction, method, results, discussion) as well as measures of “Writing Elements” (grammar, formatting, clarity, transitions, building to the hypothesis, using evidence). The results indicated that there was an improvement for Genre Knowledge scores when comparing Year 1 to Year 2. However, there was no systematic improvement in Writing Elements. This suggests that this teaching technique was most effective in improving students’ ability to write within the scientific genre. The logistics of implementing such an approach are discussed. PMID:25838801

  6. 'Hopeful' directions for writing centres in South Africa: From safe ...

    African Journals Online (AJOL)

    Kate H

    discuss the theory of the transitional space, which resonates with Delpit's conclusions and which explicitly ... Africa is focused by the memory of the lump of concrete that shattered one of the Wits Writing. Centre windows last ..... racialised culture. Now I think that we must include a focus on the dynamics of racialised power.

  7. Directed Self-Inquiry: A Scaffold for Teaching Laboratory Report Writing

    Science.gov (United States)

    Deiner, L. Jay; Newsome, Daniel; Samaroo, Diana

    2012-01-01

    A scaffold was created for the explicit instruction of laboratory report writing. The scaffold breaks the laboratory report into sections and teaches students to ask and answer questions in order to generate section-appropriate content and language. Implementation of the scaffold is done through a series of section-specific worksheets that are…

  8. Real-time capture of student reasoning while writing

    Science.gov (United States)

    Franklin, Scott V.; Hermsen, Lisa M.

    2014-12-01

    We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, "S notation," is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews) into student reasoning during the writing process.

  9. Sub-10-nm suspended nano-web formation by direct laser writing

    Science.gov (United States)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  10. Printing of highly conductive solution by alternating current electrohydrodynamic direct-write

    Science.gov (United States)

    Jiang, Jiaxin; Zheng, Gaofeng; Wang, Xiang; Zheng, Jianyi; Liu, Juan; Liu, Yifang; Li, Wenwang; Guo, Shumin

    2018-03-01

    Electrohydrodynamic Direct-Write (EDW) is a novel technology for the printing of micro/nano structures. In this paper, Alternating Current (AC) electrical field was introduced to improve the ejection stability of jet with highly conductive solution. By alternating the electrical field, the polarity of free charges on the surface of jet was changed and the average density of charge, as well as the repulsive force, was reduced to stabilize the jet. When the frequency of AC electrical field increased, the EDW process became more stable and the shape of deposited droplets became more regular. The diameter of printed droplets decreased and the deposition frequency increased with the increase of voltage frequency. The phenomenon of corona discharge was overcome effectively as well. To further evaluate the performance of AC EDW for highly conductive solution, more NaCl was added to the solution and the conductivity was increased to 2810μs/cm. With such high conductivity, the problem of serious corona discharge could still be prevented by AC EDW, and the diameter of printed droplets decreased significantly. This work provides an effective way to accelerate industrial applications of EDW.

  11. Comparing Technologies for Online Writing Conferences: Effects of Medium on Conversation

    Science.gov (United States)

    Wolfe, Joanna; Griffin, Jo Ann

    2012-01-01

    This study directly compares face-to-face writing center consultations with two closely related variations of Online Writing Instruction (OWI). Although the study takes place in a busy, dynamic writing center, the authors try to make their comparisons as systematic as possible so they can better foreground some of the benefits and disadvantages of…

  12. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  13. The technical writer's handbook writing with style and clarity

    CERN Document Server

    Young, Matt

    2002-01-01

    "The Technical Writer's Handbook" is by a practising scientist who screens hundreds of manuscripts each year. It is directed at scientists, engineers and others who want to improve their writing and communication. It teaches that technical writing, although it has its own special requirements, is no different from ordinary writing and should be written with short, clear sentences and in the active voice. Divided into two parts, the first part is an introduction to technical and report writing and provides a sort of prescription for writing and organizing technical papers of all kinds. The second part is written in dictionary format and contains entries on grammar, style, and organization, as well as entries on topics such as common errors, resume writing, metric units, jargon, conference proceedings, figures, tables and slides. A comprehensive list of cross-references reveals related topics quickly and easily.

  14. Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

    Directory of Open Access Journals (Sweden)

    Domagoj Belić

    2017-11-01

    Full Text Available This work presents a highly effective approach for the chemical purification of directly written 2D and 3D gold nanostructures suitable for plasmonics, biomolecule immobilisation, and nanoelectronics. Gold nano- and microstructures can be fabricated by one-step direct-write lithography process using focused electron beam induced deposition (FEBID. Typically, as-deposited gold nanostructures suffer from a low Au content and unacceptably high carbon contamination. We show that the undesirable carbon contamination can be diminished using a two-step process – a combination of optimized deposition followed by appropriate postdeposition cleaning. Starting from the common metal-organic precursor Me2-Au-tfac, it is demonstrated that the Au content in pristine FEBID nanostructures can be increased from 30 atom % to as much as 72 atom %, depending on the sustained electron beam dose. As a second step, oxygen-plasma treatment is established to further enhance the Au content in the structures, while preserving their morphology to a high degree. This two-step process represents a simple, feasible and high-throughput method for direct writing of purer gold nanostructures that can enable their future use for demanding applications.

  15. Engineering of refractive index in sulfide chalcogenide glass by direct laser writing

    KAUST Repository

    Zhang, Yaping

    2010-01-01

    Arsenic trisulfide (As2S3) glass is an interesting material for photonic integrated circuits (PICs) as infrared (IR) or nonlinear optical components. In this paper, direct laser writing was applied to engineer the refractive index of As2S3 thin film. Film samples were exposed to focused above bandgap light with wavelength at 405 nm using different fluence adjusted by laser power and exposure time. The index of refraction before and after laser irradiation was calculated by fitting the experimental data obtained from Spectroscopic Ellipsometer (SE) measurement to Tauc-Lorenz dispersion formula. A positive change in refractive index (Δn = 0.19 at 1.55 μm) as well as an enhancement in anisotropy was achieved in As2S3 film by using 10 mW, 0.3 μs laser irradiation. With further increasing the fluence, refractive index increased while anisotropic property weakened. Due to the rapid and large photo-induced modification of refractive index obtainable with high spatial resolution, this process is promising for integrated optic device fabrication.

  16. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  17. Optical lattice-like cladding waveguides by direct laser writing: fabrication, luminescence, and lasing.

    Science.gov (United States)

    Nie, Weijie; He, Ruiyun; Cheng, Chen; Rocha, Uéslen; Rodríguez Vázquez de Aldana, Javier; Jaque, Daniel; Chen, Feng

    2016-05-15

    We report on the fabrication of optical lattice-like waveguide structures in an Nd:YAP laser crystal by using direct femtosecond laser writing. With periodically arrayed laser-induced tracks, the waveguiding cores can be located in either the regions between the neighbored tracks or the central zone surrounded by a number of tracks as outer cladding. The polarization of the femtosecond laser pulses for the inscription has been found to play a critical role in the anisotropic guiding behaviors of the structures. The confocal photoluminescence investigations reveal different stress-induced modifications of the structures inscribed by different polarization of the femtosecond laser beam, which are considered to be responsible for the refractive index changes of the structures. Under optical pump at 808 nm, efficient waveguide lasing at ∼1  μm wavelength has been realized from the optical lattice-like structure, which exhibits potential applications as novel miniature light sources.

  18. Hybrid fabrication process of additive manufacturing and direct writing for a 4 X 4 mm matrix flexible tactile sensor

    Energy Technology Data Exchange (ETDEWEB)

    Woo, Sang Gu; Lee, In Hwan [Chungbuk National University, Chungju (Korea, Republic of); Lee, Kyong Chang [Pukyong National University, Busan (Korea, Republic of)

    2015-09-15

    Various machines require data from their external environments for safety and/or accuracy. In this respect, many sensors that mimic the human sensory system have been investigated. Among these, tactile sensors may be useful for obtaining data on the roughness of, and external forces acting upon, an object. Several tactile sensors have been developed; however, these are typically fabricated via a series of complex processes, and hence are unsuitable for volume manufacturing. In this paper, we report a fabrication process for a 4 X 4 mm matrix flexible sensor element using layered manufacturing and direct-write technology. A composite composed of photocurable resin and Multi-walled carbon nanotubes (MWCNTs) was used as the sensing material. The MWCNTs were mixed with the photocurable resin using ultrasonic dispersion, and the liquid mixture exhibited excellent piezoresistive properties following curing using ultraviolet light. The used photocurable resin is flexible and elastic after curing. Therefore, the composite material can be bent and deformed. To use this composite material with the flexible sensor, dispensing characteristics were examined using direct-write technology. For the acquisition of sensor data, a commercial pin-header was inserted and photocurable resin was filled up to the height of pin-header and cured . Then, the composite material was dispensed onto the pin-header as a sensing material. Using this process, a flexible sensor with piezoresistive properties was formed.

  19. Hybrid fabrication process of additive manufacturing and direct writing for a 4 X 4 mm matrix flexible tactile sensor

    International Nuclear Information System (INIS)

    Woo, Sang Gu; Lee, In Hwan; Lee, Kyong Chang

    2015-01-01

    Various machines require data from their external environments for safety and/or accuracy. In this respect, many sensors that mimic the human sensory system have been investigated. Among these, tactile sensors may be useful for obtaining data on the roughness of, and external forces acting upon, an object. Several tactile sensors have been developed; however, these are typically fabricated via a series of complex processes, and hence are unsuitable for volume manufacturing. In this paper, we report a fabrication process for a 4 X 4 mm matrix flexible sensor element using layered manufacturing and direct-write technology. A composite composed of photocurable resin and Multi-walled carbon nanotubes (MWCNTs) was used as the sensing material. The MWCNTs were mixed with the photocurable resin using ultrasonic dispersion, and the liquid mixture exhibited excellent piezoresistive properties following curing using ultraviolet light. The used photocurable resin is flexible and elastic after curing. Therefore, the composite material can be bent and deformed. To use this composite material with the flexible sensor, dispensing characteristics were examined using direct-write technology. For the acquisition of sensor data, a commercial pin-header was inserted and photocurable resin was filled up to the height of pin-header and cured . Then, the composite material was dispensed onto the pin-header as a sensing material. Using this process, a flexible sensor with piezoresistive properties was formed.

  20. Real-time capture of student reasoning while writing

    Directory of Open Access Journals (Sweden)

    Scott V. Franklin

    2014-09-01

    Full Text Available We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, “S notation,” is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews into student reasoning during the writing process.

  1. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  2. Improving Understanding in Ordinary Differential Equations through Writing in a Dynamical Environment

    Science.gov (United States)

    Habre, Samer

    2012-01-01

    Research on writing in mathematics has shown that students learn more effectively in an environment that promotes this skill and that writing is most beneficial when it is directed at the learning aspect. Writing, however, necessitates proficiency on the part of the students that may not have been developed at earlier learning stages. Research has…

  3. Students’ Problems in Writing Paraphrases in Research Paper Writing Class

    Directory of Open Access Journals (Sweden)

    Herdiansari Hayuningrum

    2017-01-01

    Full Text Available Paraphrase is one of the techniques of incorporating sources in which every writer is allowed to borrow the author’s ideas and restate them into their own words. Based on the previous study, it was found that English Language Education Study Program (ELESP students, Sanata Dharma University, were unable to paraphrase properly since they tended to copy the author’s words directly. If this problem was continuously ignored, it would be dangerous for the students because they could be charged with inadvertent plagiarism. This study was intended to investigate ELESP students’ problems in writing paraphrases and the reasons why they produce unacceptable paraphrases by conducting document analysis and interview in Research Paper Writing class. From the findings, it could be identified that the most frequent type of problem encountered by the students was word-for-word plagiarism.   DOI: https://doi.org/10.24071/llt.2012.150101

  4. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  5. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  6. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  7. The Impact of First and Second Languages on Azerbaijani EFL Learners’ Writing Ability

    Directory of Open Access Journals (Sweden)

    Mansour Shabani

    2016-01-01

    Full Text Available There has always been a debate whether or not the learners’ first language (L1 can facilitate the process of learning foreign language. Since foreign language writing (FL is a complicated process, it seems that the role of the learners’ L1 and its effect on FL writing is of great importance in this regard. The present study aimed at investigating the role of Azerbaijani EFL learners’ L1 and L2 (Persian on their FL writing. To meet the purposes of the study, 30 female EFL upper-intermediate students were selected (through running an OPT, who were native speakers of Azerbaijani, with Persian as their L2 and official language of Iranian context. The data were collected through running three writing sessions (in which the participants wrote three essays in each session using Azerbaijani as L1, Persian as L2, and direct writing using the think-aloud protocol, through which they were asked to report their thoughts loudly to record using a tape recorder. In addition, a survey was used to ask their perceptions towards each writing task. The analysis of the data obtained from the evaluation of learners’ writings indicated that the mere use of their L1 or L2 in foreign language writing was by no means helpful for them and they performed better on direct writing task in comparison with the two other ones. It was also found that the majority of the learners (70% had difficulty in generating their ideas using Azerbaijani as their L1. In addition, nearly 77% of them claimed that even in direct writing mode, they made use of their L2 (Persian on the occasions they could not find a proper word or phrase in English. As the implications of the study, it seems that the present study can bring helpful insights for both FL teachers and learners about the roles that Azerbaijani and Persian languages play as the students’ L1 and L2 in FL writing. Keywords: Writing ability, first language, second language, foreign language

  8. Laser direct writing of oxide structures on hydrogen-passivated silicon surfaces

    DEFF Research Database (Denmark)

    Müllenborn, Matthias; Birkelund, Karen; Grey, Francois

    1996-01-01

    on amorphous and crystalline silicon surfaces in order to determine the depassivation mechanism. The minimum linewidth achieved is about 450 nm using writing speeds of up to 100 mm/s. The process is fully compatible with local oxidation of silicon by scanning probe lithography. Wafer-scale patterns can...

  9. The writing process: A powerful approach for the language-disabled student.

    Science.gov (United States)

    Moulton, J R; Bader, M S

    1985-01-01

    Our understanding of the writing process can be a powerful tool for teaching language-disabled students the "how" of writing. Direct, explicit instruction in writing process helps these students learn to explore their ideas and to manage the multiple demands of writing. A case study of one student, Jeff, demonstrates how we structure the stages of writing: prewriting, planning, drafting, revising, and proofreading. When these stages are clearly defined and involve specific skills, language-disabled students can reach beyond their limitations and strengthen their expression. The case study of Jeff reveals the development of his sense of control and his regard for himself as a writer.

  10. The Role of Cognitive and Affective Factors in Measures of L2 Writing

    Science.gov (United States)

    Zabihi, Reza

    2018-01-01

    This study investigates the direct and/or indirect effects of some cognitive (working memory capacity) and affective (writing anxiety and writing self-efficacy) variables on the complexity, accuracy, and fluency (CAF) of second language (L2) learners' writings. To achieve this goal, 232 upper-intermediate English learners performed an automated…

  11. Modeling focusing characteristics of low Fnumber diffractive optical elements with continuous relief fabricated by laser direct writing.

    Science.gov (United States)

    Shan, Mingguang; Tan, Jiubin

    2007-12-10

    A theoretical model is established using Rayleigh-Sommerfeld diffraction theory to describe the diffraction focusing characteristics of low F-number diffractive optical elements with continuous relief fabricated by laser direct writing, and continuous-relief diffractive optical elements with a design wavelength of 441.6nm and a F-number of F/4 are fabricated and measured to verify the validity of the diffraction focusing model. The measurements made indicate that the spot size is 1.75mum and the diffraction efficiency is 70.7% at the design wavelength, which coincide well with the theoretical results: a spot size of 1.66mum and a diffraction efficiency of 71.2%.

  12. 48 CFR 1552.237-71 - Technical direction.

    Science.gov (United States)

    2010-10-01

    .... (d) Technical direction will be issued in writing or confirmed in writing within five (5) days after... 48 Federal Acquisition Regulations System 6 2010-10-01 2010-10-01 true Technical direction. 1552... Technical direction. As prescribed in 1537.110, insert a clause substantially the same as the following...

  13. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  14. The Tablet Inscribed: Inclusive Writing Instruction with the iPad

    Science.gov (United States)

    Sullivan, Rebecca M.

    2013-01-01

    Despite the author's initial skepticism, a classroom set of iPads has reinforced a student-directed approach to writing instruction, while also supporting an inclusive classroom. Using the iPads, students guide their writing process with access to the learning management system, electronic information resources, and an online text editor. Students…

  15. Direct ink writing of 3D conductive polyaniline structures and rheological modelling

    Science.gov (United States)

    Holness, F. Benjamin; Price, Aaron D.

    2018-01-01

    The intractable nature of conjugated polymers (CP) leads to practical limitations in the fabrication of CP-based transducers having complex three-dimensional geometries. Conventional CP device fabrication processes have focused primarily on thin-film deposition techniques; this study explores novel additive manufacturing processes specifically developed for CP with the ultimate goal of increasing the functionality of CP sensors and actuators. Herein we employ automated polymer paste extrusion processes for the direct ink writing of 3D conductive polyaniline (PANI) structures. Realization of these structures was enabled through a modified fused filament fabrication delta robot equipped with an integrated polymer paste extruder to fabricate high-resolution 3D conductive PANI structures. The required processability of PANI was achieved by means of a counterion-induced thermal doping method. The effect of thermal doping on the PANI-DBSA paste by means of a constitutive relationship to describe the paste flow as a function of the thermal doping time is explored. This relationship is incorporated within a flow model to predict the extruded track width as a function of various process parameters including: print speed, gauge pressure, nozzle diameter, and pre-extrusion thermal doping time.

  16. Mentor Texts and the Coding of Academic Writing Structures: A Functional Approach

    Directory of Open Access Journals (Sweden)

    Wilder Yesid Escobar Alméciga

    2014-10-01

    Full Text Available The purpose of the present pedagogical experience was to address the English language writing needs of university-level students pursuing a degree in bilingual education with an emphasis in the teaching of English. Using mentor texts and coding academic writing structures, an instructional design was developed to directly address the shortcomings presented through a triangulated needs analysis. Through promoting awareness of international standards of writing as well as fostering an understanding of the inherent structures of academic texts, a methodology intended to increase academic writing proficiency was explored. The study suggests that mentor texts and the coding of academic writing structures can have a positive impact on the production of students’ academic writing.

  17. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  18. Robotic extrusion processes for direct ink writing of 3D conductive polyaniline structures

    Science.gov (United States)

    Holness, F. Benjamin; Price, Aaron D.

    2016-04-01

    The intractable nature of intrinsically conductive polymers (ICP) leads to practical limitations in the fabrication of ICP-based transducers having complex three-dimensional geometries. Conventional ICP device fabrication processes have focused primarily on thin-film deposition techniques; therefore this study explores novel additive manufacturing processes specifically developed for ICP with the ultimate goal of increasing the functionality of ICP sensors and actuators. Herein we employ automated polymer paste extrusion processes for the direct ink writing of 3D conductive polyaniline (PANI) structures. Realization of these structures is enabled through a modified fused filament fabrication delta robot equipped with an integrated polymer paste extruder. This unique robot-controlled additive manufacturing platform is capable of fabricating high-resolution 3D conductive PANI and has been utilized to produce structures with a minimum feature size of 1.5 mm. The required processability of PANI is achieved by means of a counter-ion induced thermal doping method. Using this method, a viscous paste is formulated as the extrudate and a thermo-chemical treatment is applied post extrusion to finalize the complexation.

  19. Harnessing Photochemical Shrinkage in Direct Laser Writing for Shape Morphing of Polymer Sheets.

    Science.gov (United States)

    Bauhofer, Anton A; Krödel, Sebastian; Rys, Jan; Bilal, Osama R; Constantinescu, Andrei; Daraio, Chiara

    2017-11-01

    Structures that change their shape in response to external stimuli unfold possibilities for more efficient and versatile production of 3D objects. Direct laser writing (DLW) is a technique based on two-photon polymerization that allows the fabrication of microstructures with complex 3D geometries. Here, it is shown that polymerization shrinkage in DLW can be utilized to create structures with locally controllable residual stresses that enable programmable, self-bending behavior. To demonstrate this concept, planar and 3D-structured sheets are preprogrammed to evolve into bio-inspired shapes (lotus flowers and shark skins). The fundamental mechanisms that control the self-bending behavior are identified and tested with microscale experiments. Based on the findings, an analytical model is introduced to quantitatively predict bending curvatures of the fabricated sheets. The proposed method enables simple fabrication of objects with complex geometries and precisely controllable shape morphing potential, while drastically reducing the required fabrication times for producing 3D, hierarchical microstructures over large areas in the order of square centimeters. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  1. Advanced applications in microphotonics using proton beam writing

    International Nuclear Information System (INIS)

    Bettiol, A.A.; Chiam, S.Y.; Teo, E.J.; Udalagama, C.; Chan, S.F.; Hoi, S.K.; Kan, J.A. van; Breese, M.B.H.; Watt, F.

    2009-01-01

    Proton beam writing (PBW) is a powerful tool for prototyping microphotonic structures in a wide variety of materials including polymers, insulators, semiconductors and metals. Prototyping is achieved either through direct fabrication with the proton beam, or by the fabrication of a master that can be used for replication. In recent times we have explored the use of PBW for various advanced optical applications including fabrication of subwavelength metallic structures and metamaterials, direct write of silicon waveguides for mid IR applications and integrated waveguides for lab-on-a-chip devices. This paper will review the recent progress made in these areas with particular emphasis on the main advantages of using the PBW technique for these novel applications.

  2. Three-dimensional metamaterials fabricated using Proton Beam Writing

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A., E-mail: a.bettiol@nus.edu.sg [Centre for Ion Beam Applications, Department of Physics, National University of Singapore, 2 Science Dr. 3, Singapore 117542 (Singapore); Turaga, S.P.; Yan, Y.; Vanga, S.K. [Centre for Ion Beam Applications, Department of Physics, National University of Singapore, 2 Science Dr. 3, Singapore 117542 (Singapore); Chiam, S.Y. [NUS High School for Maths and Science, 20 Clementi Avenue 1, Singapore 129957 (Singapore)

    2013-07-01

    Proton Beam Writing (PBW) is a direct write lithographic technique that has recently been applied to the fabrication of three dimensional metamaterials. In this work, we show that the unique capabilities of PBW, namely the ability to fabricate arrays of high resolution, high aspect ratio microstructures in polymer or replicated into metal, is well suited to metamaterials research. We have also developed a novel method for selectively electroless plating silver directly onto polymer structures that were fabricated using PBW. This method opens up new avenues for utilizing PBW for making metamaterials and other sub-wavelength metallic structures. Several potential applications of three dimensional metamaterials fabricated using PBW are discussed, including sensing and negative refractive index materials.

  3. Fabrication and evaluation of 3D β-TCP scaffold by novel direct-write assembly method

    International Nuclear Information System (INIS)

    Sa, Min Woo; Kim, Jong Young

    2015-01-01

    Various scaffold fabrication methods have been explored to enhance the cell interaction effects and mechanical properties of scaffolds in bone regeneration. Rapid prototyping (RP) for tissue engineering is a useful technology that may provide a potential scaffolding structure to regenerate, restore, and repair a damaged bone tissue or organ, that is, RP is a promising tissue engineering technique through a 3D scaffold fabrication by using a computer-aided design/computer-aided manufacturing system. In this study, 3D β-tricalcium phosphate (β-TCP) scaffolds were fabricated by a novel direct-write assembly method. The mechanical property of β-TCP scaffolds was analyzed by stress-strain curves by using a compression testing machine. Furthermore, an in vitro CCK-8 assay of osteosarcoma MG-63 cells showed the significant cell attachment and proliferation in the β-TCP scaffold.

  4. Fabrication and evaluation of 3D β-TCP scaffold by novel direct-write assembly method

    Energy Technology Data Exchange (ETDEWEB)

    Sa, Min Woo; Kim, Jong Young [Andong National University, Andong (Korea, Republic of)

    2015-11-15

    Various scaffold fabrication methods have been explored to enhance the cell interaction effects and mechanical properties of scaffolds in bone regeneration. Rapid prototyping (RP) for tissue engineering is a useful technology that may provide a potential scaffolding structure to regenerate, restore, and repair a damaged bone tissue or organ, that is, RP is a promising tissue engineering technique through a 3D scaffold fabrication by using a computer-aided design/computer-aided manufacturing system. In this study, 3D β-tricalcium phosphate (β-TCP) scaffolds were fabricated by a novel direct-write assembly method. The mechanical property of β-TCP scaffolds was analyzed by stress-strain curves by using a compression testing machine. Furthermore, an in vitro CCK-8 assay of osteosarcoma MG-63 cells showed the significant cell attachment and proliferation in the β-TCP scaffold.

  5. Development of a Vibration-Based Electromagnetic Energy Harvester by a Conductive Direct-Write Process

    Directory of Open Access Journals (Sweden)

    Yao-Yun Feng

    2017-03-01

    Full Text Available A conductive direct-write process of multilayered coils for micro electromagnetic generators is proposed. This novel approach of using silver ink to form the conductive structures largely reduces the fabrication complexity, and it provides a faster alternative to the conventional semiconductor methods. Multi-layered coils with insulation were accurately layered on a micromachined cantilevered diaphragm by a dispenser. Coils several layers thick could be used to increase the power output and double coils were separated by a layer of insulation. Six prototypes, all capable of efficient conversion of vibrational energy into electrical energy, were fabricated. The experimental results, which include measurements of the electromotive force and power output, are presented. Prototypes with two coils and thicker conducting layers had less resistance and the power output was much more than that of a single-coil unit. This generator can produce 82 nW of power at a resonance frequency of 275 Hz under 5 g excitation.

  6. Analysis of writing inks on paper using direct analysis in real time mass spectrometry.

    Science.gov (United States)

    Jones, Roger W; McClelland, John F

    2013-09-10

    Ink analysis is central to questioned document examination. We applied direct analysis in real time mass spectrometry (DART MS) to ballpoint, gel, and fluid writing ink analysis. DART MS acquires the mass spectrum of an ink while it is still on a document without altering the appearance of the document. Spectra were acquired from ink on a variety of papers, and the spectrum of the blank paper could be subtracted out to produce a cleanly isolated ink spectrum in most cases. Only certain heavy or heavily processed papers interfered. The time since an ink is written on paper has a large effect on its spectrum. DART spectra change radically during the first few months after an ink is written as the more volatile components evaporate, but the spectra stabilize after that. A library-search study involving 166 well-aged inks assessed the ability to identify inks from their DART spectra. The aggregate success rate was 92%. Copyright © 2013 Elsevier Ireland Ltd. All rights reserved.

  7. Far-field and Fresnel Liquid Crystal Geometric Phase Holograms via Direct-Write Photo-Alignment

    Directory of Open Access Journals (Sweden)

    Xiao Xiang

    2017-12-01

    Full Text Available We study computer-generated geometric-phase holograms (GPHs realized by photo-aligned liquid crystals, in both simulation and experiment. We demonstrate both far-field and Fresnel holograms capable of producing far-field and near-field images with preserved fidelity for all wavelengths. The GPHs are fabricated by patterning a photo-alignment layer (PAL using a direct-write laser scanner and coating the surface with a polymerizable liquid crystal (i.e., a reactive mesogen. We study various recording pixel sizes, down to 3 μm, that are easily recorded in the PAL. We characterize the fabricated elements and find good agreement with theory and numerical simulation. Because of the wavelength independent geometric phase, the (phase fidelity of the replay images is preserved for all wavelengths, unlike conventional dynamic phase holograms. However, governed by the diffraction equation, the size and location of a reconstructed image depends on the replay wavelength for far-field and near-field GPHs, respectively. These offer interesting opportunities for white-light holography.

  8. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  9. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  10. Reduce, Reuse, Recycle: Resources and Strategies for the Use of Writing Projects in Mathematics

    Science.gov (United States)

    Latulippe, Joe; Latulippe, Christine

    2014-01-01

    As an often recommended but under-utilized pedagogical strategy, writing in mathematics has many benefits for students. However, creating and grading worthwhile writing projects can be more time-consuming than utilizing more traditional forms of assessment. This paper provides a concrete example of a writing project prompt, questions, directions,…

  11. A writing intensive introductory course for RN to BSN students.

    Science.gov (United States)

    Tesh, Anita S; Hyde, Yolanda M; Kautz, Donald D

    2014-01-01

    This article describes learning strategies used with RN to BSN students in their 1st nursing course to successfully learn how to write formal papers using the American Psychological Association (APA) format. This 1st nursing course, a writing intensive, requires 4 short papers with self, peer, and teacher critiques and opportunities to rewrite. Students learn the style of professional nursing discourse, mastery of APA format, and development of additional skills in following directions and in critiquing their own work. An additional benefit is to enhance learning about professional nursing topics. By mastering writing skills in this initial course, students are able to successfully complete writing assignments in future courses and, in some cases, move on to publication.

  12. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  13. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  14. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  15. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  16. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  17. Experiential self-focus writing as a facilitator of processing an interpersonal hurt.

    Science.gov (United States)

    Liao, Kelly Yu-Hsin; Wei, Meifen; Russell, Daniel W; Abraham, W Todd

    2012-10-01

    This study examined the effects of experiential self-focus writing on changes in psychological outcomes (i.e., unforgiveness and negative affect) after an interpersonal hurt and the buffering effects of experiential self-focus writing on the association between anger rumination and these psychological outcomes. A sample of 182 college students who had experienced interpersonal hurt were randomly assigned to either the experiential self-focus writing condition, in which participants wrote about their feelings and experiences related to the hurt, or to a control writing condition in which they wrote about a recent neutral event. Latent growth curve analyses indicated that changes in unforgiveness over time did not differ between the experiential self-focus writing and the control writing conditions. However, relative to the control writing condition, negative affect decreased faster during writing and increased more slowly at follow-ups in the experiential self-focus writing condition. The results supported the hypothesis that negative affect resulting from an interpersonal hurt would significantly decrease over time among participants in the experiential self-focus writing group compared with the control group. Implications of experiential self-focus writing for interpersonal hurt and directions for future studies are discussed. © 2012 Wiley Periodicals, Inc.

  18. Synthesis of stable ultra-small Cu nanoparticles for direct writing flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei [School of Materials Science and Engineering, Tianjin University, Tianjin 300072 (China); School of Materials Science and Engineering, Tianjin University of Technology, Tianjin 300384 (China); Chen, Minfang, E-mail: mfchentj@126.com [School of Materials Science and Engineering, Tianjin University of Technology, Tianjin 300384 (China)

    2014-01-30

    In this study, pure Cu nanoparticles (NPs) have been successfully synthesized and the Cu nano-ink was prepared for direct writing on photo paper using a roller pen. The tri-sodium citrate was used as initial reducing-cum-surfactant agent followed by hydrazine as a second massive reducing agent and cetyltrimethylammonium bromide (CTAB) as extra surfactant agent. From the XRD, TEM, and HR-TEM analyses, the synthesized particles are confirmed to be Cu in spherical shape with sizes range of 2.5 ± 1.0 nm. By analyzing the FT-IR spectroscopy and TGA curves, it was found that the obtained particles capped with tri-sodium citrate and CTAB layers are stable to oxidation up to the temperature 228 °C. The reduced size and enhanced air-stability of the Cu NPs result in an improved particle density upon sintering, which is mainly responsible for the increased conductivity of the Cu patterns. The resistivity of Cu patterns sintered in Ar at 160 °C for 2 h is 7.2 ± 0.6 μΩ cm, which is 4.40 times the bulk Cu resistivity. The drawn Cu lines exhibited excellent integrity and good conductivity, which were experimentally tested. Moreover, a Cu electrode and a sample RFID antenna were successfully made.

  19. Fabrication and Characterization of 3D-Printed Highly-Porous 3D LiFePO₄ Electrodes by Low Temperature Direct Writing Process.

    Science.gov (United States)

    Liu, Changyong; Cheng, Xingxing; Li, Bohan; Chen, Zhangwei; Mi, Shengli; Lao, Changshi

    2017-08-10

    LiFePO₄ (LFP) is a promising cathode material for lithium-ion batteries. In this study, low temperature direct writing (LTDW)-based 3D printing was used to fabricate three-dimensional (3D) LFP electrodes for the first time. LFP inks were deposited into a low temperature chamber and solidified to maintain the shape and mechanical integrity of the printed features. The printed LFP electrodes were then freeze-dried to remove the solvents so that highly-porous architectures in the electrodes were obtained. LFP inks capable of freezing at low temperature was developed by adding 1,4 dioxane as a freezing agent. The rheological behavior of the prepared LFP inks was measured and appropriate compositions and ratios were selected. A LTDW machine was developed to print the electrodes. The printing parameters were optimized and the printing accuracy was characterized. Results showed that LTDW can effectively maintain the shape and mechanical integrity during the printing process. The microstructure, pore size and distribution of the printed LFP electrodes was characterized. In comparison with conventional room temperature direct ink writing process, improved pore volume and porosity can be obtained using the LTDW process. The electrochemical performance of LTDW-fabricated LFP electrodes and conventional roller-coated electrodes were conducted and compared. Results showed that the porous structure that existed in the printed electrodes can greatly improve the rate performance of LFP electrodes.

  20. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  1. Changes in Teachers' Beliefs after a Professional Development Project for Teaching Writing: Two Chinese Cases

    Science.gov (United States)

    Teng, Lin Sophie

    2016-01-01

    A plethora of research has found that teachers' beliefs directly influence their classroom practices and teaching outcomes. While numerous studies in second/foreign language writing have examined the effectiveness of different innovative approaches on students' learning to write, there is a paucity of research on writing teachers' beliefs about…

  2. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  3. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  4. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  5. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  6. TEACHING WRITING USING THINK-PAIR-SHARE VIEWED FROM STUDENTS’ LEVEL OF RISK-TAKING

    Directory of Open Access Journals (Sweden)

    Ningtyas Orilina Argawati

    2017-12-01

    Full Text Available Writing is considered as the most difficult skill to master compared with the other skills because it involves many language elements such as content, organization, vocabulary, grammar and mechanics. The research examines the effect of two independent variables (Think-Pair-Share and Direct instruction method on dependent variable (Writing Descriptive skill. The samples were class A1 2016 as the experimental class and A3 2016 as the control class. Each class consisted of 32 students. The instruments used covered the risk-taking’s questionnaire and writing test. Before applying the questionnaire of risk-taking, it was tried out to class A2 2016 to find out the validity and the reliability of the instrument. Meanwhile, before conducting the writing test, it needs to test the readability of the writing instruction. The treatment was conducted in eight meetings, and the 9th meeting was allocated for the post-test. The data were then analyzed using ANOVA and Tukey test. From the analysis, it reveals that: (1 Think-Pair-Share is significantly different from direct instruction method to teach writing; (2 the students with high level of risk-taking have better writing than those with low level of risk-taking; and (3 there is an interaction between teaching methods and the students’ level of risk-taking. Even though Think-Pair-Share is effective to teach writing, teachers must consider about the students’ condition, in this case their level of risk-taking.

  7. Literary ethnographic writing as sympathetic experiment

    DEFF Research Database (Denmark)

    Dalsgaard, Anne Line

    perhaps only implicitly) of research. But we have no direct access to the subjective world of others and can only inhabit their point of view by way of imagination. Writing literary ethnographic text is one way, I will argue, of experimenting with such sympathetic imagination. By putting together observed...

  8. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  9. Fabrication of flex sensors through direct ink write technique and its electrical characterization

    Science.gov (United States)

    Abas, Muhammad; Rahman, Khalid

    2016-11-01

    The present work is intended to fabricate low-cost flex sensor from conductive carbon paste using direct ink write (DIW) technique. DIW method is one of the additive manufacturing processes, which is capable to deposit a variety of material on a variety of substrates by a different mechanism to feature resolution at a microns level. It is widely used in the electronic industry for fabrication of PCBS and electrodes for different electronic devices. The DIW system in present study extrudes material stored in the syringe barrel through nozzle using compressed air. This mechanism will assist in creating patterns on a variety of substrates. Pneumatic controller is employed to control deposition of material, while computer-controlled X-Y stage is employed to control pattern generation. For effective and control patterning, printing parameters were optimized using Taguchi design optimization technique. The conductive carbon paste is used as ink for pattern generation on flexible PET substrate. Samples of flex sensor having different dimensions are prepared through DIW. The fabricated sensors were used as flexion sensor, and its electrical characteristic was evaluated. The obtained sensors are stable and reliable in performance.

  10. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  11. The extensive writing. Teaching writing in high school

    Directory of Open Access Journals (Sweden)

    Daniel Cassany Comas

    2016-08-01

    Full Text Available Following the distinction between intensive and extensive reading, we introduce the extensive written tasks to promote the following learning objectives: 1 bringing writing closer to the learner’s personal life; 2 practicing the epistemic and communicative language functions; 3 giving the learner full responsibility for the creative act; 4 facilitating the development of cognitive processes, and 5 developing habits of written production in a variety of situations. As a consequence, extensive writing assignments produce longer texts, last longer, are self-directed by the learner-author, treat interdisciplinary topics and are not in the textbook. These tasks markedly differ from the more frequent written exercises in the classroom, which are teacher-led, contain shorter texts, work on executive or instrumental functions, and their correction is focused on spelling and grammar. We propose several educational tools in order to develop this type of tasks: portfolios (to save drafts, corrections and final versions of each text, formats (such as reading logs, lecture notes and laboratory protocols and contexts (common communicative tasks. We also discuss some basic parameters of extensive tasks, such as the length of the text, the use of several working sessions for text production, the practice of composition processes and the use of peer review, in pairs or teams.

  12. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  13. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  14. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  15. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  16. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  17. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  18. Mentor Texts and the Coding of Academic Writing Structures: A Functional Approach

    Science.gov (United States)

    Escobar Alméciga, Wilder Yesid; Evans, Reid

    2014-01-01

    The purpose of the present pedagogical experience was to address the English language writing needs of university-level students pursuing a degree in bilingual education with an emphasis in the teaching of English. Using mentor texts and coding academic writing structures, an instructional design was developed to directly address the shortcomings…

  19. The Effect of Dynamic Written Corrective Feedback on Iranian Elementary Learners’ Writing

    Directory of Open Access Journals (Sweden)

    Amaneh Kamalian

    2014-09-01

    Full Text Available Error correction is probably the most widely used technique for responding to students’ writing. Although many studies have attempted to investigate the efficacy of providing error correction through different types of written corrective feedback (WCF, there has been relatively little research on any one new approach to writing pedagogy in foreign language learning which is called dynamic WCF. The purpose of the current research was to test the effect of WCF on the improvement of writing abilities of EFL learners. Two groups of EFL students who were learning English as a foreign language participated in this study. Both groups (A and B were given treatments. Core components of the treatment included having the students to write a composition every session (twice a week and the teacher providing the students with feedbacks (dynamic WCF or direct WCF on their writing tasks. Group A (n=24 was instructed through dynamic WCF because it was intended to improve L2 writing ability in general by raising linguistic awareness of learners through the error corrections performed by the teacher.  On the other hand, group B (n= 22 received direct WCF on their writings. Four essential characteristics were taken into consideration for the error correction, i.e. feedback needed to be manageable, meaningful, timely and constant. The data obtained for Group A and Group B was analyzed using paired sample test and the results indicated that both groups had improved on their writing abilities. Also, administrating an independent sample T-test the findings revealed that Group A which received dynamic WCF could outperform Group B.

  20. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  1. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  2. Masked form priming in writing words from pictures: evidence for direct retrieval of orthographic codes.

    Science.gov (United States)

    Bonin, P; Fayol, M; Peereman, R

    1998-09-01

    Three experiments used the masked priming paradigm to investigate the role of orthographic and phonological information in written picture naming. In all the experiments, participants had to write the names of pictures as quickly as possible under three different priming conditions. Nonword primes could be: (1) phonologically and orthographically related to the picture name; (2) orthographically related as in (1) but phonologically related to a lesser degree than in (1); (3) orthographically and phonologically unrelated except for the first consonant (or consonant cluster). Orthographic priming effects were observed with a prime exposure duration of 34 ms (Experiments 1 and 2) and of 51 ms (Experiment 3). In none of the experiments, did homophony between primes and picture names yield an additional advantage. Taken together, these findings support the view of the direct retrieval of orthographic information through lexical access in written picture naming, and thus argue against the traditional view that the retrieval of orthographic codes of obligatorily mediated by phonology.

  3. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  4. Comparison of the neural basis for imagined writing and drawing.

    Science.gov (United States)

    Harrington, Greg S; Farias, Dana; Davis, Christine H; Buonocore, Michael H

    2007-05-01

    Drawing and writing are complex processes that require the synchronization of cognition, language, and perceptual-motor skills. Drawing and writing have both been utilized in the treatment of aphasia to improve communication. Recent research suggests that the act of drawing an object facilitated naming, whereas writing the word diminished accurate naming in individuals with aphasia. However, the relationship between object drawing and subsequent phonological output is unclear. Although the right hemisphere is characteristically mute, there is evidence from split-brain research that the right hemisphere can integrate pictures and words, likely via a semantic network. We hypothesized that drawing activates right hemispheric and left perilesional regions that are spared in aphasic individuals and may contribute to semantic activation that supports naming. Eleven right-handed subjects participated in a functional MRI (fMRI) experiment involving imagined drawing and writing and 6 of the 11 subjects participated in a second fMRI experiment involving actual writing and drawing. Drawing and writing produced very similar group activation maps including activation bilaterally in the premotor, inferior frontal, posterior inferior temporal, and parietal areas. The comparison of drawing vs. writing revealed significant differences between the conditions in areas of the brain known for language processing. The direct comparison between drawing and writing revealed greater right hemisphere activation for drawing in language areas such as Brodmann area (BA) 46 and BA 37.

  5. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  6. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  7. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  8. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  9. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  10. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  11. Ag–graphene hybrid conductive ink for writing electronics

    International Nuclear Information System (INIS)

    Xu, L Y; Yang, G Y; Jing, H Y; Han, Y D; Wei, J

    2014-01-01

    With the aim of preparing a method for the writing of electronics on paper by the use of common commercial rollerball pens loaded with conductive ink, hybrid conductive ink composed of Ag nanoparticles (15 wt%) and graphene–Ag composite nanosheets (0.15 wt%) formed by depositing Ag nanoparticles (∼10 nm) onto graphene sheets was prepared for the first time. Owing to the electrical pathway effect of graphene and the decreased contact resistance of graphene junctions by depositing Ag nanoparticles (NPs) onto graphene sheets, the concentration of Ag NPs was significantly reduced while maintaining high conductivity at a curing temperature of 100 ° C. A typical resistivity value measured was 1.9 × 10 −7  Ω m, which is 12 times the value for bulk silver. Even over thousands of bending cycles or rolling, the resistance values of writing tracks only increase slightly. The stability and flexibility of the writing circuits are good, demonstrating the promising future of this hybrid ink and direct writing method. (paper)

  12. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  13. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  14. Techniques of surface optical breakdown prevention for low-depths femtosecond waveguides writing

    International Nuclear Information System (INIS)

    Bukharin, M A; Skryabin, N N; Ganin, D V; Khudyakov, D V; Vartapetov, S.K.

    2016-01-01

    We demonstrated technique of direct femtosecond waveguide writing at record low depth (2-15 μm) under surface of lithium niobate, that play a key role in design of electrooptical modulators with low operating voltage. To prevent optical breakdown of crystal surface we used high numerical aperture objectives for focusing of light and non-thermal regime of inscription in contrast to widespread femtosecond writing technique at depths of tens micrometers or higher. Surface optical breakdown threshold was measured for both x- and z- cut crystals. Inscribed waveguides were examined for intrinsic microstructure. It also reported sharp narrowing of operating pulses energy range with writing depth under the surface of crystal, that should be taken in account when near-surface waveguides design. Novelty of the results consists in reduction of inscription depth under the surface of crystals that broadens applications of direct femtosecond writing technique to full formation of near-surface waveguides and postproduction precise geometry correction of near-surfaces optical integrated circuits produced with proton-exchanged technique. (paper)

  15. Linguistic Feature Development Across Grades and Genre in Elementary Writing.

    Science.gov (United States)

    Hall-Mills, Shannon; Apel, Kenn

    2015-07-01

    As children develop skills in writing across academic contexts, clinicians and educators need to have a fundamental understanding of typical writing development as well as valid and reliable assessment methods. The purpose of this study was to examine the progression of linguistic elements in school-age children's narrative and expository writing development. Narrative and expository writing samples produced by 89 children in Grades 2 through 4 were analyzed at the microstructure and macrostructure levels. Measures of receptive vocabulary, word-level reading, and reading comprehension were obtained. Exploratory factor analyses revealed 4 microstructure factors (e.g., productivity, grammatical complexity, grammatical accuracy, and lexical density) and 1 macrostructure factor (e.g., a combination of organization, text structure, and cohesion). Multivariate analyses of covariance with reading comprehension as a covariate showed that productivity and macrostructure were sensitive to grade-level and genre differences and that expository grammatical complexity was sensitive to grade-level differences. Findings are discussed in light of grade-level standards for narrative and expository writing and current practices in writing assessment. Multiple suggestions are offered for clinical and educational implications, and specific directions are provided for future research.

  16. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  17. Writing Tasks and Immediate Auditory Memory in Peruvian Schoolchildren

    Directory of Open Access Journals (Sweden)

    José Luis Ventura-León

    2017-04-01

    Full Text Available The purpose of the study is determine the relationship between a group of writing tasks and the immediate auditory memory, as well as to establish differences according to sex and level of study. Two hundred and three schoolchildren of fifth and sixth of elementary education from Lima (Peru participated, they were selected by a non-probabilistic sample. The Immediate Auditory Memory Test and the Battery for Evaluation of Writing Processes (known in Spanish as PROESC were used. Central tendency measures were used for descriptive analysis. We employed the Mann-Whitney U test, Spearman Rho test and probability of superiority as effect size measurement for the inferential analysis. The results indicated a moderate direct and significant correlation between writing tasks and immediate auditory memory in general way and low correlations between dimensions. Finally, it showed that the differences in immediate auditory memory and writing tasks according to sex and level of study does not have practical significance.

  18. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  19. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  20. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  1. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  2. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  3. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  4. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  5. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  6. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  7. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  8. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  9. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  10. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  11. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  12. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  13. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  14. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  15. Fabrication and Characterization of 3D-Printed Highly-Porous 3D LiFePO4 Electrodes by Low Temperature Direct Writing Process

    Directory of Open Access Journals (Sweden)

    Changyong Liu

    2017-08-01

    Full Text Available LiFePO4 (LFP is a promising cathode material for lithium-ion batteries. In this study, low temperature direct writing (LTDW-based 3D printing was used to fabricate three-dimensional (3D LFP electrodes for the first time. LFP inks were deposited into a low temperature chamber and solidified to maintain the shape and mechanical integrity of the printed features. The printed LFP electrodes were then freeze-dried to remove the solvents so that highly-porous architectures in the electrodes were obtained. LFP inks capable of freezing at low temperature was developed by adding 1,4 dioxane as a freezing agent. The rheological behavior of the prepared LFP inks was measured and appropriate compositions and ratios were selected. A LTDW machine was developed to print the electrodes. The printing parameters were optimized and the printing accuracy was characterized. Results showed that LTDW can effectively maintain the shape and mechanical integrity during the printing process. The microstructure, pore size and distribution of the printed LFP electrodes was characterized. In comparison with conventional room temperature direct ink writing process, improved pore volume and porosity can be obtained using the LTDW process. The electrochemical performance of LTDW-fabricated LFP electrodes and conventional roller-coated electrodes were conducted and compared. Results showed that the porous structure that existed in the printed electrodes can greatly improve the rate performance of LFP electrodes.

  16. Exploring the Amount and Type of Writing Instruction during Language Arts Instruction in Kindergarten Classrooms

    Science.gov (United States)

    Puranik, Cynthia S.; Al Otaiba, Stephanie; Sidler, Jessica Folsom; Greulich, Luana

    2014-01-01

    The objective of this exploratory investigation was to examine the nature of writing instruction in kindergarten classrooms and to describe student writing outcomes at the end of the school year. Participants for this study included 21 teachers and 238 kindergarten children from nine schools. Classroom teachers were videotaped once each in the fall and winter during the 90 minute instructional block for reading and language arts to examine time allocation and the types of writing instructional practices taking place in the kindergarten classrooms. Classroom observation of writing was divided into student-practice variables (activities in which students were observed practicing writing or writing independently) and teacher-instruction variables (activities in which the teacher was observed providing direct writing instruction). In addition, participants completed handwriting fluency, spelling, and writing tasks. Large variability was observed in the amount of writing instruction occurring in the classroom, the amount of time kindergarten teachers spent on writing and in the amount of time students spent writing. Marked variability was also observed in classroom practices both within and across schools and this fact was reflected in the large variability noted in kindergartners’ writing performance. PMID:24578591

  17. Exploring the Amount and Type of Writing Instruction during Language Arts Instruction in Kindergarten Classrooms.

    Science.gov (United States)

    Puranik, Cynthia S; Al Otaiba, Stephanie; Sidler, Jessica Folsom; Greulich, Luana

    2014-02-01

    The objective of this exploratory investigation was to examine the nature of writing instruction in kindergarten classrooms and to describe student writing outcomes at the end of the school year. Participants for this study included 21 teachers and 238 kindergarten children from nine schools. Classroom teachers were videotaped once each in the fall and winter during the 90 minute instructional block for reading and language arts to examine time allocation and the types of writing instructional practices taking place in the kindergarten classrooms. Classroom observation of writing was divided into student-practice variables (activities in which students were observed practicing writing or writing independently) and teacher-instruction variables (activities in which the teacher was observed providing direct writing instruction). In addition, participants completed handwriting fluency, spelling, and writing tasks. Large variability was observed in the amount of writing instruction occurring in the classroom, the amount of time kindergarten teachers spent on writing and in the amount of time students spent writing. Marked variability was also observed in classroom practices both within and across schools and this fact was reflected in the large variability noted in kindergartners' writing performance.

  18. Electron multi-beam technology for mask and wafer writing at 0.1nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-03-01

    An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.

  19. Molecule-by-Molecule Writing Using a Focused Electron Beam

    DEFF Research Database (Denmark)

    Van Dorp, Willem F.; Zhang, Xiaoyan; Feringa, Ben L.

    2012-01-01

    atoms also be written with an electron beam? We verify this with focused electron-beam-induced deposition (FEBID), a direct-write technique that has the current record for the smallest feature written by (electron) optical lithography. We show that the deposition of an organometallic precursor...... on graphene can be followed molecule-by-molecule with FEBID. The results show that mechanisms that are inherent to the process inhibit a further increase in control over the process. Hence, our results present the resolution limit of (electron) optical lithography techniques. The writing of isolated...

  20. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  1. Research on the effect of coverage rate on the surface quality in laser direct writing process

    Science.gov (United States)

    Pan, Xuetao; Tu, Dawei

    2017-07-01

    Direct writing technique is usually used in femtosecond laser two-photon micromachining. The size of the scanning step is an important factor affecting the surface quality and machining efficiency of micro devices. According to the mechanism of two-photon polymerization, combining the distribution function of light intensity and the free radical concentration theory, we establish the mathematical model of coverage of solidification unit, then analyze the effect of coverage on the machining quality and efficiency. Using the principle of exposure equivalence, we also obtained the analytic expressions of the relationship among the surface quality characteristic parameters of microdevices and the scanning step, and carried out the numerical simulation and experiment. The results show that the scanning step has little influence on the surface quality of the line when it is much smaller than the size of the solidification unit. However, with increasing scanning step, the smoothness of line surface is reduced rapidly, and the surface quality becomes much worse.

  2. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Directory of Open Access Journals (Sweden)

    Rifat Ramazan Berk

    2017-01-01

    Full Text Available The purpose of this study is to determine sixth, seventh and eighth grade students’ writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students’ grade levels and genders are significant predictor of writing anxiety and dispositions or not. The research was designed according to survey model. The study group, selected through simple sampling method, is made up of 860 students studying at 6th, 7th and 8th grades in elementary schools of Şarkışla District, Sivas. While “Writing Anxiety Scale”, adapted into Turkish by Özbay and Zorbay (2011, was administered to determine the study group’s writing anxiety level, “Writing Disposition Scale”, adapted into Turkish by İşeri and Ünal (2010, was used to determine the writing disposition level. At the end of the study, it was found that writing disposition is a significant predictor of writing anxiety and students’ grade levels and genders are significant predictors of writing anxiety and dispositions. An education environment to create a strong writing disposition for students is recommended. Also, similar studies on different dimensions of the issue can be conducted.

  3. Right Writing (or Writing Right) for Creativity in Advertising.

    Science.gov (United States)

    Pearce, R. Charles

    1989-01-01

    Suggests techniques from Peter Elbow's book, "Writing with Power," for an advertising copywriting class. Describes in detail an eight-step procedure: warm-up, loop writing, sharing, revision, sharing, revision, editing group sharing, and revision. (MS)

  4. Impact of Writing Proficiency and Writing Center Participation on Academic Performance

    Science.gov (United States)

    Bielinska-Kwapisz, Agnieszka

    2015-01-01

    Purpose: Given that there exists in the literature relatively little research into the effectiveness of writing centers at universities, the purpose of this paper is to show the impact of university writing centers on first-year business seminar student writing. Design/methodology/approach: This quantitative study involved 315 first-year…

  5. Teaching children to write: A meta-analysis of writing intervention research

    NARCIS (Netherlands)

    Koster, M.; Tribushinina, E.; de Jong, P.F.; van den Bergh, H.

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  6. Teaching Children to Write : A Meta-Analysis of Writing Intervention Research

    NARCIS (Netherlands)

    Koster, M.P.; Tribushinina, E.|info:eu-repo/dai/nl/31511780X; De Jong, Peter; van den Bergh, H.H.|info:eu-repo/dai/nl/074044400

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  7. Student Writing Accepted as High-Quality Responses to Analytic Text-Based Writing Tasks

    Science.gov (United States)

    Wang, Elaine; Matsumura, Lindsay Clare; Correnti, Richard

    2018-01-01

    Literacy standards increasingly emphasize the importance of analytic text-based writing. Little consensus exists, however, around what high-quality student responses should look like in this genre. In this study, we investigated fifth-grade students' writing in response to analytic text-based writing tasks (15 teachers, 44 writing tasks, 88 pieces…

  8. Early Writing Development: Kindergarten Teachers' Beliefs about Emergent Writing in Qatari Preschool Settings

    Science.gov (United States)

    Al-Maadadi, Fatima; Ihmeideh, Fathi

    2016-01-01

    Writing often begins during the very early years of childhood; however, some children first learn writing when they begin attending school. Teachers' beliefs about early writing development can influence when and how children learn to write. The purpose of this study was to determine kindergarten teachers' beliefs about the development of…

  9. Improving Young Children's Writing: The Influence of Story Structure on Kindergartners' Writing Complexity

    Science.gov (United States)

    Watanabe, Lynne M.; Hall-Kenyon, Kendra M.

    2011-01-01

    This study examined the change in complexity of kindergarteners' writing after implementing writing instruction based on story elements. Writing samples from six students of three ability levels were collected over a 6-week period. Writing samples included students' oral language, pictures, and written text and were analyzed using two rubrics…

  10. Writing Anxiety: A Case Study on Students’ Reasons for Anxiety in Writing

    OpenAIRE

    Kara, Selma

    2013-01-01

    The purpose of the present study was twofold. First, the present study set out to investigate the learners‟ attitudes towards academic writing courses that they have to take as part of their curriculum, whether they experience second language writing anxiety and what reasons they report for their anxiety and failure in academic writing courses. Second, the study aimed to develop a selfreport measure of second language writing anxiety reasons

  11. ASSISTING STUDENTS TO ATTACK WRITING TASKS ON IELTS TEST WITH “PROBING TECHNIQUE”

    Directory of Open Access Journals (Sweden)

    Tedi Rohadi

    2015-06-01

    Full Text Available Writing section on IELTS test is commonly considered one of the most difficult parts of test. The test takers can’t even understand what to do with the tasks provided. They eventually write without clearly knowing the expected direction. Therefore, there should be a fastest way to well equip students to successfully cope with such hindrances. This paper is an action research report of how to make students better understand and answer writing tasks on IELTS test by employing probing techniques as one of test taking strategies. The paper will preliminarily elaborate the characteristics or nature of IELTS test in general and writing section consisting two different tasks in particular including its indicators of what expected kind of writing the testees should be aware of. It will then discuss probing techniques in details. The attack strategies and their sequential implementation will afterward be discussed. The technique will assist students to respectively understand what and how to plan and write effectively on the test.

  12. Fabrication of tough epoxy with shape memory effects by UV-assisted direct-ink write printing.

    Science.gov (United States)

    Chen, Kaijuan; Kuang, Xiao; Li, Vincent; Kang, Guozheng; Qi, H Jerry

    2018-03-07

    3D printing of epoxy-based shape memory polymers with high mechanical strength, excellent thermal stability and chemical resistance is highly desirable for practical applications. However, thermally cured epoxy in general is difficult to print directly. There have been limited numbers of successes in printing epoxy but they suffer from relatively poor mechanical properties. Here, we present an ultraviolet (UV)-assisted 3D printing of thermally cured epoxy composites with high tensile toughness via a two-stage curing approach. The ink containing UV curable resin and epoxy oligomer is used for UV-assisted direct-ink write (DIW)-based 3D printing followed by thermal curing of the part containing the epoxy oligomer. The UV curable resin forms a network by photo polymerization after the 1st stage of UV curing, which can maintain the printed architecture at an elevated temperature. The 2nd stage thermal curing of the epoxy oligomer yields an interpenetrating polymer network (IPN) composite with highly enhanced mechanical properties. It is found that the printed IPN epoxy composites enabled by the two-stage curing show isotropic mechanical properties and high tensile toughness. We demonstrated that the 3D-printed high-toughness epoxy composites show good shape memory properties. This UV-assisted DIW 3D printing via a two-stage curing method can broaden the application of 3D printing to fabricate thermoset materials with enhanced tensile toughness and tunable properties for high-performance and functional applications.

  13. Exposure parameters in proton beam writing for hydrogen silsesquioxane

    International Nuclear Information System (INIS)

    Kan, J.A. van; Zhang, F.; Zhang, C.; Bettiol, A.A.; Watt, F.

    2008-01-01

    In proton beam writing (PBW) a focused MeV proton beam is scanned in a predetermined pattern over a resist (e.g. PMMA, SU-8 or HSQ), which is subsequently chemically developed. In e-beam writing as well as p-beam writing the energy loss of the primary beam is dominated by energy transfer to substrate electrons. Unlike the high energy secondary electrons generated during e-beam writing the secondary electrons induced by the primary proton beam have low energy and therefore a limited range, resulting in minimal proximity effects. The low proximity effects exhibited by p-beam writing coupled with the straight trajectory and high penetration of the proton beam enables the production of high aspect ratio, high density 3D micro and nanostructures with well defined smooth side walls to be directly written into resist materials. This property together with the stability and focusing power of the end station ensures even exposures with nm smoothness and allows fabrication of details down to the 20 nm level. In this paper, we present results like contrast and sensitivity for PBW using, hydrogen silsesquioxane (HSQ) and XR-1541, both are non-C based resists. Unlike PMMA and SU-8 resist HSQ shows aging effects, requiring optimized processing parameters in PBW

  14. Computer controlling of writing beam in laser microfabrication of diffractive optics

    OpenAIRE

    Korolkov, V.; Shimansky, R.; Cherkashin, V.; Denk, D.

    2003-01-01

    Laser microfabrication of diffractive optics with continuous relief is based on the direct local action of focused laser radiation on the recording material. Control of writing beam parameters (beam power, spot size, waist position) is one of the main tasks in microfabrication using laser writing systems. Method of the control defines the correspondence between the fabricated microrelief of the diffractive optical element and a designed one. Complexity of this task consists in the necessity t...

  15. Comb polymer architecture and particle size effects on the behavior of biphasic nanoparticle inks for direct-write assembly

    Science.gov (United States)

    Yoshikawa, Jun

    Biphasic nanoparticle mixtures composed of attractive and repulsive colloidal species enable the direct-write assembly of 3D structures with much finer features than those produced by pure colloidal gels. These mixtures rely on the use of comb polymer dispersants to render one particle population stable, while the other population is attractive. In this thesis, we systematically investigate the effects of comb polymer architecture and particle size ratio on the behavior of biphasic nanoparticle inks with the overarching aim of further advancing the direct-write assembly of 3D colloidal structures. We first investigated the effects of both pure polyelectrolytes, poly(acrylic acid) (PAA) and poly(methacrylic acid) (PMAA), and comb polymer dispersants composed of a PMAA backbone with methoxy-poly(ethylene oxide) (mPEO) teeth of varying molecular weights on the stability of barium titanate (BaTiO 3) suspensions. While each dispersant imparts stability to BaTiO 3 nanoparticles at low ionic strength (teeth (MWteeth = 2000) provides stability at higher ionic strengths over a broad range of particle sizes and counterion valencies. These results provide guidelines for tailoring the molecular architecture and functionality of comb polymer dispersants for optimal stabilization of the repulsive particle population within the biphasic inks. Next, particle size effects on the rheological properties of biphasic nanoparticle suspensions are studied. Shear elastic modulus, shear yield stress, and compressive yield stress are measured for mixtures of varying total volume fraction, attractive-to-repulsive volume fraction, and particle size ratio between attractive and repulsive species. Our observations indicate that the repulsive particles hinder the formation of the attractive gel network. The time required for shear elastic modulus to approach a steady-state value increases with the fraction of repulsive species. Furthermore, this behavior becomes more significant with increasing

  16. LEARNING TO TEACH WRITING THROUGH WRITING

    Directory of Open Access Journals (Sweden)

    Svetlana Suchkova

    2013-01-01

    Full Text Available This paper discusses some major issues concerning teaching writing to future teachers. There are a lot of EFL/ESL textbooks focused on teaching writing. However, those that are intended for trainee teachers are rare on the market. The goal of this paper is to share the result of several years of work on the writing syllabus and materials that is effective in the process of teaching future teachers. It contains sample of tasks based on certain principles that may promote teachers to become effective writers for themselves and, at the same time, to acquire initial professional skills necessary in their future career. A course book can not address any audience in general. It must focus on a particular learner, the objectives, and content of the process of learning. In the situation when no textbook meets these requirements, the problem of providing students with an appropriate textbook must be solved by creating new textbooks.

  17. Language Literacy in Writing

    Directory of Open Access Journals (Sweden)

    Saeideh Ahangari

    2008-05-01

    Full Text Available This paper explores the ways in which the transfer of assumptions from first language (L1 writing can help the process of writing in second language (L2. In learning second language writing skills, learners have two primary sources from which they construct a second language system: knowledge and skills from first language and input from second language. To investigate the relative impact of first language literacy skills on second language writing ability, 60 EFL students from Tabriz Islamic Azad University were chosen as participants of this study, based on their language proficiency scores. The subjects were given two topics to write about: the experimental group subjects were asked to write in Persian and then translate their writing into English. The control group wrote in English. The results obtained in this study indicate that the content and vocabulary components of the compositions were mostly affected by the use of first language.

  18. Book Review: Stop, Write!

    Directory of Open Access Journals (Sweden)

    Hans Thulesius

    2013-06-01

    Full Text Available This book on writing grounded theory is intended for the empirical GT researcher who wants to pursue his/her research until publication. It is the first book devoted entirely to such a crucial issue as writing grounded theory. Thus, Stop, Write: Writing Grounded Theory, is a practical book that fills a gap in GT methodology. In the first chapter of the book, Dr. Glaser says, “Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long”. The book teaches the reader how to actually write a grounded theory by “simply” writing up the sorted memos. This requires efficient sorting that is dealt with in chapter two on Sorting Memos, which includes precious repetition from Theoretical Sensitivity (1978. How writing can be done effectively is outlined in chapter three The Working Paper. Then follows chapter four on how to rework the first draft with the different tasks of editing for language and professionalism. Thereafter Dr. Glaser discusses Writing Problems in chapter five where he gives useful guidance on how to overcome writing blocks and problems with supervisors and dissertation committees. The book also deals with publishing and with collaboration as experienced between Barney Glaser and the cofounder of grounded theory, Anselm Strauss.

  19. Writing, Literacy and Technology: Toward a Cyborg Writing.

    Science.gov (United States)

    Olson, Gary A.

    1996-01-01

    Presents an interview with feminist social critic Donna Haraway about her call for "cyborg writing," writing that replaces the idea of an authoritative or dominant story with an acknowledgment of the wide range of narratives to be told in science, technology, and other areas. Also questions Haraway about activism for academics, particularly as it…

  20. Writing-to-Learn, Writing-to-Communicate, & Scientific Literacy

    Science.gov (United States)

    Balgopal, Meena; Wallace, Alison

    2013-01-01

    Writing-to-learn (WTL) is an effective instructional and learning strategy that centers on the process of organizing and articulating ideas, as opposed to writing-to-communicate, which centers on the finished written product. We describe a WTL model that we have developed and tested with various student groups over several years. With effective…

  1. Laser Direct Writing and Selective Metallization of Metallic Circuits for Integrated Wireless Devices.

    Science.gov (United States)

    Cai, Jinguang; Lv, Chao; Watanabe, Akira

    2018-01-10

    Portable and wearable devices have attracted wide research attention due to their intimate relations with human daily life. As basic structures in the devices, the preparation of high-conductive metallic circuits or micro-circuits on flexible substrates should be facile, cost-effective, and easily integrated with other electronic units. In this work, high-conductive carbon/Ni composite structures were prepared by using a facile laser direct writing method, followed by an electroless Ni plating process, which exhibit a 3-order lower sheet resistance of less than 0.1 ohm/sq compared to original structures before plating, showing the potential for practical use. The carbon/Ni composite structures exhibited a certain flexibility and excellent anti-scratch property due to the tight deposition of Ni layers on carbon surfaces. On the basis of this approach, a wireless charging and storage device on a polyimide film was demonstrated by integrating an outer rectangle carbon/Ni composite coil for harvesting electromagnetic waves and an inner carbon micro-supercapacitor for energy storage, which can be fast charged wirelessly by a commercial wireless charger. Furthermore, a near-field communication (NFC) tag was prepared by combining a carbon/Ni composite coil for harvesting signals and a commercial IC chip for data storage, which can be used as an NFC tag for practical application.

  2. Design and fabrication of conductive polyaniline transducers via computer controlled direct ink writing

    Science.gov (United States)

    Holness, F. Benjamin; Price, Aaron D.

    2017-04-01

    The intractable nature of the conjugated polymer (CP) polyaniline (PANI) has largely limited PANI-based transducers to monolithic geometries derived from thin-film deposition techniques. To address this limitation, we have previously reported additive manufacturing processes for the direct ink writing of three-dimensional electroactive PANI structures. This technology incorporates a modified delta robot having an integrated polymer paste extrusion system in conjunction with a counter-ion induced thermal doping process to achieve these 3D structures. In this study, we employ an improved embodiment of this methodology for the fabrication of functional PANI devices with increasingly complex geometries and enhanced electroactive functionality. Advances in manufacturing capabilities achieved through the integration of a precision pneumatic fluid dispenser and redesigned high-pressure end-effector enable extrusion of viscous polymer formulations, improving the realizable resolutions of features and deposition layers. The integration of a multi-material dual-extrusion end-effector has further aided the fabrication of these devices, enabling the concurrent assembly of passive and active structures, which reduces the limitations on device geometry. Subsequent characterization of these devices elucidates the relationships between polymer formulation, process parameters, and device design such that electromechanical properties can be tuned according to application requirements. This methodology ultimately leads to the improved manufacturing of electroactive polymer-enabled devices with high-resolution 3D features and enhanced electroactive performance.

  3. Pulse energy dependence of refractive index change in lithium niobium silicate glass during femtosecond laser direct writing.

    Science.gov (United States)

    Cao, Jing; Poumellec, Bertrand; Brisset, François; Lancry, Matthieu

    2018-03-19

    Femtosecond laser-induced refractive index changes in lithium niobium silicate glass were explored at high repetition rate (300 fs, 500 kHz) by polarized light microscopy, full-wave retardation plate, quantitative birefringence microscopy, and digital holographic microscopy. We found three regimes on energy increase. The first one corresponds to isotropic negative refractive index change (for pulse energy ranging 0.4-0.8 μJ/pulse, 0.6 NA, 5μm/s, 650μm focusing depth in the glass). The second one (0.8-1.2 μJ/pulse) corresponds to birefringence with well-defined slow axis orientation. The third one (above 1.2 μJ/pulse) is related to birefringence direction fluctuation. Interestingly, these regimes are consistent with crystallization ones. In addition, an asymmetric orientational writing effect has been detected on birefringence. These topics extend the possibility of controlling refractive index change in multi-component glasses.

  4. Student-Teachers across the Curriculum Learn to Write Feedback: Does It Reflect on Their Writing?

    Science.gov (United States)

    Cohen-sayag, Esther

    2016-01-01

    The study examined the connection between writing competency and writing feedback experiences through academic writing course for student-teachers across the curriculum. The aims of the course were to prepare student-teachers to their role as writing facilitators and to improve their writing. Experimental and control group differed in course plan…

  5. Rethinking the Writing Process: What Best-Selling and Award-Winning Authors Have to Say

    Science.gov (United States)

    Sampson, Michael R.; Ortlieb, Evan; Leung, Cynthia B.

    2016-01-01

    Increasing attention has been directed recently to literacy education as a means for disciplinary learning and career readiness. All the while, concepts of print have dramatically changed because the majority of reading and writing now occurs in digital formats. Therefore, it is an ideal time to investigate the complexities of the writing process…

  6. Analysis of the laser oxidation kinetics process of In-In(2)O(3) MTMO photomasks by laser direct writing.

    Science.gov (United States)

    Xia, Feng; Zhang, Xinzheng; Wang, Meng; Liu, Qian; Xu, Jingjun

    2015-11-02

    One kind of novel grayscale photomask based on Metal-transparent-metallic-oxides (MTMOs) system fabricated by laser direct writing was demonstrated recently. Here, a multilayer oxidation model of In-In(2)O(3) film with a glass substrate was proposed to study the pulsed laser-induced oxidation mechanism. The distribution of the electromagnetic field in the film is calculated by the transfer matrix method. Temperature fields of the model are simulated based on the heat transfer equations with the Finite-Difference Time-Domain method. The oxidation kinetics process is studied based on the laser-induced Cabrera-Mott theory. The simulated oxidation processes are consistent with the experimental results, which mean that our laser-induced oxidation model can successfully interpret the fabrication mechanism of MTMO grayscale photomasks.

  7. Direct Write Processing of Multi-micron Thickness Copper Nano-particle Paste on Flexible Substrates with 532 nm Laser Wavelength

    Science.gov (United States)

    Lopez-Espiricueta, Dunia; Fearon, Eamonn; Edwardson, Stuart; Dearden, Geoffrey

    The Laser Assisted Direct Write (LA-DW) method has been implemented in the development of different markets and material processing, recently also used for creating Printed Circuit Boards (PCB) or electrical circuitry. The process consists in the deposition of metallic nano-particle (NP) inks, which are afterwards cured or sintered by laser irradiation, thus creating conductive pathways; advantages are speed, accuracy and the protection of the heat affected zone (HAZ). This research will study the behaviour of the heat dissipation relatively within the Nano-particle Copper paste after being irradiated with 1064 nm and 532 nm wavelengths, research will be developed on different widths and depths deposited onto flat surfaces such as flexible PET. Comparisons to be made between resistivity results obtained from different wavelengths.

  8. DOMAIN SPECIFIC BELIEFS ABOUT WRITING AND WRITING PERFORMANCE OF PRESERVICE ENGLISH TEACHERS: IS THERE ANY RELATIONSHIP?

    Directory of Open Access Journals (Sweden)

    Seray Tanyer

    2017-07-01

    Full Text Available Learning as a retrospective phenomenon can make learners transmit their past as an ingredient while they are (restructuring their present and future. Previous and present experiences can form a basis for cognitive, behavioral and motivational factors which can create a cognitive load for learners and affect their learning process. In this regard, current study aims to investigate first-year undergraduates’ beliefs about writing and relation of these beliefs to writing performance in essay writing. A total of 147 students studying in ELT department of a Turkish university participated in the research. Their domain-specific beliefs about writing were determined through the Beliefs about Writing Survey (BAWS. Writing performance was measured on an essay writing task by calculating both overall grade and six component grades. As a result, multiple regression analysis affirmed that beliefs about writing accounted for writing performance independently. Pearson correlation values showed that some beliefs about writing were adaptive and associated with higher writing scores (e.g. “Adapt to the Audience”. Also, some belief subcategories were associated with each other. The results of the present study have been discussed along with the related literature on beliefs about writing and writing performance. Implications/suggestions related to the coursework, writing practices and future research have been presented.

  9. MBA Students' Workplace Writing: Implications for Business Writing Pedagogy and Workplace Practice

    Science.gov (United States)

    Lentz, Paula

    2013-01-01

    Employers frequently complain about the state of their employees' writing skills. Much of the current research on this subject explores workplace writing skills from the employer's perspective. However, this article examines workplace writing from the employees' perspective. Specifically, it analyzes MBA students' responses to a course assignment…

  10. THE USE OF RESEARCH PAPER WRITING INSTRUCTIONAL MATERIALSTO IMPROVE STUDENTS‟ACADEMIC WRITING: A CLASSROOM ACTION RESEARCH

    Directory of Open Access Journals (Sweden)

    M. Ali Ghufron

    2017-04-01

    Full Text Available Most of students in English Education Department of IKIP PGRI Bojonegoro frequently consider that academic writing, in term of writing scientific paper, is not easy task to do. The result of their academic writing performance at preliminary research indicated that they achieved low scores in writing a scientific article. Consequently, they are not motivated in academic writing. For this case, I used Research Paper Writing Instructional Materials as sources in teaching and learning. This research investigatedwhether the use of Research Paper Writing Instructional Materials can improve students‘ academic writing andhow class situation is when Research Paper Writing Instructional Materials are used as a source of teaching and learning process. This is a Classroom Action Research (CAR which is conducted at the fourth semester students of English Education Department of IKIP PGRI Bojonegoro in the academic year of 2014/2015. This research was done in two cycles. Each cycle consisted of four steps: Planning, Acting, Observing, and Reflecting. The qualitative data were collected through observation and interview. The quantitative data were collected through test. The research findings revealed that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and improve students‘ motivation in academic writing class.Derived from the findings, it can be concluded that the use of Research Paper Writing Instructional Materialscan improve students‘ academic writing and class situation. Therefore, it is recommended for the lecturers to use Research Paper Writing Instructional Materialsas it can improve students‘ academic writing as well as class situation.

  11. Acts of Writing: A Compilation of Six Models That Define the Processes of Writing

    Science.gov (United States)

    Sharp, Laurie A.

    2016-01-01

    Writing is a developmental and flexible process. Using a prescribed process for acts of writing during instruction does not take into account individual differences of writers and generates writing instruction that is narrow, rigid, and inflexible. Preservice teachers receive limited training with theory and pedagogy for writing, which potentially…

  12. Field Botany and Creative Writing: Where the Science of Writing Meets the Writing of Science

    Science.gov (United States)

    Killingbeck, Keith

    2006-01-01

    Merging science and writing to enhance both subjects was the objective of a venture known as "Plant Notes." At first, teacher-written notes served as the inspiration for this writing assignment. Later, eclectic student-written novellas, poems, song lyrics, mnemonic devices, and field trip recollections made their way into "Plant Notes" and stole…

  13. Examining the Read-to-Write Strategy and its Effects on Second Grader’s Writing of Sequential Text

    OpenAIRE

    Neal, John

    2017-01-01

    Writing is so important. It is important in school and in our careers; writing is found to be helpful physiologically and psychologically. Experts wonder, with writing so important, why is writing not being adequately taught in the schools. The answer may be that writing is complex and teaching it is even more complex. The Read-to-Write Strategy is a writing model based on the study of exemplary models of text and children are explicitly taught how to write the way an author writes through a ...

  14. Writing Editorials.

    Science.gov (United States)

    Pappas, Marjorie L.

    2003-01-01

    Presents a thematic unit for middle schools on editorial writing, or persuasive writing, based on the Pathways Model for information skills lessons. Includes assessing other editorials; student research process journals; information literacy and process skills; and two lesson plans that involve library media specialists as well as teachers. (LRW)

  15. Business Writing.

    Science.gov (United States)

    Burt, Lorna; Lewandowski, Carol

    This workbook, designed for workplace literacy courses, contains materials for a business writing course. The course presents the fundamentals of effective business letter writing, focusing on logical organization, word choice, style, tone, and clarity. The course uses students' own examples as well as practice exercises for reinforcement.…

  16. The Writing Crisis and How to Address It through Developmental Writing Classes

    Science.gov (United States)

    Sacher, Cassandra L. O.

    2016-01-01

    Since high school students are failing to master writing proficiency, developmental writing programs at the college level have become increasingly necessary. This article explains the lack of readiness with which students are entering college and the workplace, examines the reasons students are having trouble writing, and describes elements of…

  17. Writing lives in sport

    DEFF Research Database (Denmark)

    Christensen, Mette Krogh

    dealing with anonymous individuals, whose anonymity results from the confidentiality requirements of a social scientific research methodology, to those leaning more towards the literary-historical traditions of 'conventional' biographical writing. However, these examples are polar extremes and none...... in the academis world of sport studies. It does not set out to be a methodological treatise but through the writing of lives in sports does raise questions of method. Each essay in this collection deals with problems of writing sports-people's lives. These essays could be said to fall along a spectrum from those......Writing lives in sport is a book of stories about sports-persons. The people concerned include sports stars, sports people who are not quite so famous, and relatively unknown physical education teachers and sports scientists.Writing lives in sport raises questions about writing biographies...

  18. Scalable Direct Writing of Lanthanide-Doped KMnF3 Perovskite Nanowires into Aligned Arrays with Polarized Up-Conversion Emission.

    Science.gov (United States)

    Shi, Shuo; Sun, Ling-Dong; Xue, Ying-Xian; Dong, Hao; Wu, Ke; Guo, Shi-Chen; Wu, Bo-Tao; Yan, Chun-Hua

    2018-05-09

    The use of one-dimensional nano- and microstructured semiconductor and lanthanide materials is attractive for polarized-light-emission studies. Up-conversion emission from single-nanorod or anisotropic nanoparticles with a degree of polarization has also been discussed. However, microscale arrays of nanoparticles, especially well-aligned one-dimensional nanostructures as well as their up-conversion polarization characterization, have not been investigated yet. Herein, we present a novel and facile paradigm for preparing highly aligned arrays of lanthanide-doped KMnF 3 (KMnF 3 :Ln) perovskite nanowires, which are good candidates for polarized up-conversion emission studies. These perovskite nanowires, with a width of 10 nm and length of a few micrometers, are formed through the oriented attachment of KMnF 3 :Ln nanocubes along the [001] direction. By the employment of KMnF 3 :Ln nanowire gel as nanoink, a direct-writing method is developed to obtain diverse types of aligned patterns from the nanoscale to the wafer scale. Up-conversion emissions from the highly aligned nanowire arrays are polarized along the array direction with a polarization degree up to 60%. Taking advantage of microscopic nanowire arrays, these polarized up-conversion emissions should offer potential applications in light or information transportation.

  19. Supporting the Thesis Writing Process of International Research Students through an Ongoing Writing Group

    Science.gov (United States)

    Li, Linda Y.; Vandermensbrugghe, Joelle

    2011-01-01

    Evidence from research suggests writing support is particularly needed for international research students who have to tackle the challenges of thesis writing in English as their second language in Western academic settings. This article reports the development of an ongoing writing group to support the thesis writing process of international…

  20. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    Directory of Open Access Journals (Sweden)

    Behrooz Ghoorchaei

    2017-09-01

    Full Text Available The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionnaire, a self-efficacy belief questionnaire, and an IELTS writing task. The results of Pearson correlation tests showed that there were significant relationship between self-efficacy and writing strategies on the one hand, and self-efficacy and writing ability on the other hand. The results have some implications for teaching writing in the EFL context.

  1. Write Soon!

    Science.gov (United States)

    Rasinski, Timothy; Padak, Nancy

    2009-01-01

    This article explores the possibilities of using natural writing opportunities that occur in family life to nurture children's literacy development. From notes to lists to journals to parodies, families can use writing to nurture personal relationships and simultaneously improve literacy. Specific tips for teachers to share with parents in making…

  2. THE INFLUENCE OF LANGUAGE COMPETENCE, WRITING COMPETENCE, AND CULTURAL COMPETENCE ON PRODUCING A SUCCESSFUL WRITING

    Directory of Open Access Journals (Sweden)

    Hermanto Hermanto

    2008-11-01

    Full Text Available Writing is a skill derived from a long way of learning and exercises. Different from other language skills, writing is considered the difficult language skill to acquire since it involves many aspects of linguistics, social, and writing knowledge and conventions. There are at least three important elements of writing useful to produce a good piece of composition, language competence, writing competence and cultural competence. This paper shows the influence of these three elements in order to produce good, readable, communicative, and successful writing

  3. The Relationship between Writing Anxiety and Writing Disposition among Secondary School Students

    Science.gov (United States)

    Cocuk, Halil Erdem; Yanpar Yelken, Tugba; Ozer, Omer

    2016-01-01

    Problem Statement: Writing is important in secondary schools because it underpins the performance of students in most examinations. Writing disposition, which specifically deals with the aspects of students' attitudes toward writing, has also been studied by some researchers. Purpose of the Study: This study reports on the result of a study on the…

  4. The Write Stuff: Teaching the Introductory Public Relations Writing Course.

    Science.gov (United States)

    King, Cynthia M.

    2001-01-01

    Outlines an introductory public relations writing course. Presents course topics and objectives, and assignments designed to meet them. Provides a sample grading rubric and evaluates major public relations writing textbooks. Discusses learning and assessment strategies. (SR)

  5. Binary codes storage and data encryption in substrates with single proton beam writing technology

    International Nuclear Information System (INIS)

    Zhang Jun; Zhan Furu; Hu Zhiwen; Chen Lianyun; Yu Zengliang

    2006-01-01

    It has been demonstrated that characters can be written by proton beams in various materials. In contributing to the rapid development of proton beam writing technology, we introduce a new method for binary code storage and data encryption by writing binary codes of characters (BCC) in substrates with single proton beam writing technology. In this study, two kinds of BCC (ASCII BCC and long bit encrypted BCC) were written in CR-39 by a 2.6 MeV single proton beam. Our results show that in comparison to directly writing character shapes, writing ASCII BCC turned out to be about six times faster and required about one fourth the area in substrates. The approach of writing long bit encrypted BCC by single proton beams supports preserving confidential information in substrates. Additionally, binary codes fabricated by MeV single proton beams in substrates are more robust than those formed by lasers, since MeV single proton beams can make much deeper pits in the substrates

  6. Manufacturing of the ISO 25178-70 material measures with direct laser writing: a feasibility study

    Science.gov (United States)

    Eifler, M.; Hering, J.; von Freymann, G.; Seewig, J.

    2018-06-01

    The standard ISO 25178-70 defines material measures for the calibration of 2D- and 3D-topography measurement devices. Some of the suggested material measures are established within the industrial application for a long time while others have not yet been extensively researched regarding their practical abilities. This paper describes a holistic and systematic investigation of the ISO 25178-70 material measures. The manufacturing of the suggested geometries is executed with two-photon laser lithography, alias direct laser writing (DLW). Since this manufacturing process is not yet frequently used in a material measures context, it is examined regarding its suitability for the fabrication of the ISO 25178-70 material measures. With DLW, it is possible to manufacture multiple material measures on one sample in order to enable a comprehensive calibration of optical topography measurement devices. The manufactured ISO 25178-70 geometries are examined using different 3D-topography measuring devices. In doing so, their abilities regarding the calibration of the devices can be evaluated and the practical feasibility of their industrial application is assessed. For the review of this practical usefulness, varying calibration and evaluation strategies are taken into account.

  7. Language Learners' Writing Task Representation and Its Effect on Written Performance in an EFL Context.

    Science.gov (United States)

    Zarei, Gholam Reza; Pourghasemian, Hossein; Jalali, Hassan

    2017-06-01

    The present study attempts to give an account of how students represent writing task in an EAP course. Further, the study is intended to discover if learners' mental representation of writing would contribute to their written performance. During a 16-week term, students were instructed to practice writing as a problem solving activity. At almost the end of the term, they were prompted to write on what they thought writing task was like and also an essay on an argumentative topic. The results revealed that students could conceptualize the instructed recursive model of writing as a process-based, multi-dimensional and integrated activity inducing self-direction and organization while holding in low regard the product view of writing. The findings also demonstrated that task representation was related to the students' writing performance, with process oriented students significantly outperforming the product-oriented ones. Also, it was found that task representation components (ideational, linguistic, textual, interpersonal) had a significant relationship with the written performance ([Formula: see text]; Sig.: 0.006). The study can have both theoretical and practical implications with regard to the factors involving the students' writing internal processes and their effects on written performance.

  8. Initialization method for triple-layer exchanged coupled direct overwrite MO disk (abstract)

    Science.gov (United States)

    Hatwar, T. K.; Genova, D. J.; Palumbo, A. C.

    1993-05-01

    Increasing efforts are directed at the development of direct overwrite (DOW) capability for achieving higher data transfer rate in MO media. DOW by light intensity modulation on a triple-layer medium has shown great promise. The three layers consist of memory, reference, and intermediate layers that are weakly coupled to each other. This scheme uses an auxiliary magnet to initialize the reference layer in one direction in addition to the bias magnet. DOW is between high and low power levels. High power aligns the magnetization of the coupled layers in one direction, and low power aligns the magnetization in the opposite direction. These high (Ph) and low (Pl) powers are generally widely separated. Since the focused writing beam has a Gaussian profile, when the center of the spot does the high power writing, the ``skirt'' is actually doing the low power writing. As a result, if the background is initialized in the direction of the high power written mark, a chain-like domain pattern is observed. This leads to high writing noise. We found that such writing noise can be eliminated by initializing the background in the direction of the low power written marks. We will discuss the spin structure in exchanged coupled triple-layer films and the DOW characteristics of the disk with the two different initialization methods.

  9. The Relation of College Student Self-Efficacy toward Writing and Writing Self-Regulation Aptitude: Writing Feedback Perceptions as a Mediating Variable

    Science.gov (United States)

    Ekholm, Eric; Zumbrunn, Sharon; Conklin, Sarah

    2015-01-01

    Despite the powerful effect feedback often has on student writing success more research is needed on how students emotionally react to the feedback they receive. This study tested the predictive and mediational roles of college student writing self-efficacy beliefs and feedback perceptions on writing self-regulation aptitude. Results suggested…

  10. Writing in History: Effects of writing instruction on historical reasoning and text quality

    NARCIS (Netherlands)

    van Drie, J.; Braaksma, M.; van Boxtel, C.

    2015-01-01

    This study aims at gaining more insight in effective writing instruction to promote historical reasoning. In an experimental study, two types of instructions were compared; a general writing instruction and a discipline-based writing instruction. In addition, the effects of these instructions for

  11. Writing Blocks and Tacit Knowledge.

    Science.gov (United States)

    Boice, Robert

    1993-01-01

    A review of the literature on writing block looks at two kinds: inability to write in a timely, fluent fashion, and reluctance by academicians to assist others in writing. Obstacles to fluent writing are outlined, four historical trends in treating blocks are discussed, and implications are examined. (MSE)

  12. Teaching the Writing Process

    Science.gov (United States)

    Keen, John

    2017-01-01

    This article outlines some cognitive process models of writing composition. Possible reasons why students' writing capabilities do not match their abilities in some other school subjects are explored. Research findings on the efficacy of process approaches to teaching writing are presented and potential shortcomings are discussed. Product-based…

  13. 48 CFR 1852.242-70 - Technical direction.

    Science.gov (United States)

    2010-10-01

    ... Direction (SEP 1993) (a) Performance of the work under this contract is subject to the written technical... the Contracting Officer in writing in accordance with NASA FAR Supplement 1842.270. “Technical... in writing by the COTR. (d) The Contractor shall proceed promptly with the performance of technical...

  14. Technical report writing

    Science.gov (United States)

    Vidoli, Carol A.

    1992-01-01

    This manual covers the fundamentals of organizing, writing, and reviewing NASA technical reports. It was written to improve the writing skills of LeRC technical authors and the overall quality of their reports.

  15. Process-Product Approach to Writing: the Effect of Model Essays on EFL Learners’ Writing Accuracy

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2013-01-01

    Full Text Available Writing is one the most important skills in learning a foreign language. The significance of being able to write in a second or foreign language has become clearer nowadays. Accordingly, different approaches to writing such as product approach, process approach and more recently process-product approach came into existence and they have been the concern of SL/FL researchers. The aim of this study is to answer the question that whether the use of an incomplete model text in process-product approach to writing and asking the learners to complete the text rather than copying it can have a positive impact on EFL learners’ accuracy in writing. After training a number of EFL learners on using process approach, we held a two-session writing class. In the first session students wrote in the process approach, and in the second one they were given a model text to continue in the process-product approach. The writing performance of the students in these two sessions was compared in term of accuracy. Based on the students’ writing performance, we came to the conclusion that completing the model text in process-product writing can have a rather positive influence in some aspects of their writing accuracy such as punctuation, capitalization, spelling, subject-verb agreement, tense, the use of connectors, using correct pronouns and possessives. Also the results of the paired t-test indicate that using a model text to continue increased students’ writing accuracy.

  16. Classroom Writing Environments and Children's Early Writing Skills: An Observational Study in Head Start Classrooms

    Science.gov (United States)

    Zhang, Chenyi; Hur, Jinhee; Diamond, Karen E.; Powell, Douglas

    2015-01-01

    This study examined the classroom writing environment in 31 Head Start classrooms, and explored the relations between the writing environment, children's (N = 262) name-writing, and children's letter knowledge using pathway analysis. Our analyses showed that Head Start classrooms provided opportunities (i.e., writing materials and teachers'…

  17. Faculty Feelings as Writers: Relationship with Writing Genres, Perceived Competences, and Values Associated to Writing

    Science.gov (United States)

    del Pilar Gallego Castaño, Liliana; Castelló Badia, Montserrat; Badia Garganté, Antoni

    2016-01-01

    This study attempts to relate faculty feelings towards writing with writing genres, perceived competences and values associated to writing. 67 foreign languages faculty in Colombia and Spain voluntarily filled in a four-section on-line questionnaire entitled "The Writing Feelings Questionnaire." All the sections were Likert Scale type.…

  18. Writing Research Reports.

    Science.gov (United States)

    Sessler, Daniel I; Shafer, Steven

    2018-01-01

    Clear writing makes manuscripts easier to understand. Clear writing enhances research reports, increasing clinical adoption and scientific impact. We discuss styles and organization to help junior investigators present their findings and avoid common errors.

  19. Is Handwriting Performance Affected by the Writing Surface? Comparing Preschoolers', Second Graders', and Adults' Writing Performance on a Tablet vs. Paper.

    Science.gov (United States)

    Gerth, Sabrina; Klassert, Annegret; Dolk, Thomas; Fliesser, Michael; Fischer, Martin H; Nottbusch, Guido; Festman, Julia

    2016-01-01

    Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of 10 years, children's handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general and in particular those of non-automatized beginning writers. In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders, and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities, and handwriting performance (only second graders and adults). We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures [e.g., writing duration, writing velocity, strokes and number of inversions in velocity (NIV)]. In particular, NIVs which describe the number of velocity peaks during handwriting are directly related to the level of handwriting automaticity. In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants-even the experienced writers-were influenced by the lower friction of the tablet surface. Our results

  20. Is handwriting performance affected by the writing surface? Comparing preschoolers’, second graders’ and adults’ writing performance on a tablet versus paper

    Directory of Open Access Journals (Sweden)

    Sabrina Gerth

    2016-09-01

    Full Text Available Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of ten years, children’s handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general, and in particular those of non-automatized beginning writers.In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities and handwriting performance (only second graders and adults. We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures (e.g. writing duration, writing velocity, strokes and number of inversions in velocity (NIV. In particular, NIVs which describe the number of velocity peaks during handwriting, are directly related to the level of handwriting automaticity.In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants – even the experienced writers – were influenced by the lower friction of the

  1. Is Handwriting Performance Affected by the Writing Surface? Comparing Preschoolers', Second Graders', and Adults' Writing Performance on a Tablet vs. Paper

    Science.gov (United States)

    Gerth, Sabrina; Klassert, Annegret; Dolk, Thomas; Fliesser, Michael; Fischer, Martin H.; Nottbusch, Guido; Festman, Julia

    2016-01-01

    Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of 10 years, children's handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general and in particular those of non-automatized beginning writers. In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders, and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities, and handwriting performance (only second graders and adults). We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures [e.g., writing duration, writing velocity, strokes and number of inversions in velocity (NIV)]. In particular, NIVs which describe the number of velocity peaks during handwriting are directly related to the level of handwriting automaticity. In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants—even the experienced writers—were influenced by the lower friction of the tablet surface. Our

  2. Technical Writing in Hydrogeology.

    Science.gov (United States)

    Tinker, John R., Jr.

    1986-01-01

    A project for Writing Across the Curriculum at the University of Wisconsin-Eau Claire is described as a method to relate the process of writing to the process of learning hydrology. The project focuses on an actual groundwater contamination case and is designed to improve the technical writing skills of students. (JN)

  3. Writing and Science Literacy

    Science.gov (United States)

    Weiss-Magasic, Coleen

    2012-01-01

    Writing activities are a sure way to assess and enhance students' science literacy. Sometimes the author's students use technical writing to communicate their lab experiences, just as practicing scientists do. Other times, they use creative writing to make connections to the topics they're learning. This article describes both types of writing…

  4. The Evolution of a Writing Program.

    Science.gov (United States)

    White, Bonnie J; Lamson, Karen S

    2017-07-01

    Scholarly writing is required in nursing, and some students are unable to communicate effectively through writing. Faculty members may struggle with the grading of written assignments. A writing team, consisting of a nursing faculty member, the school of nursing library liaison, and members from academic support services, implemented strategies including workshops, handouts, and use of exemplars to improve student writing and to provide support to faculty. Few students sought help from the writing team. An online writing center within the existing learning management system was developed to address nursing students' and faculty's scholarly writing needs. The writing center includes guides, tutorials, and exemplars. Anecdotal evidence indicates the use of the writing center during afternoons and evenings and prior to due dates of written assignments. Online writing resources were used more frequently than face-to-face support. Further research is needed to evaluate the effectiveness of the program. [J Nurs Educ. 2017;56(7):443-445.]. Copyright 2017, SLACK Incorporated.

  5. The writing approaches of secondary students.

    Science.gov (United States)

    Lavelle, Ellen; Smith, Jennifer; O'Ryan, Leslie

    2002-09-01

    Research with college students has supported a model of writing approaches that defines the relationship between a writer and writing task along a deep and surface process continuum (Biggs, 1988). Based on that model, Lavelle (1993) developed the Inventory of Processes in College Composition which reflects students' motives and strategies as related to writing outcomes. It is also important to define the approaches of secondary students to better understand writing processes at that level, and development in written composition. This study was designed to define the writing approaches of secondary students by factor analysing students' responses to items regarding writing beliefs and writing strategies, and to compare the secondary approaches to those of college students. A related goal was to explore the relationships of the secondary writing approaches to perceived self-regulatory efficacy for writing (Zimmerman & Bandura, 1994), writing preferences, and writing outcomes. The initial, factor analytic phase involved 398 junior level high school students (11th grade) enrolled in a mandatory language arts class at each of three large Midwestern high schools (USA). Then, 49 junior level students enrolled in two language arts classes participated as subjects in the second phase. Classroom teachers administered the Inventory of Processes in College Composition (Lavelle, 1993), which contained 72 true-or-false items regarding writing beliefs and strategies, during regular class periods. Data were factor analysed and the structure compared to that of college students. In the second phase, the new inventory, Inventory of Processes in Secondary Composition, was administered in conjunction with the Perceived Self-Regulatory Efficacy for Writing Inventory (Zimmerman & Bandura, 1994), and a writing preferences survey. A writing sample and grade in Language Arts classes were obtained and served as outcome variables. The factor structure of secondary writing reflected three

  6. A Pink Writing Experiment

    Directory of Open Access Journals (Sweden)

    Teija Löytönen

    2015-10-01

    Full Text Available This article addresses a collaborative writing experiment that explores spaces of diverse encounters that began at a research conference held in the Flamingo hotel in Las Vegas; spaces where knowings emerge in the (shared moment, in-between (ourselves, prompted by different (research questions and entanglements of matter and meaning. Through these multiple and emergent writing encounters we explore ways towards collaborative scholarly writing and accessible ways of working and knowing beyond the immediately known or sensed. In addition, this collaborative writing experiment serves to inspire and engage participants (qualitative researchers and ethnographers alike to explore, share, and disseminate knowledge across contexts differently. We call for writing in qualitative research that senses, figures out, and “reveals” via moving and sensuous bodies, and emerging embodied encounters within particular spaces.

  7. Reading Violence in Boys' Writing.

    Science.gov (United States)

    Anderson, Michael

    2003-01-01

    Describes how a teacher finds value in popular culture and violent writing by closely examining the writing of a student who laces his stories with explosions and battles. Finds that once he began to see the similarities between the media his student experiences, the writing the student prefers, and his own favorite media and writing, the teacher…

  8. The New Interface for Writing

    Science.gov (United States)

    Hadi-Tabassum, Samina

    2014-01-01

    Schools are scrambling to prepare their students for the writing assessments in correlation with the Common Core tests. In some states, writing has not been assessed for more than a decade. Yet, with the use of computerized grading of the students' writing, many teachers are wondering how to best prepare students for the writing assessments,…

  9. The Quotation Theory of Writing

    Science.gov (United States)

    Olson, David R.; Oatley, Keith

    2014-01-01

    Learning to read and write is seen as both the acquisition of skills useful in a modern society and an introduction to a world increasingly organized around the reading and writing of authoritative texts. While most agree on the importance of writing, insufficient attention has been given to the more basic question of just what writing is, that…

  10. Student Perceptions of Scholarly Writing

    Directory of Open Access Journals (Sweden)

    Shirley Peganoff O'Brien

    2016-07-01

    Full Text Available Learning the process of scholarly writing, including the significance of peer review, is an essential element in the preparation of students for professional practice. This descriptive research study, using Scholarship of Teaching and Learning methodology, explores one approach to teaching scholarly writing in an occupational science/occupational therapy curriculum. The writing assignment was designed to offer multiple points for feedback and revision and instructional features to reinforce learning. A survey of students [n = 169] participating in this scholarly writing project was conducted yearly to gather their perceptions of learning. The results revealed four key elements: instructional strategies are needed to support scholarly writing, students value explicit instructor feedback, a successful writing experience opens the possibility for students to write in their professional future, and students will develop the habits of a writer given structure and pedagogical considerations in the assignment construction. This experience shows students will work to achieve the expected standard for scholarship once writing is made an essential part of the course and their efforts are supported by scaffolding the assignment. Through this experience, it was also learned students need opportunities for repetition and practice to refine scholarly writing. Suggestions for future research are proposed.

  11. The Impact of Computer-Assisted Writing on Improving Writing Scores for Urban Eighth-Grade Students

    Science.gov (United States)

    Williams-Butler, LaTilya

    2016-01-01

    The purpose of this study was to investigate the impact standards-based aligned computer-assisted writing instruction had on improving writing scores for eighth-grade students that attend an urban middle school. The researcher wanted to remedy the problem of low writing achievement of eighth-grade students and determine if writing across the…

  12. What Basic Writers Think about Writing.

    Science.gov (United States)

    Eves-Bowden, Anmarie

    2001-01-01

    Explores basic writing students' current writing processes, their thoughts on their writing, and their introduction to a structured writing process model. Suggests that educators can assist basic writers in becoming successful college writers by introducing them to a structured writing process model while also helping them to become reflective…

  13. Evaluating Writing Instruction through an Investigation of Students' Experiences of Learning through Writing

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2005-01-01

    Learning through writing is a way of learning not only the appropriate written expression of disciplinary knowledge, but also the knowledge itself through reflection and revision. This study investigates the quality of a writing experience provided to university students in a first-year biology subject. The writing instruction methodology used is…

  14. Direct laser writing of superconducting patterns of Y1Ba2Cu3O/sub 7-//sub δ/

    International Nuclear Information System (INIS)

    Gupta, A.; Koren, G.

    1988-01-01

    Direct argon ion laser writing of 40-μm-wide and 3-μm-thick superconducting lines of Y 1 Ba 2 Cu 3 O/sub 7-//sub δ/ on magnesium oxide (MgO) substrate is reported. A 514.5 nm laser beam of 300 mW was focused onto a thin sprayed film of the nitrate precursors (Y:Ba:Cu = 1:2:3) and scanned over it. The laser beam converted oxides along the irradiation path. The film was then ''developed'' by rinsing it in ethanol, which removed the unirradiated areas, but left the irradiated areas intact. This was followed by the standard oven annealing procedure in flowing oxygen. The resistivity of the laser-written lines as a function of temperature showed an onset and completion of the superconducting transition at 82 and 69 K, respectively. The corresponding values of the unpatterned, blanket film were 82 and 77 K, respectively

  15. Facile fabrication of a superhydrophobic cage by laser direct writing for site-specific colloidal self-assembled photonic crystal.

    Science.gov (United States)

    Yoo, Jae-Hyuck; Kwon, Hyuk-Jun; Paeng, Dongwoo; Yeo, Junyeob; Elhadj, Selim; Grigoropoulos, Costas P

    2016-04-08

    Micron-sized ablated surface structures with nano-sized 'bumpy' structures were produced by femtosecond (fs) laser ablation of polytetrafluoroethylene (PTFE) film under ambient conditions. Upon just a single step, the processed surface exhibited hierarchical micro/nano morphology. In addition, due to the tribological properties of PTFE, polydimethylsiloxane (PDMS) could be replicated from the laser-ablated PTFE surface without anti-adhesive surface treatment. By controlling the design of the ablated patterns, tunable wettability and superhydrophobicity were achieved on both PTFE and PDMS replica surfaces. Furthermore, using fs laser ablation direct writing, a flexible superhydrophobic PDMS cage formed by superhydrophobic patterns encompassing the unmodified region was demonstrated for aqueous droplet positioning and trapping. Through evaporation-driven colloidal self-assembly in this superhydrophobic cage, a colloidal droplet containing polystyrene (PS) particles dried into a self-assembled photonic crystal, whose optical band gap could be manipulated by the particle size.

  16. Laser Direct Write micro-fabrication of large area electronics on flexible substrates

    Science.gov (United States)

    Zacharatos, F.; Makrygianni, M.; Geremia, R.; Biver, E.; Karnakis, D.; Leyder, S.; Puerto, D.; Delaporte, P.; Zergioti, I.

    2016-06-01

    To date, Laser Direct Write (LDW) techniques, such as Laser Induced Forward Transfer (LIFT), selective laser ablation and selective laser sintering of metal nanoparticle (NP) ink layers are receiving growing attention for the printing of uniform and well-defined conductive patterns with resolution down to 10 μm. For flexible substrates in particular, selective laser sintering of such NP patterns has been widely applied, as a low temperature and high resolution process compatible with large area electronics. In this work, LDW of silver NP inks has been carried out on polyethylene-terephthalate (PET), polyethylene-naphthalate (PEN) and polyimide (PI) substrates to achieve low electrical resistivity electrodes. In more detail, high speed short pulsed (picosecond and nanosecond) lasers with repetition rates up to 1 MHz were used to print (LIFT) metal NP inks. We thus achieved uniform and continuous patterns with a minimum feature size of 1 μm and a total footprint larger than 1 cm2. Next, the printed patterns were laser sintered with ns pulses at 532 nm over a wide laser fluence window, resulting in an electrical resistivity of 10 μΩ cm. We carried out spatial beam shaping experiments to achieve a top-hat laser intensity profile and employed selective laser ablation of thin films (thickness on the order of 100 nm) to produce silver micro-electrodes with a resolution on the order of 10 μm and a low line edge roughness. Laser sintering was combined with laser ablation to constitute a fully autonomous micro-patterning technique of metallic micro-features, with a 10 μm resolution and geometrical characteristics tuned for interdigitated electrodes for sensor applications.

  17. The science writing tool

    Science.gov (United States)

    Schuhart, Arthur L.

    This is a two-part dissertation. The primary part is the text of a science-based composition rhetoric and reader called The Science Writing Tool. This textbook has seven chapters dealing with topics in Science Rhetoric. Each chapter includes a variety of examples of science writing, discussion questions, writing assignments, and instructional resources. The purpose of this text is to introduce lower-division college science majors to the role that rhetoric and communication plays in the conduct of Science, and how these skills contribute to a successful career in Science. The text is designed as a "tool kit," for use by an instructor constructing a science-based composition course or a writing-intensive Science course. The second part of this part of this dissertation reports on student reactions to draft portions of The Science Writing Tool text. In this report, students of English Composition II at Northern Virginia Community College-Annandale were surveyed about their attitudes toward course materials and topics included. The findings were used to revise and expand The Science Writing Tool.

  18. Genre based Approach to Teach Writing Descriptive Text

    Directory of Open Access Journals (Sweden)

    Putu Ngurah Rusmawan

    2017-10-01

    Full Text Available This study aims to discuss how teaching and learning activities were carried out by using Genre based Approach in teaching writing descriptive text at junior high school. This study was conducted in the classroom of VII-1. Therefore, the appropriate design was qualitative research design. The subject of the study was the English teacher. To collect data, the researcher used observation and interview. The finding of the study described that the teaching and learning activities that were carried out by the teacher fulfilled the basic competencies. The teacher carried out the opening teaching activities by greeting, asking the students’ preparation during the lesson, checking the student’s attendance list, and informing the learning objective. The teacher carried out the main teaching activities by informing about how to write a descriptive text, giving, and asking opinions, eliciting the students’ understanding, prompting and directing to do exercises. The teacher carried out the closing teaching activities by directing the student to continue at home and eliciting the students’ reflection of what they could learn at that time.

  19. An ESL Audio-Script Writing Workshop

    Science.gov (United States)

    Miller, Carla

    2012-01-01

    The roles of dialogue, collaborative writing, and authentic communication have been explored as effective strategies in second language writing classrooms. In this article, the stages of an innovative, multi-skill writing method, which embeds students' personal voices into the writing process, are explored. A 10-step ESL Audio Script Writing Model…

  20. Writing for Professional Publication: Three Road Signs for Writing Success

    Science.gov (United States)

    Buttery, Thomas J.

    2010-01-01

    In the first edition of Writing for Publication: An Organizational Paradigm (Buttery, 2010), I recommend a model for organizing theoretical articles. The process includes seven components: title, introduction, outline/advanced organizer, headings, transitions, summary and references. This article will focus on the writing process. The strands of…

  1. Building a scholar in writing (BSW): A model for developing students' critical writing skills.

    Science.gov (United States)

    Bailey, Annette; Zanchetta, Margareth; Velasco, Divine; Pon, Gordon; Hassan, Aafreen

    2015-11-01

    Several authors have highlighted the importance of writing in developing reflective thinking skills, transforming knowledge, communicating expressions, and filling knowledge gaps. However, difficulties with higher order processing and critical analysis affect students' ability to write critical and thoughtful essays. The Building a Scholar in Writing (BSW) model is a 6-step process of increasing intricacies in critical writing development. Development of critical writing is proposed to occur in a processed manner that transitions from presenting simple ideas (just bones) in writing, to connecting ideas (connecting bones), to formulating a thesis and connecting key components (constructing a skeleton), to supporting ideas with evidence (adding muscle), to building creativity and originality (adding essential organs), and finally, developing strong, integrated, critical arguments (adding brain). This process symbolically represents the building of a scholar. The idea of building a scholar equates to progressively giving life and meaning to a piece of writing with unique scholarly characteristics. This progression involves a transformation in awareness, thinking, and understanding, as well as advancement in students' level of critical appraisal skills. Copyright © 2015 Elsevier Ltd. All rights reserved.

  2. SOME THOUGHTS ON WRITING SKILLS

    Directory of Open Access Journals (Sweden)

    Sim Monica Ariana

    2010-07-01

    Full Text Available Writing is one of the central pillars of language learning and should be of major interest and concern to teachers, students and researchers. This paper is intended to be a plea for writing and explores issues regarding instruction and evaluation of writing skills of nonnative speaker students. It examines expectations of nonnative speakers writing quality and performance on writing proficiency exams, as well. Finally, it is trying to ring a bell about this skill that has been neglected in spite of its importance when it comes to foreign language acquisition

  3. Improving Writing through Stages

    Science.gov (United States)

    Rivera Barreto, Adriana Maritza

    2011-01-01

    Writing as a means of communication is one of the basic skills students must master at the university level. Although it is not an easy task because students are usually reluctant to correct, teachers have great responsibility at the time of guiding a writing process. For that reason, this study aimed at improving the writing process in fourth…

  4. LUDIC WRITING: CHALLENGES IN GAMIFYING ENGLISH CREATIVE WRITING CLASS FOR TECHNOPRENEURIAL PURPOSES

    Directory of Open Access Journals (Sweden)

    SF. Luthfie Arguby Purnomo

    2017-03-01

    Full Text Available This paper, first of three research parts, attempts to describe the challenges English Letters at IAIN (Institut Agama Islam Negeri/State Islamic Institute Surakarta faced in implementing gamification for technopreneurial purposes in regard to the transformation of a creative writing class into a ludic writing class, a gamification infused writing class. The challenges revealed are story-game script adaptation, integration portion, and monetization. Specific problems occur on each challenge. Story-game script adaptation exposes three problems namely (1 conditional branching system (2 visualization (3 copyrighted material issues (4 and writing mechanics adaptation. Integration portion challenge displays a problem on the insufficient alloted time for gamifying the creative writing class. Monetization challenge indicates three problems namely (1 the inexistence of monetization team, (2 the inexistence of institutional regulation for monetization management by study programs, (3 responses to gaming trends. Responding to these problems, solutions specifically designed based on the nature of the problems are implemented.

  5. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, July). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presented at the meeting of EARLI SIG Writing, Porto, Portugal.

  6. Writing Feature Articles with Intermediate Students

    Science.gov (United States)

    Morgan, Denise N.

    2010-01-01

    Students need regular opportunities to write expository text. However, focusing on report writing often leaves students without strong examples to study or analyze to guide and grow their own writing. Writing and studying feature articles, meant to inform and explain, can become an alternative to report writing, as they can easily be located in…

  7. Technical report writing today

    CERN Document Server

    Riordan, Daniel G

    2014-01-01

    "Technical Report Writing Today" provides thorough coverage of technical writing basics, techniques, and applications. Through a practical focus with varied examples and exercises, students internalize the skills necessary to produce clear and effective documents and reports. Project worksheets help students organize their thoughts and prepare for assignments, and focus boxes highlight key information and recent developments in technical communication. Extensive individual and collaborative exercises expose students to different kinds of technical writing problems and solutions. Annotated student examples - more than 100 in all - illustrate different writing styles and approaches to problems. Numerous short and long examples throughout the text demonstrate solutions for handling writing assignments in current career situations. The four-color artwork in the chapter on creating visuals keeps pace with contemporary workplace capabilities. The Tenth Edition offers the latest information on using electronic resum...

  8. The Relationship between Writing Strategies, Self-Efficacy and Writing Ability: A Case of Iranian EFL Students

    OpenAIRE

    Behrooz Ghoorchaei; Ali Arabmofrad

    2017-01-01

    The aim of the present study was to explore the relationship between self-efficacy beliefs, writing strategies, and writing abilities of Iranian EFL learners. The study first investigated the relationship between self-efficacy and writing strategies, then examined the relationship between self-efficacy and writing ability. The participants were 120 students learning English in Iran Language Institute in Gorgan, Iran. Data were gathered by means of a writing strategies questionn...

  9. A Critical Review of the IELTS Writing Test

    Science.gov (United States)

    Uysal, Hacer Hande

    2010-01-01

    Administered at local centres in 120 countries throughout the world, IELTS (International English Language Testing System) is one of the most widely used large-scale ESL tests that also offers a direct writing test component. Because of its popularity and its use for making critical decisions about test takers, it is crucial to draw attention to…

  10. From University Writing to Workplace Writing: The Case of Social ...

    African Journals Online (AJOL)

    This is a case study of social work students' initial experiences with professional writing. The paper addresses the issue of academic writing with special attention to the types of documents written by social work students on their fieldwork placements using twelve students who volunteered to be interviewed. Their views are ...

  11. How to Write (Even) Better Academic Student Reports and Papers

    DEFF Research Database (Denmark)

    Prætorius, Thim

    2017-01-01

    and Content of an Academic Report/Paper covers the seven required parts that make up an academic piece of work. That is, introduction (e.g., setting the hook), theory and/or literature review (e.g., which literature to you draw on and contribute to), methods (how did you study the research question), findings......Writing good academic papers or reports that demonstrate academic rigour is not necessarily easy for university students (or academics for that matter). Common problems include lacking academic rigour when studying the research problem and difficulties in identifying literature and using theo-ry...... students write methods before theory but doing so means you need to operationalize theory before you have introduced it. The second theme, Gen-eral Tips and Tricks, provide rules of thumb (e.g., that you should kill your darlings), writing style and argumentation hints (e.g., use direct voice) and layout...

  12. GaAs circuit restructuring by multi-level laser-direct-written tungsten process

    International Nuclear Information System (INIS)

    Black, J.G.; Doran, S.P.; Rothschild, M.; Sedlacek, J.H.C.; Ehrlich, D.J.

    1987-01-01

    Laser-direct-writing processes are employed to fabricate a GaAs digital integrated circuit. The lithography-free techniques deposit and etch conductors and resistors, and remove insulating layers, thus enabling multilevel interconnections. These combined direct-write processes provide the flexibility of clip-lead prototyping on a micrometer scale

  13. Peer scaffolding in an EFL writing classroom: An investigation of writing accuracy and scaffolding behaviors

    Directory of Open Access Journals (Sweden)

    Parastou Gholami Pasand

    2017-09-01

    Full Text Available Considering the tenets of Sociocultural Theory with its emphasis on co-construction of knowledge, L2 writing can be regarded as a co-writing practice whereby assistance is provided to struggling writers. To date, most studies have dealt with peer scaffolding in the revision phase of writing, as such planning and drafting are remained untouched. The present study examines the impact of peer scaffolding on writing accuracy of a group of intermediate EFL learners, and explores scaffolding behaviors employed by them in planning and drafting phases of writing. To these ends, 40 freshmen majoring in English Language and Literature in the University of Guilan were randomly divided into a control group and an experimental group consisting of dyads in which a competent writer provided scaffolding to a less competent one using the process approach to writing. Results of independent samples t-tests revealed that learners in the experimental group produced more accurate essays. Microgenetic analysis of one dyad’s talks showed that scaffolding behaviors used in planning and drafting phases of writing were more or less the same as those identified in the revision phase. These findings can be used to inform peer intervention in L2 writing classes, and assist L2 learners in conducting successful peer scaffolding in the planning and drafting phases of writing.

  14. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  15. The Relationships among Writing Skills, Writing Anxiety and Metacognitive Awareness

    Science.gov (United States)

    Balta, Elif Emine

    2018-01-01

    The purpose of this study was to investigate the relationships among students' argumentative text writing skills, writing anxiety, and metacognitive awareness. The participants were composed of 375 8th graders in six middle schools in Sivas. Metacognitive Awareness Inventory (B Form) which was adapted in to Turkish by Karakelle & Saraç (2007)…

  16. Beyond "Writing to Learn": Factors Influencing Students' Writing Outcomes

    Science.gov (United States)

    Jani, Jayshree S.; Mellinger, Marcela Sarmiento

    2015-01-01

    Social work educators concur that writing and critical thinking are basic components of effective practice, yet students are often deficient in these skills. Although there is agreement among educators about the need to enhance students' writing skills, there is little understanding of the nature of students' problems--a necessary step…

  17. Practices of reading and writing in five diferent programs of the Sergio Arboleda university

    Directory of Open Access Journals (Sweden)

    Blanca González

    2010-06-01

    Full Text Available This paper presents the results of an investigation into the practices of reading and writing present in five courses of different programs assigned at the Sergio Arboleda University (Bogotá. The research derives from the following questions: What is the role of reading and writing process in the course of some programs at the University? How is assign, directed and accompanied the task of reading and writing? and how are assessed the progress and results in the process of reading and writing? The information was obtained from written tests, surveys, classroom observations and interviews with teachers of these programs. After the analysis process, were set up five units of information, which in the case of reading were reading assignment, intervention guidance, intervention to clarify, evaluation and assessments of teachers, and for the case of writing: defining text types, intervention process, intervention in the correction process, evaluation and assessments of teachers.

  18. A Heuristic Tool for Teaching Business Writing: Self-Assessment, Knowledge Transfer, and Writing Exercises

    Science.gov (United States)

    Ortiz, Lorelei A.

    2013-01-01

    To teach effective business communication, instructors must target students’ current weaknesses in writing. One method for doing so is by assigning writing exercises. When used heuristically, writing exercises encourage students to practice self-assessment, self-evaluation, active learning, and knowledge transfer, all while reinforcing the basics…

  19. Technological mediation as a learning tool for writing and reading

    Directory of Open Access Journals (Sweden)

    Gladys Molano Caro

    2015-12-01

    Full Text Available This article disclosed the progress a technological mediation has built to the adquisition, use and development of reading and writing from Cognitive Affective Method for Learning -MACPA-. A development like the one being proposed, is an option for children and young people to, activate, promote, develop and / or enhance the learning of reading and writing. Likewise, it is an option to consider the results achieved in the PISA test and case reports, done by teachers by teachers, showing that that elementary students do not perform production of texts so spontaneous or directed; and they fail to make progress in reading comprehension levels. Given this context, the partial results achieved in the second phase of the research aims to implement a technology platform based mediation MACPA as an educational resource to enhance the processes of reading and writing among students from first to fourth grades of primary education. Accordingly, through Article basis be found in a software for reading and writing that takes into account the particularities of learning of students with intellectual disabilities, learning disabilities in students who have not evidenced difficulties in academic learning processes, though they require a new method to accelerate learning.

  20. Scientific writing and editing: a new role for the library.

    Science.gov (United States)

    Stephens, P A; Campbell, J M

    1995-10-01

    Traditional library instruction programs teach scientists how to find and manage information, but not how to report their research findings effectively. Since 1990, the William H. Welch Medical Library has sponsored classes on scientific writing and, since 1991, has offered a fee-based editing service for affiliates of the Johns Hopkins Medical Institutions. These programs were designed to fill an educational gap: Although formal instruction was offered to support other phases of the scientific communication process, the medical institutions had no central resource designed to help scientists develop and improve their writing skills. The establishment of such a resource at Welch has been well received by the community. Attendance at classes has grown steadily, and in 1993 a credit course on biomedical writing was added to the curriculum. The editing service, introduced in late 1991, has generated more requests for assistance than can be handled by the library's editor. This service not only extends the library's educational outreach but also generates a revenue stream. The Welch program in scientific writing and editing, or elements of it, could provide a model for other academic medical libraries interested in moving in this new direction.

  1. Some technical writing skills industry needs

    Science.gov (United States)

    Smith, F. R.

    1981-01-01

    It is suggested that engineers and other technical students be taught three classes of skills in technical writing. First, "Big Picture Things", which includes: the importance of clear writing, the wide scope of writing, the wide scope of writing tasks that will be faced in industry, and the principles of organization of technical materials such as; how to analyze, classify, partition, and interpret. Second, "Writing Procedures", which encompasses: how to get words on paper efficiently and team-write. Third, "Writing Details", in which two considerations are important: how to achieve precision in the use of language and the aspects of style. Three problems in style are cited: the problem of sentence transition, overuse of attributive adjectives, and verbosity in paragraph structure. The most important thing in technical writing is considered to be functionality, economy and clarity.

  2. English Writing Teaching Model Dependent on Computer Network Corpus Drive Model

    Directory of Open Access Journals (Sweden)

    Shi Lei

    2018-03-01

    Full Text Available At present, the mainstream lexicalized English writing methods take only the corpus dependence between words into consideration, without introducing the corpus collocation and other issues. “Drive” is a relatively essential feature of words. And once the drive structure of a word is determined, it will be relatively clear what kinds of words to collocate with, hence the structure of the sentence can be derived relatively directly. In this paper, the English writing model that relies on the computer network corpus drive model is put forward. In this model, rich English corpus is introduced in the decomposition of the rules and the calculation of the probability, which includes not only the corpus dependence information, but also the drive structure and other corpus collocation information. Improved computer network corpus drive model is used to carry out the English writing teaching experiment. The experimental results show that the precision and the recall rate are 88.76% and 87.43%, respectively. The F value of the comprehensive index is improved by 6.65% compared with the Collins headword driven English modes of writing.

  3. Writing for Impact

    DEFF Research Database (Denmark)

    Meier, Ninna

    2016-01-01

    Academic work may have impact in a variety of ways, depending on purpose, audience and field, but this is most likely to happen when your work resonates in meaningful ways with people. Ninna Meier encourages a more systematic investigation of the role of writing in achieving impact. Impact through...... writing means getting your readers to understand and remember your message and leave the reading experience changed. The challenge is to make what you write resonate with an audience’s reservoir of experiential knowledge. If the words do not connect to anything tangible, interest can be quickly lost....

  4. Highly transparent and conductive Al-doped ZnO nanoparticulate thin films using direct write processing

    International Nuclear Information System (INIS)

    Vunnam, S; Ankireddy, K; Kellar, J; Cross, W

    2014-01-01

    Solution processable Al-doped ZnO (AZO) thin films are attractive candidates for low cost transparent electrodes. We demonstrate here an optimized nanoparticulate ink for the fabrication of AZO thin films using scalable, low-cost direct write processing (ultrasonic spray deposition) in air at atmospheric pressure. The thin films were made via thermal processing of as-deposited films. AZO films deposited using the proposed nanoparticulate ink with further reducing in vacuum and rf plasma of forming gas exhibited optical transparency greater than 95% across the visible spectrum, and electrical resistivity of 0.5 Ω cm and it drops down to 7.0 × 10 −2 Ω cm after illuminating with UV light, which is comparable to commercially available tin doped indium oxide colloidal coatings. Various structural analyses were performed to investigate the influence of ink chemistry, deposition parameters, and annealing temperatures on the structural, optical, and electrical characteristics of the spray deposited AZO thin films. Optical micrographs confirmed the presence of surface defects and cracks using the AZO NPs ink without any additives. After adding N-(2-Aminoethyl)-3-aminopropylmethyldimethoxy silane to the ink, AZO films exhibited an optical transparency which was virtually identical to that of the plain glass substrate. (papers)

  5. Robots Learn Writing

    Directory of Open Access Journals (Sweden)

    Huan Tan

    2012-01-01

    Full Text Available This paper proposes a general method for robots to learn motions and corresponding semantic knowledge simultaneously. A modified ISOMAP algorithm is used to convert the sampled 6D vectors of joint angles into 2D trajectories, and the required movements for writing numbers are learned from this modified ISOMAP-based model. Using this algorithm, the knowledge models are established. Learned motion and knowledge models are stored in a 2D latent space. Gaussian Process (GP method is used to model and represent these models. Practical experiments are carried out on a humanoid robot, named ISAC, to learn the semantic representations of numbers and the movements of writing numbers through imitation and to verify the effectiveness of this framework. This framework is applied into training a humanoid robot, named ISAC. At the learning stage, ISAC not only learns the dynamics of the movement required to write the numbers, but also learns the semantic meaning of the numbers which are related to the writing movements from the same data set. Given speech commands, ISAC recognizes the words and generated corresponding motion trajectories to write the numbers. This imitation learning method is implemented on a cognitive architecture to provide robust cognitive information processing.

  6. Chinese children's early knowledge about writing.

    Science.gov (United States)

    Zhang, Lan; Yin, Li; Treiman, Rebecca

    2017-09-01

    Much research on literacy development has focused on learners of alphabetic writing systems. Researchers have hypothesized that children learn about the formal characteristics of writing before they learn about the relations between units of writing and units of speech. We tested this hypothesis by examining young Chinese children's understanding of writing. Mandarin-speaking 2- to 5-year-olds completed a graphic task, which tapped their knowledge about the formal characteristics of writing, and a phonological task, which tapped their knowledge about the correspondence between Chinese characters and syllables. The 3- to 5-year-olds performed better on the graphic task than the phonological task, indicating that learning how writing appears visually begins earlier than learning that writing corresponds to linguistic units, even in a writing system in which written units correspond to syllables. Statement of contribution What is already known on this subject? Learning about writing's visual form, how it looks, is an important part of emergent literacy. Knowledge of how writing symbolizes linguistic units may emerge later. What does this study add? We test the hypothesis that Chinese children learn about writing's visual form earlier than its symbolic nature. Chinese 3- to 5- year-olds know more about visual features than character-syllable links. Results show learning of the visual appearance of a notation system is developmentally precocious. © 2016 The British Psychological Society.

  7. Encapsulation of Polymer Colloids in a Sol-Gel Matrix. Direct-Writing of Coassembling Organic-Inorganic Hybrid Photonic Crystals.

    Science.gov (United States)

    Mikosch, Annabel; Kuehne, Alexander J C

    2016-03-22

    The spontaneous self-assembly of polymer colloids into ordered arrangements provides a facile strategy for the creation of photonic crystals. However, these structures often suffer from defects and insufficient cohesion, which result in flaking and delamination from the substrate. A coassembly process has been developed for convective assembly, resulting in large-area encapsulated colloidal crystals. However, to generate patterns or discrete deposits in designated places, convective assembly is not suitable. Here we experimentally develop conditions for direct-writing of coassembling monodisperse dye-doped polystyrene particles with a sol-gel precursor to form solid encapsulated photonic crystals. In a simple procedure the colloids are formulated in a sol-gel precursor solution, drop-cast on a flat substrate, and dried. We here establish the optimal parameters to form reproducible highly ordered photonic crystals with good optical performance. The obtained photonic crystals interact with light in the visible spectrum with a narrow optical stop-gap.

  8. Questions and Issues in Basic Writing and Computing (Computers and Controversy).

    Science.gov (United States)

    Gay, Pamela

    1991-01-01

    Presents findings from 18 reviewed studies with regard to attitude and the quality of writing performance. Discusses pedagogy and the problem of defining basic writers. Suggests research directions that can help move educators toward a new pedagogy. (MG)

  9. Special Issue on Gender and Writing | Gender and literacy issues and research: Placing the spotlight on writing

    Directory of Open Access Journals (Sweden)

    Judy M. Parr

    2012-03-01

    Full Text Available In this introduction to a special issue of the Journal of Writing Research, we review four decades of research, bringing writing to the forefront in conversations devoted to gender and literacy. We identify the impetus for much of the research on gender and writing and situate the four articles in this special issue within three themes: gender patterns in what and how students write, cognitive and socio-cultural factors influencing gender differences in student writing, and attempts to provide alternatives to stereotypical gender patterns in student writing. These interdisciplinary themes, further developed within the four articles, underscore the need to consider gender as a complex social, cognitive and linguistic characteristic of both reading and writing.

  10. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  11. The Effect of Dialogue Journal Writing on EFL Learners' Descriptive Writing Performance: A Quantitative Study

    Directory of Open Access Journals (Sweden)

    Ali Dabbagh

    2017-03-01

    Full Text Available This study sought to evaluate the effect of dialogue journal writing on writing performance as well as its different sub-components, namely content, organization, vocabulary, language use, and mechanics (Following Polio, 2013. Participants were 84 EFL intermediate learners who were selected based on their performance on Oxford Quick Placement Test (2004 and divided randomly into experimental and control groups. While the participants in the control group took part in descriptive writing pre and post-tests only, their counterparts in experimental group were asked to write 3 journals a week for about 6 months in the period between the pre- and post-tests. The instructor of the experimental group provided feedback to each journal entry mostly on its content and message to which the participants replied in a dialogic manner. Results of independent sample t-test located a significant difference between the experimental and control group regarding the overall writing performance, as well as the sub-components of content, organization, and vocabulary in the post-test. However, the obtained results did not reveal a significant effect of dialogue journal writing on language use and mechanics of writing performance. The results which promise implications for writing instructors, curriculum developers, and material designers are fully discussed.

  12. Fabrication of three-dimensional polymer quadratic nonlinear grating structures by layer-by-layer direct laser writing technique

    Science.gov (United States)

    Bich Do, Danh; Lin, Jian Hung; Diep Lai, Ngoc; Kan, Hung-Chih; Hsu, Chia Chen

    2011-08-01

    We demonstrate the fabrication of a three-dimensional (3D) polymer quadratic nonlinear (χ(2)) grating structure. By performing layer-by-layer direct laser writing (DLW) and spin-coating approaches, desired photobleached grating patterns were embedded in the guest--host dispersed-red-1/poly(methylmethacrylate) (DR1/PMMA) active layers of an active-passive alternative multilayer structure through photobleaching of DR1 molecules. Polyvinyl-alcohol and SU8 thin films were deposited between DR1/PMMA layers serving as a passive layer to separate DR1/PMMA active layers. After applying the corona electric field poling to the multilayer structure, nonbleached DR1 molecules in the active layers formed polar distribution, and a 3D χ(2) grating structure was obtained. The χ(2) grating structures at different DR1/PMMA nonlinear layers were mapped by laser scanning second harmonic (SH) microscopy, and no cross talk was observed between SH images obtained from neighboring nonlinear layers. The layer-by-layer DLW technique is favorable to fabricating hierarchical 3D polymer nonlinear structures for optoelectronic applications with flexible structural design.

  13. Effects of an expressive writing intervention on a group of public employees subjected to work relocation.

    Science.gov (United States)

    Tarquini, Matteo; Di Trani, Michela; Solano, Luigi

    2016-02-15

    Pennebaker's writing technique has yielded good results on health, psychological and performance dimensions. In spite of the positive outcomes, the technique has rarely been applied directly within the workplace and its effects on burnout have never been tested. 18 public employees subjected to work relocation were asked to write about their present work situation or another difficult event of their life (Writing Group), while another 17 were not assigned any writing task (Control Group). To assess whether there was an improvement in burnout, alexithymia and psychological well-being in the Writing Group compared with the baseline measurement and the Control Group. While the baseline levels in the Writing and Control Groups in the 3 dimensions considered were similar, scores in the Writing Group at both a second (1 month after the end of the procedure) and third measurement (7 months after the end) improved when compared with the baseline, whereas those in the Control Group worsened. Pennebaker's writing technique appears to promote adaptive coping strategies in stressful situations, and to increase occupational and psychological well-being as well as the ability to process emotions. It also appears to buffer the negative effects of work-related stress.

  14. Effects of disfluency in writing.

    Science.gov (United States)

    Medimorec, Srdan; Risko, Evan F

    2016-11-01

    While much previous research has suggested that decreased transcription fluency has a detrimental effect on writing, there is recent evidence that decreased fluency can actually benefit cognitive processing. Across a series of experiments, we manipulated transcription fluency of ostensibly skilled typewriters by asking them to type essays in two conditions: both-handed and one-handed typewriting. We used the Coh-Metrix text analyser to investigate the effects of decreased transcription fluency on various aspects of essay writing, such as lexical sophistication, sentence complexity, and cohesion of essays (important indicators of successful writing). We demonstrate that decreased fluency can benefit certain aspects of writing and discuss potential mechanisms underlying disfluency effects in essay writing. © 2016 The British Psychological Society.

  15. Writing(s and subjectivation: From the modern citizen to the contemporary young subjectivity

    Directory of Open Access Journals (Sweden)

    Monica Maria Bermudez Grajales

    2015-12-01

    Full Text Available The present text is related to the preponderant status that writing occupied in the political configuration of the modern citizen. Writing practices conceived for development of autonomy, self-control and domestication of passions were some of the ideas that promoted the construction of a rational individual who was able to participate in the public arena and activities conceived by the Nation-State. Nowadays, the meaning of modern writing has varied. Other writing styles are being developed in parallel to the economic, social and technological transitions. In fact, we do not require such a kind of modern writing as the only condition for the political participation. At present, social movements and the communicative and digital interactions of many youngsters, and their hyper textual narratives, show us a vindication of the oral, resounding and iconic as process of a political subjectivity that does not become a rational one in the modern sense but in a nomadic, vernacular and sensitive one.

  16. Effects of Guided Writing Strategies on Students' Writing Attitudes Based on Media Richness Theory

    Science.gov (United States)

    Lan, Yu-Feng; Hung, Chun-Ling; Hsu, Hung-Ju

    2011-01-01

    The purpose of this paper is to develop different guided writing strategies based on media richness theory and further evaluate the effects of these writing strategies on younger students' writing attitudes in terms of motivation, enjoyment and anxiety. A total of 66 sixth-grade elementary students with an average age of twelve were invited to…

  17. How to write reports and proposals

    CERN Document Server

    Forsyth, Patrick

    2016-01-01

    How to Write Reports and Proposals is essential reading for achieving effective writing techniques. Getting a message across on paper and presenting a proposal in a clear and persuasive form are vital skills for anyone in business. How to Write Reports and Proposals provides practical advice on how to impress, convince and persuade your colleagues or clients. It will help you: improve your writing skills; think constructively before writing; create a good report; produce persuasive proposals; use clear and distinctive language; present numbers, graphs and charts effectively. Full of checklists, exercises and real life examples, this new edition also contains content on how to write succinctly and with impact across different mediums. How to Write Reports and Proposals will help you to put over a good case with style.

  18. Neuroimaging correlates of handwriting quality as children learn to read and write

    Science.gov (United States)

    Gimenez, Paul; Bugescu, Nicolle; Black, Jessica M.; Hancock, Roeland; Pugh, Kenneth; Nagamine, Masanori; Kutner, Emily; Mazaika, Paul; Hendren, Robert; McCandliss, Bruce D.; Hoeft, Fumiko

    2014-01-01

    Reading and writing are related but separable processes that are crucial skills to possess in modern society. The neurobiological basis of reading acquisition and development, which critically depends on phonological processing, and to a lesser degree, beginning writing as it relates to letter perception, are increasingly being understood. Yet direct relationships between writing and reading development, in particular, with phonological processing is not well understood. The main goal of the current preliminary study was to examine individual differences in neurofunctional and neuroanatomical patterns associated with handwriting in beginning writers/readers. In 46 5–6 year-old beginning readers/writers, ratings of handwriting quality, were rank-ordered from best to worst and correlated with brain activation patterns during a phonological task using functional MRI, and with regional gray matter volume from structural T1 MRI. Results showed that better handwriting was associated negatively with activation and positively with gray matter volume in an overlapping region of the pars triangularis of right inferior frontal gyrus. This region, in particular in the left hemisphere in adults and more bilaterally in young children, is known to be important for decoding, phonological processing, and subvocal rehearsal. We interpret the dissociation in the directionality of the association in functional activation and morphometric properties in the right inferior frontal gyrus in terms of neural efficiency, and suggest future studies that interrogate the relationship between the neural mechanisms underlying reading and writing development. PMID:24678293

  19. Neuroimaging correlations of handwriting quality as children learn to read and write

    Directory of Open Access Journals (Sweden)

    Paul eGimenez

    2014-03-01

    Full Text Available Reading and writing are related but separable processes that are crucial skills to possess in modern society. The neurobiological basis of reading acquisition and development, which critically depends on phonological processing, and to a lesser degree, beginning writing as it relates to letter perception, are increasingly being understood. Yet direct relationships between writing and reading development, in particular, with phonological processing is not well understood. The main goal of the current preliminary study was to examine individual differences in neurofunctional and neuroanatomical patterns associated with handwriting in beginning writers/readers. In 46 5-6 year-old beginning readers/writers, ratings of handwriting quality, were rank-ordered from best to worst and correlated with brain activation patterns during a phonological task using functional MRI, and with regional grey matter volume from structural T1 MRI. Results showed that better handwriting was associated negatively with activation and positively with gray matter volume in an overlapping region of the pars triangularis of right inferior frontal gyrus. This region, in particular in the left hemisphere in adults and more bilaterally in young children, is known to be important for decoding, phonological processing, and subvocal rehearsal. We interpret the dissociation in the directionality of the association in functional activation and morphometric properties in the right inferior frontal gyrus in terms of neural efficiency, and suggest future studies that interrogate the relationship between the neural mechanisms underlying reading and writing development.

  20. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Science.gov (United States)

    Berk, Rifat Ramazan; Ünal, Emre

    2017-01-01

    The purpose of this study is to determine sixth, seventh and eighth grade students' writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students' grade levels and genders are…

  1. Technical Writing Tips

    Science.gov (United States)

    Kennedy, Patrick M.

    2004-01-01

    The main reason engineers, technicians, and programmers write poor technical documents is because they have had little training or experience in that area. This article addresses some of the basics that students can use to master technical writing tasks. The article covers the most common problems writers make and offers suggestions for improving…

  2. Reaching Resistant Youth through Writing.

    Science.gov (United States)

    Skramstad, Teresa

    1998-01-01

    A teacher recounts her experiences with students who were successful telling their stories through writing and using their writing as a vehicle for expressing their emotions. Explains how helping students "find their voices" through writing can crack tough exteriors and help youth reconnect to school and themselves. (Author/MKA)

  3. Design of a magnetic-tunnel-junction-oriented nonvolatile lookup table circuit with write-operation-minimized data shifting

    Science.gov (United States)

    Suzuki, Daisuke; Hanyu, Takahiro

    2018-04-01

    A magnetic-tunnel-junction (MTJ)-oriented nonvolatile lookup table (LUT) circuit, in which a low-power data-shift function is performed by minimizing the number of write operations in MTJ devices is proposed. The permutation of the configuration memory cell for read/write access is performed as opposed to conventional direct data shifting to minimize the number of write operations, which results in significant write energy savings in the data-shift function. Moreover, the hardware cost of the proposed LUT circuit is small since the selector is shared between read access and write access. In fact, the power consumption in the data-shift function and the transistor count are reduced by 82 and 52%, respectively, compared with those in a conventional static random-access memory-based implementation using a 90 nm CMOS technology.

  4. Peer Facilitated Writing Groups: A Programmatic Approach to Doctoral Student Writing

    Science.gov (United States)

    Kumar, Vijay; Aitchison, Claire

    2018-01-01

    Very few empirical studies have investigated programmes in which doctoral students act as peer facilitators in faculty writing groups. We report on the development of a centrally delivered doctoral student writing programme in which twenty student participants were mentored and provided with the resources to initiate their own faculty-based…

  5. A novel approach for monitoring writing interferences during navigated transcranial magnetic stimulation mappings of writing related cortical areas.

    Science.gov (United States)

    Rogić Vidaković, Maja; Gabelica, Dragan; Vujović, Igor; Šoda, Joško; Batarelo, Nikolina; Džimbeg, Andrija; Zmajević Schönwald, Marina; Rotim, Krešimir; Đogaš, Zoran

    2015-11-30

    It has recently been shown that navigated repetitive transcranial magnetic stimulation (nTMS) is useful in preoperative neurosurgical mapping of motor and language brain areas. In TMS mapping of motor cortices the evoked responses can be quantitatively monitored by electromyographic (EMG) recordings. No such setup exists for monitoring of writing during nTMS mappings of writing related cortical areas. We present a novel approach for monitoring writing during nTMS mappings of motor writing related cortical areas. To our best knowledge, this is the first demonstration of quantitative monitoring of motor evoked responses from hand by EMG, and of pen related activity during writing with our custom made pen, together with the application of chronometric TMS design and patterned protocol of rTMS. The method was applied in four healthy subjects participating in writing during nTMS mapping of the premotor cortical area corresponding to BA 6 and close to the superior frontal sulcus. The results showed that stimulation impaired writing in all subjects. The corresponding spectra of measured signal related to writing movements was observed in the frequency band 0-20 Hz. Magnetic stimulation affected writing by suppressing normal writing frequency band. The proposed setup for monitoring of writing provides additional quantitative data for monitoring and the analysis of rTMS induced writing response modifications. The setup can be useful for investigation of neurophysiologic mechanisms of writing, for therapeutic effects of nTMS, and in preoperative mapping of language cortical areas in patients undergoing brain surgery. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Masters’ Writings and Students’ Writings: School Material in Mesopotamia

    OpenAIRE

    Proust, Christine

    2011-01-01

    International audience; By nature, school drafts of Mesopotamia were meant to destruction. But, thanks to clay support of writing and ancient recycling practices, they reached us in vast amount. These school tablets were mainly produced along a quite short period, between 18th and 17th century B.C. They contain principally exercises for learning writing, Sumerian language and mathematics. These sources bear witness of phenomena linked with those which are examined in this book: change of know...

  7. AN ANALYSIS OF STUDENTS’ FREE WRITING

    Directory of Open Access Journals (Sweden)

    Rahmi Phonna

    2014-05-01

    Full Text Available Writing contains a compound process to be expressed that entails the writer to pay more attention on linking appropriate words together. Most linguists agree that a writer should attain high level of understanding to pursue the lifelong learning of academic writing pedagogy. This study aimed to analyze the students’ free writing by identifying the category of mistakes that often appear on their writing assignment. 28 free writings were collected, as the main data, from 28 students as the samples for this study. They were then analyzed by using the guidelines of correction symbols from Hogue (1996 and Oshima & Hogue (1999. The results revealed that 11 categories of grammar that often applied incorrectly on the students’ free writing. The misused of verb-agreement (V/A was the most frequent category occurred, followed by word form (Wf and Spelling (Sp. The least category of errors identified on the students’ free writing was conjunction (Conj and wrong word (Ww categories. Overall, 175 errors from different grammatical conventions were repeated in the students’ free writing.

  8. Writing that Works.

    Science.gov (United States)

    Roman, Kenneth; Raphaelson, Joel

    Intended for use by nonprofessional writers who must use the written word to communicate and get results, this book offers practical suggestions on how to write business letters, memos, sales and fund raising letters, plans, and reports. The book covers general principles of good writing and emphasizes the importance of editing. In addition, it…

  9. Children's Advertisement Writing

    Science.gov (United States)

    Burrell, Andrew; Beard, Roger

    2010-01-01

    This paper explores primary school children's ability to engage with "the power of the text" by tackling persuasive writing in the form of an advertisement. It is eclectically framed within genre theory and rhetorical studies and makes use of linguistic tools and concepts. The paper argues that writing research has not built upon earlier…

  10. Discourse Approaches to Writing Assessment.

    Science.gov (United States)

    Connnor, Ulla; Mbaye, Aymerou

    2002-01-01

    Discusses assessment of English-as-a-Foreign/Second-Language (EFL/ESL) writing. Suggests there is a considerable gap between current practices in writing assessment and criteria suggested by advances in knowledge of discourse structure. Illustrates this by contrasting current practices in the scoring of two major EFL/ESL writing tests with…

  11. Don't be afraid of writing

    International Nuclear Information System (INIS)

    Park, Dong Gyu

    1997-01-01

    This book deals with requirements of good writings, comprehension toward characters of language, understanding of subjects and materials, grasp of structure of writings, and reality of writing. It contains theoretical requirements of good writing such as creativity, clearness, probity, how to understand the right meanings of language by showing standard languages, dialects, foreign languages, loan words, newly coined words, in-words, slangs, jargon. It also introduces subjects, topics, materials, sentences, meaning, structure, type, requirement, length of paragraphs, diaries, letter writings, travel essays, descriptions, and essays.

  12. Review of The Chicago Guide to Writing about Numbers by Jane E. Miller

    Directory of Open Access Journals (Sweden)

    Neil Lutsky

    2009-01-01

    Full Text Available Miller, J. E. 2004. The Chicago Guide to Writing about Numbers. Chicago, IL: University of Chicago Press. 304 pp. Cloth $45 ISBN: 9780226526300, Softcover $17. ISBN: 9780226526317.The Chicago Guide to Writing about Numbers is a reference work suitable for anyone interested in understanding, using, or promoting quantitative thinking. Its primary aim is to identify and illustrate ways in which information associated with numbers can be conveyed most effectively given a particular communication purpose and context. The book is directed at writers who incorporate numbers in verbal or visual displays in documents, in oral presentations, or on the Web. The Chicago Guide to Writing about Numbers identifies overarching principles, offers concrete advice, and presents illuminating examples and models. The book can be used for instructional purposes for undergraduates, and a means of doing so is described. The review concludes by considering the book’s contributions to a wider call to help citizens write or argue more effectively by using numbers.

  13. Contextualize Technical Writing Assessment to Better Prepare Students for Workplace Writing: Student-Centered Assessment Instruments

    Science.gov (United States)

    Yu, Han

    2008-01-01

    To teach students how to write for the workplace and other professional contexts, technical writing teachers often assign writing tasks that reflect real-life communication contexts, a teaching approach that is grounded in the field's contextualized understanding of genre. This article argues to fully embrace contextualized literacy and better…

  14. How Professional Writing Pedagogy and University-Workplace Partnerships Can Shape the Mentoring of Workplace Writing

    Science.gov (United States)

    Kohn, Liberty

    2015-01-01

    This article analyzes literature on university-workplace partnerships and professional writing pedagogy to suggest best practices for workplace mentors to mentor new employees and their writing. The article suggests that new employees often experience cultural confusion due to (a) the transfer of education-based writing strategies and (b) the…

  15. Map It Then Write It

    Science.gov (United States)

    Lott, Kimberly; Read, Sylvia

    2015-01-01

    All writing begins with ideas, but young students often need visual cues to help them organize their thoughts before beginning to write. For this reason, many elementary teachers use graphic organizers or thinking maps to help students visualize patterns and organize their ideas within the different genres of writing. Graphic organizers such as…

  16. ESL intermediate/advanced writing

    CERN Document Server

    Munoz Page, Mary Ellen; Jaskiewicz, Mary

    2011-01-01

    Master ESL (English as a Second Language) Writing with the study guide designed for non-native speakers of English. Skill-building lessons relevant to today's topics help ESL students write complete sentences, paragraphs, and even multi-paragraph essays. It's perfect for classroom use or self-guided writing preparation.DETAILS- Intermediate drills for improving skills with parallel structure, mood, correct shifting errors & dangling participles- Advanced essay drills focusing on narrative, descriptive, process, reaction, comparison and contrast- Superb preparation for students taking the TOEFL

  17. Learning to write in science: A study of English language learners' writing experience in sixth-grade science classrooms

    Science.gov (United States)

    Qi, Yang

    Writing is a predictor of academic achievement and is essential for student success in content area learning. Despite its importance, many students, including English language learners (ELLs), struggle with writing. There is thus a need to study students' writing experience in content area classrooms. Informed by systemic functional linguistics, this study examined 11 ELL students' writing experience in two sixth grade science classrooms in a southeastern state of the United States, including what they wrote, how they wrote, and why they wrote in the way they did. The written products produced by these students over one semester were collected. Also collected were teacher interviews, field notes from classroom observations, and classroom artifacts. Student writing samples were first categorized into extended and nonextended writing categories, and each extended essay was then analyzed with respect to its schematic structure and grammatical features. Teacher interviews and classroom observation notes were analyzed thematically to identify teacher expectations, beliefs, and practices regarding writing instruction for ELLs. It was found that the sixth-grade ELLs engaged in mostly non-extended writing in the science classroom, with extended writing (defined as writing a paragraph or longer) constituting roughly 11% of all writing assignments. Linguistic analysis of extended writing shows that the students (a) conveyed information through nouns, verbs, adjectives, adverbial groups and prepositional phrases; (b) constructed interpersonal context through choices of mood, modality, and verb tense; and (c) structured text through thematic choices and conjunctions. The appropriateness of these lexicogrammatical choices for particular writing tasks was related to the students' English language proficiency levels. The linguistic analysis also uncovered several grammatical problems in the students' writing, including a limited range of word choices, inappropriate use of mood

  18. Examining Dimensions of Self-Efficacy for Writing

    Science.gov (United States)

    Bruning, Roger; Dempsey, Michael; Kauffman, Douglas F.; McKim, Courtney; Zumbrunn, Sharon

    2013-01-01

    A multifactor perspective on writing self-efficacy was examined in 2 studies. Three factors were proposed--self-efficacy for writing ideation, writing conventions, and writing self-regulation--and a scale constructed to reflect these factors. In Study 1, middle school students (N = 697) completed the Self-Efficacy for Writing Scale (SEWS), along…

  19. Writing with Phineas

    DEFF Research Database (Denmark)

    Wegener, Charlotte

    2014-01-01

    This article describes a collaborative writing strategy when you are alone. It is the story of how I came to bring Phineas, the protagonist in A. S. Byatt’s The Biographer’s Tale, into my writing process as a third voice in my dialogue with my data. It is a self-reflective text that shows how co...

  20. Writing successfully in science

    National Research Council Canada - National Science Library

    O'Connor, M; Gretton, J

    1991-01-01

    ... - from planning the initial framework of an article, preparing references and illustrative material and writing a first draft, to choosing suitable journals, writing to the editor and dealing with proofs of the final draft...

  1. The Functions of Writing in an Elementary Classroom.

    Science.gov (United States)

    Florio, Susan; Clark, Christopher M.

    1982-01-01

    Describes an ethnographic study of writing in one elementary classroom that identified four functions of writing: writing to participate in community, writing to know oneself and others, writing to occupy free time, and writing to demonstrate academic competence. (HOD)

  2. The Oral Language Process in Writing: A Real-Life Writing Session.

    Science.gov (United States)

    Shuy, Roger W.; Robinson, David G.

    1990-01-01

    Analyzes a real-life writing session involving a male executive in the construction business, his female secretary, and a male representing himself as a state official, working collaboratively to write a letter to a state official urging action on a long overdue claim. Discusses the quality of the drafts and the participants' roles. (KEH)

  3. Literacy Cafe: Making Writing Authentic

    Science.gov (United States)

    Daniels, Erika

    2007-01-01

    The "Literacy Cafe," a celebration of genre study and student writing, offers students (and visitors!) a positive environment in which to engage in reading and discussion of writing without self-consciousness or fear of criticism. It works because students learn to recognize writing as a learning tool and a relevant, authentic skill in the real…

  4. Demystifying values-affirmation interventions: writing about social belonging is a key to buffering against identity threat.

    Science.gov (United States)

    Shnabel, Nurit; Purdie-Vaughns, Valerie; Cook, Jonathan E; Garcia, Julio; Cohen, Geoffrey L

    2013-05-01

    Two experiments examined for the first time whether the specific content of participant-generated affirmation essays-in particular, writing about social belonging-facilitated an affirmation intervention's ability to reduce identity threat among negatively stereotyped students. Study 1, a field experiment, revealed that seventh graders assigned to a values-affirmation condition wrote about social belonging more than those assigned to a control condition. Writing about belonging, in turn, improved the grade point average (GPA) of Black, but not White students. In Study 2, using a modified "belonging-affirmation" intervention, we directly manipulated writing about social belonging before a math test described as diagnostic of math ability. The more female participants wrote about belonging, the better they performed, while there was no effect of writing about belonging for males. Writing about social belonging improved performance only for members of negatively stereotyped groups. Implications for self-affirmation theory and practice are discussed.

  5. Report Writing

    DEFF Research Database (Denmark)

    Behnke, Eric

    In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations......In a short and precise way this compendium guides how to write an Engineering Report. The compendium is primarily targeting Engineering Students in thier first and second semester but it might as well be used by students at other technical bachelor educations...

  6. Writing Skills for Technical Students. Fourth Edition.

    Science.gov (United States)

    Carlisle, Vicky; Smith, Harriet; Baker, Fred; Ellegood, George; Kopay, Carol; Tanzer, Ward; Young, Diana; Dujordan, Jerome; Webster, Ron; Lewis, Sara Drew

    This self-paced text/workbook is designed for the adult learner who needs a review of grammar and writing skills in order to write clearly and concisely on the job. It offers career-minded students 14 individualized instructional modules on grammar, paragraph writing, report writing, letter writing, and spelling. It is designed for both self-paced…

  7. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Science.gov (United States)

    Cao, Fan; Perfetti, Charles A

    2016-01-01

    Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG) is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  8. Neural Signatures of the Reading-Writing Connection: Greater Involvement of Writing in Chinese Reading than English Reading.

    Directory of Open Access Journals (Sweden)

    Fan Cao

    Full Text Available Research on cross-linguistic comparisons of the neural correlates of reading has consistently found that the left middle frontal gyrus (MFG is more involved in Chinese than in English. However, there is a lack of consensus on the interpretation of the language difference. Because this region has been found to be involved in writing, we hypothesize that reading Chinese characters involves this writing region to a greater degree because Chinese speakers learn to read by repeatedly writing the characters. To test this hypothesis, we recruited English L1 learners of Chinese, who performed a reading task and a writing task in each language. The English L1 sample had learned some Chinese characters through character-writing and others through phonological learning, allowing a test of writing-on-reading effect. We found that the left MFG was more activated in Chinese than English regardless of task, and more activated in writing than in reading regardless of language. Furthermore, we found that this region was more activated for reading Chinese characters learned by character-writing than those learned by phonological learning. A major conclusion is that writing regions are also activated in reading, and that this reading-writing connection is modulated by the learning experience. We replicated the main findings in a group of native Chinese speakers, which excluded the possibility that the language differences observed in the English L1 participants were due to different language proficiency level.

  9. Successful grant proposals in science, technology, and medicine a guide to writing the narrative

    CERN Document Server

    Oster, Sandra

    2015-01-01

    There are many resources on grant writing in science, technology and medicine, but most do not provide the practical advice needed to write the narratives of grant proposals. Designed to help novice and experienced investigators write compelling narratives and acquire research funding, this is a detailed guide to the content, organisation, layout, phrasing, and scientific argumentation of narratives. The authors draw on more than twenty years of research and analysis of grant proposals, having worked extensively with investigators at different levels, from pre-doctoral students to senior scientists. They have used this experience to design a framework for scientific writing that you can apply directly to narratives. The guidelines and advice offered are applicable across many funding agencies, including the NIH and NSF. Featuring many real-life examples, the book covers a range of topics, from organisational alternatives to best practices in grammar and editing, overview visuals, and working with contributors...

  10. Towards a more explicit writing pedagogy: The complexity of teaching argumentative writing

    Directory of Open Access Journals (Sweden)

    Jacqui Dornbrack

    2014-04-01

    Full Text Available Advances in technology, changes in communication practices, and the imperatives of the workplace have led to the repositioning of the role of writing in the global context. This has implications for the teaching of writing in schools. This article focuses on the argumentative essay, which is a high-stakes genre. A sample of work from one Grade 10 student identified as high performing in a township school in Cape Town (South Africa is analysed. Drawing on the work of Ormerod and Ivanic, who argue that writing practices can be inferred from material artifacts, as well as critical discourse analysis, we show that the argumentative genre is complex, especially for novice first additional language English writers. This complexity is confounded by the conflation of the process and genre approaches in the Curriculum and Assessment Policy Statement (CAPS document. Based on the analysis we discuss the implications of planning, particularly in relation to thinking and reasoning, the need to read in order to write argument and how social and school capital are insufficient without explicit instruction of the conventions of this complex genre. These findings present some insights into particular input needed to improve writing pedagogy for specific genres.

  11. Relationship between gender and tactile-kinesthetic sensitivity and the quality of writing among students with and without writing difficulties

    Directory of Open Access Journals (Sweden)

    Vujanović Marina M.

    2017-01-01

    Full Text Available Writing, a skill that students practice as soon as they start primary school, requires coordination between motor, perceptual and cognitive abilities. In order to determine the effect of gender on writing difficulties and the possible differences in the relationship between tactile-kinesthetic perception and writing skills of boys and girls with and without writing difficulties, a study was conducted in 2016 on a sample of 1,156 fifth to eighth grade students of eight Belgrade primary schools. Although the results obtained suggest that girls write faster than boys, difficulties with writing fast were equally present in both groups of students. However, difficulties with writing quality occurred with statistically significantly greater frequency among boys. Pencil grip, kinesthetic sensibility test results and consistency of pressure were not unrelated to students' gender, with girls achieving better results. Moreover, boys had significantly lower scores than girls on tactile function tests. The obtained results indicate that gender is a determinant of writing difficulties as measured through speed of writing and legibility. Also, girls have more developed kinesthetic-tactile functions, which are correlated with writing quality.

  12. "Proprioceptive signature" of cursive writing in humans: a multi-population coding.

    Science.gov (United States)

    Roll, Jean-Pierre; Albert, Frédéric; Ribot-Ciscar, Edith; Bergenheim, Mikael

    2004-08-01

    The goal of the present study was to investigate the firing behavior of populations of muscle spindle afferents in all the muscles acting on the ankle while this joint was being subjected to "writing-like" movements. First it was proposed to determine whether the ensemble of muscle spindles give rise to a unique, specific, and reproducible feedback information characterizing each letter, number or short word. Secondly, we analyzed how the proprioceptive feedback on the whole encodes the spatial and temporal characteristics of writing movements using the "vector population model". The unitary activity of 51 primary and secondary muscle spindle afferents was recorded in the tibial and common peroneal nerves at the level of the popliteal fossea, using the microneurographic method. The units recorded from belonged to the tibialis anterior, the extensor digitorum longus, the extensor hallucis longus, the peroneus lateralis, the gastrocnemius-soleus and the tibialis posterior muscles. The "writing-like" movements were randomly imposed at a "natural" velocity via a computer-controlled machine in a two-dimensional space. In general, muscle spindle afferents from any of the six muscles responded according to the tuning properties of the parent muscle, i.e. increasing their discharge rate during the phases where the direction of movement was within the preferred sensory sector of the parent muscle. The whole trajectory of the writing movements was coded in turn by the activity of Ia afferents arising from all the muscles acting on the joint. Both single afferent responses and population responses were found to be highly specific and reproducible with each graphic sign. The complex multi-muscle afferent pattern involved, with its timing and distribution in the muscle space, seems to constitute a true "proprioceptive signature" for each graphic symbol. The ensemble of muscle spindle afferents were therefore found to encode the instantaneous direction and velocity of writing

  13. Using tracking software for writing instruction

    Directory of Open Access Journals (Sweden)

    Sane M. Yagi

    2011-08-01

    Full Text Available Writing is a complex skill that is hard to teach. Although the written product is what is often evaluated in the context of language teaching, the process of giving thought to linguistic form is fascinating. For almost forty years, language teachers have found it more effective to help learners in the writing process than in the written product; it is there that they could find sources of writing problems. Despite all controversy evoked by post-process approaches with respect to process writing, information technology has lately offered tools that can shed new light on how writing takes place. Software that can record keyboard, mouse, and screen activities is capable of unraveling mysteries of the writing process. Technology has given teachers and learners the option of examining the writing process as it unfolds, enabling them to diagnose strategy as well as wording problems, thus empowering teachers to guide learners individually in how to think about each of their trouble spots in the context of a specific product of writing. With these advances in information technology, metacognitive awareness and strategy training begin to acquire new dimensions of meaning. Technology lays open aspects of the writing process, offering unprecedented insight into creative text production as well. This paper attempts to explain how tracking software can influence writing instruction. It briefly examines the process and post-process approaches to assess their viability, explains the concept of tracking software, proposes methodology needed for the adoption of this technology, and then discusses the pedagogical implications of these issues.

  14. Cognitive Factors Contributing to Chinese EFL Learners' L2 Writing Performance in Timed Essay Writing

    Science.gov (United States)

    Lu, Yanbin

    2010-01-01

    This study investigated cognitive factors that might influence Chinese EFL learners' argumentative essay writing in English. The factors that were explored included English (L2) language proficiency, Chinese (L1) writing ability, genre knowledge, use of writing strategies, and working memory capacity in L1 and L2. Data were collected from 136…

  15. Live from the Writing Center: Technological Demands and Multiliterate Practice in a Virtual Writing Center.

    Science.gov (United States)

    Swarts, Jason

    "Online Writing Tutorial" (OWI) was designed and piloted in the summer of 2000 as a one to two credit writing course intended for Rensselaer Polytechnic students on co-op assignment in New York and across the country. Similar to its ancestor course, "Writing Workshop" (WW), which was a one-credit course designed to fit the…

  16. The Impact of Digital Tools on Student Writing and How Writing Is Taught in Schools

    Science.gov (United States)

    Purcell, Kristen; Buchanan, Judy; Friedrich, Linda

    2013-01-01

    A survey of 2,462 Advanced Placement (AP) and National Writing Project (NWP) teachers finds that digital technologies are shaping student writing in myriad ways and have also become helpful tools for teaching writing to middle and high school students. These teachers see the internet and digital technologies such as social networking sites, cell…

  17. Proton beam writing for producing holographic images

    International Nuclear Information System (INIS)

    Ow, Y.S.; Breese, M.B.H.; Bettiol, A.A.

    2009-01-01

    This work reports on the writing of computer generated hologram diffraction patterns using focused 2 MeV proton beam irradiation. These patterns were designed using a ray tracing algorithm and written directly into a thick polymethylmethacrylate layer. When the developed holographic pattern was illuminated with a 650 nm laser it produced a good reconstructed image. This work provides means of forming high-resolution, high aspect ratio holographic images in polymers for applications in data storage using switchable holography.

  18. The Write Brain: How to Educate and Entertain with Learner-Centered Writing

    Science.gov (United States)

    Iverson, Kathleen M.

    2009-01-01

    This article presents a conceptual framework for the writing process to facilitate motivation, learning, retention, and knowledge transfer in readers of expository material. Drawing from four well-developed bodies of knowledge--cognitive science, learning theory, technical communication, and creative writing--the author creates a model that allows…

  19. On Gendered Technologies and Cyborg Writing

    DEFF Research Database (Denmark)

    Muhr, Sara Louise; Rehn, Alf

    2015-01-01

    Since Hélène Cixous introduced it in 1975, the notion of a specifically feminine writing — écriture féminine — has been discussed as a provocative and potentially disruptive form of representation that breaks with masculine and authoritarian modes thereof. However, in this paper we will discuss how......, as the writer — when writing/publishing — is always already embedded in the technologies of the publishing machine, turning (academic) writing into something akin to cyborg writing. We further suggest that an understanding of the cyborg nature of writing can introduce a parallel mode of inquiry, which holds...

  20. Linguistic aspects of writing for professional purposes

    Directory of Open Access Journals (Sweden)

    Greta Përgjegji

    2016-03-01

    Full Text Available Writing for Professional Purposes is considered as a means of communication between professionals who belong to two communities that have different languages, but share the same knowledge or expertise. The article gives a hint on how writing for specific purposes evolved to give rise to the creation of Writing for Professional Purposes. The social, cultural and cognitive aspects are an essential part of Writing for Professional Purposes since the physical act of writing cannot be considered only a result or product of the knowledge the individual possesses but also a social and cultural act. Therefore, the social and cultural aspects of writing explains the specificities and the intricacies of the effects these aspects have on writing for it is considered as an inseparable part of social and cultural groups. On the other hand, the cognitive aspect of writing explains and emphasizes the mental activities of the individual during the decision-making process while he/she is writing planning and editing their material having in mind the audience. On the same line of reasoning, writing for professional purposes in a second language means that the writer has to consider the audience twice; first, there is an audience who shares the same knowledge or expertise and second, the audience does not have the same language. Consequently, writing in another language that is not the first language with a specific jargon as well as a specific grammatical structure brings about a lot of difficulties. Hence, writing in professional contexts in the mother tongue implies only writing in a specialized version of a language already known to the writer, but writing in a target language means that the writer has to learn the target language and the specialized version of that language.

  1. Life Writing After Empire

    DEFF Research Database (Denmark)

    A watershed moment of the twentieth century, the end of empire saw upheavals to global power structures and national identities. However, decolonisation profoundly affected individual subjectivities too. Life Writing After Empire examines how people around the globe have made sense of the post...... in order to understand how individual life writing reflects broader societal changes. From far-flung corners of the former British Empire, people have turned to life writing to manage painful or nostalgic memories, as well as to think about the past and future of the nation anew through the personal...

  2. Writing to Learn and Learning to Write across the Disciplines: Peer-to-Peer Writing in Introductory-Level MOOCs

    Directory of Open Access Journals (Sweden)

    Denise K. Comer

    2014-11-01

    Full Text Available This study aimed to evaluate how peer-to-peer interactions through writing impact student learning in introductory-level massive open online courses (MOOCs across disciplines. This article presents the results of a qualitative coding analysis of peer-to-peer interactions in two introductory level MOOCs: English Composition I: Achieving Expertise and Introduction to Chemistry. Results indicate that peer-to-peer interactions in writing through the forums and through peer assessment enhance learner understanding, link to course learning objectives, and generally contribute positively to the learning environment. Moreover, because forum interactions and peer review occur in written form, our research contributes to open distance learning (ODL scholarship by highlighting the importance of writing to learn as a significant pedagogical practice that should be encouraged more in MOOCs across disciplines.

  3. Fast‐writing E‐beam for defining large arrays of nano‐holes

    DEFF Research Database (Denmark)

    Højlund-Nielsen, Emil; Clausen, Jeppe Sandvik; Christiansen, Alexander Bruun

    2013-01-01

    Efficient nanoscale patterning of large areas is required for sub-wavelength optics. For example, 200 nm periodic structures are often too small to be made with standard UV- and DUV-equipment. Still, the final product must be made at an economic cost. Here we use a fast-writing strategy described...... in [1], where electron beam lithography (EBL) with a focused Gaussian beam is used to define shapes directly. The serial technique is optimized for speed and pattern fidelity to a maximum writing speed of around 30 min/cm2 for 200 nm periods in 2D lattices. The overall costs in terms of machine time...

  4. Writing by Any Other Name

    Science.gov (United States)

    Yancey, Kathleen Blake

    2009-01-01

    People are writing as never before--in blogs and text messages and on MySpace and Facebook and Twitter. Teenagers do a good deal of this writing, and in some composing environments--for example, the text-messaging space of a cell phone--they are ahead of adults in their invention of new writing practices and new genres. At the same time, teenagers…

  5. Self-Directed Learning with Feedback

    Science.gov (United States)

    Choi, Youngeun; Anderson, William

    2016-01-01

    This article describes a weekly writing assignment named SelFeed (Self-Directed Learning with Feedback), in which students are asked to identify their own questions relevant to the lecture content and provide logical answers.

  6. Nudging Students into Writing Creatively (Teaching Ideas).

    Science.gov (United States)

    Perreault, George; And Others

    1996-01-01

    Describes ideas for writing prompts and assignments proposed by three different teachers: (1) writing poems inspired by smells of herbs and spices; (2) writing about past perceptions and feelings after looking at a photograph; and (3) writing a "self-portrait." (TB)

  7. Optimization of LOPA-based direct laser writing technique for fabrication of submicrometric polymer two- and three-dimensional structures

    Science.gov (United States)

    Do, Mai Trang; Li, Qinggele; Ledoux-Rak, Isabelle; Lai, Ngoc D.

    2013-05-01

    We demonstrate a novel and very simple method allowing very easy flexible fabrication of 2D and 3D submicrometric structures. By using a photosensitive polymer (SU8) possessing an ultralow one-photon absorption (LOPA) coefficient at the excition laser wavelength (532 nm) and a high numerical aperture (NA = 1.3, oil immersion) objective lens, various submicrometric structures with feature size as small as 150 nm have been successfully fabricated. We have further investigated the energy accumulation effect in LOPA direct laser writing when the structure lattice constant approaches the diffraction limit. In this case, a proximity correction, i.e., a compensation of the doses between different voxels, was applied, allowing to create uniform and submicrometric structures with a lattice constant as small as 400 nm. As compared to commonly used two-photon absorption microscopy, the LOPA method allows to simplify the experimental setup and also to minimize the photo-damaging or bleaching effect. The idea of using LOPA also opens a new and inexpensive way to optically address 3D structures, namely 3D fluorescence imaging and 3D data storage.

  8. Digital selective fabrication of micro/nano-composite structured TiO2 nanorod arrays by laser direct writing

    Science.gov (United States)

    Jiang, Wei; He, Xiaoning; Liu, Hongzhong; Yin, Lei; Shi, Yongsheng; Ding, Yucheng

    2014-11-01

    In this article, we report on the digital selective fabrication of micro/nano-composite structured TiO2 nanorod arrays by laser direct writing. The pattern of TiO2 nanorod arrays can be easily designed and fabricated by laser scanning technology integrated with a computer-aided design system, which allows a high degree of freedom corresponding to the various pattern design demands. The approach basically involves the hydrothermal growth of TiO2 nanorod arrays on a transparent conductive substrate, the micropattern of TiO2 nanorod arrays and surface fluorination treatment. With these micro/nano-composite TiO2 nanorod array based films, we have demonstrated superhydrophilic patterned TiO2 nanorod arrays with rapid water spreading ability and superhydrophobic patterned TiO2 nanorod arrays with an excellent droplet bouncing effect and a good self-cleaning performance. The dynamic behaviours of the water droplets observed on the patterned TiO2 nanorod arrays were demonstrated by experiments and simulated by a finite element method. The approaches we will show are expected to provide potential applications in fields such as self-cleaning, surface protection, anticrawling and microfluidic manipulation.

  9. Guidelines for writing an argumentative essay

    OpenAIRE

    Aleksandra Egurnova

    2014-01-01

    The guidelines below are intended for teachers, professors, students, and the public at large who are interested in the issues of English writing culture. They provide a detailed plan for completing the writing task–writing an argumentative essay.

  10. Longitudinal Relationships of Levels of Language in Writing and between Writing and Reading in Grades 1 to 7

    Science.gov (United States)

    Abbott, Robert D.; Berninger, Virginia W.; Fayol, Michel

    2010-01-01

    Longitudinal structural equation modeling was used to evaluate longitudinal relationships across adjacent grade levels 1 to 7 for levels of language in writing (Model 1, subword letter writing, word spelling, and text composing) or writing and reading (Model 2, subword letter writing and word spelling and reading; Model 3, word spelling and…

  11. Direct measurement of the field from a magnetic recording head using an InAs Hall sensor on a contact write/read tester

    International Nuclear Information System (INIS)

    Gokemeijer, N.J.; Clinton, T.W.; Crawford, T.M.; Johnson, Mark

    2005-01-01

    At 1 Tbit/in 2 areal density magnetic recording dimensions, reliable magnetic field metrology does not exist. One technique to map the spatial profile of the magnetic field of a write head is to use a contact read/write tester. A magnetic recording head is brought into contact with a Hall sensor, and is subsequently scanned with nm resolution. For a 300 nm track width longitudinal recording head, the magnetic field of the head was mapped. Measurements include the down track field gradient and cross-track field profile and the current-field transfer curve. These results suggest this technique offers a viable write field metrology

  12. Democracy and Historical Writing

    NARCIS (Netherlands)

    de Baets, Antoon

    2015-01-01

    In this essay, we try to clarify the relationship between democracy and historical writing. The strategy is first exploring the general relationship between democracy and historical awareness, and then, studying the relationship between democracy and historical writing itself to find out whether

  13. Let's Write a Script.

    Science.gov (United States)

    Harding, T. E.

    Some problems of writing scripts for radio and/or television are discussed, with examples provided to illustrate the rules. Writing both fictional scripts and documentaries are considered. Notes are also included to help the freelance writer who wishes to sell his work. (RH)

  14. Femtosecond laser writing of a flat-top interleaver via cascaded Mach-Zehnder interferometers.

    Science.gov (United States)

    Ng, Jason C; Li, Chengbo; Herman, Peter R; Qian, Li

    2012-07-30

    A flat-top interleaver consisting of cascaded Mach-Zehnder interferometers (MZIs) was fabricated in bulk glass by femtosecond laser direct writing. Spectral contrast ratios of greater than 15 dB were demonstrated over a 30 nm bandwidth for 3 nm channel spacing. The observed spectral response agreed well with a standard transfer matrix model generated from responses of individual optical components, demonstrating the possibility for multi-component optical design as well as sufficient process accuracy and fabrication consistency for femtosecond laser writing of advanced optical circuits in three dimensions.

  15. Teaching life writing texts in Europe : Introduction

    NARCIS (Netherlands)

    Mreijen, Anne-Marie

    2015-01-01

    Although courses on auto/biography and life writing are taught at different universities in Europe, and elements of contemporary life writing issues are addressed in different disciplines like sociology and history, life writing courses, as described in Teaching Life Writing Texts, are certainly not

  16. Impact Evaluation of the National Writing Project's College-Ready Writing Project in High Poverty Rural Districts

    Science.gov (United States)

    Gallagher, H. Alix; Arshan, Nicole; Woodworth, Katrina

    2016-01-01

    Writing is an essential skill for participating in modern American society. Although it is crucial to careers and civic engagement, student writing falls far short of national expectations (College Board, 2004; NCES, 2012; Persky, Daane, & Jin, 2003). The Common Core State Standards (CCSS) seek to increase the rigor of writing instruction…

  17. Writing Activities Embedded in Bioscience Laboratory Courses to Change Students' Attitudes and Enhance Their Scientific Writing

    Science.gov (United States)

    Lee, Susan E.; Woods, Kyra J.; Tonissen, Kathryn F.

    2011-01-01

    We introduced writing activities into a project style third year undergraduate biomolecular science laboratory to assist the students to produce a final report in the form of a journal article. To encourage writing while the experimental work was proceeding, the embedded writing activities required ongoing analysis of experimental data. After…

  18. The Writing Performance of Elementary Students Receiving Strategic and Interactive Writing Instruction

    Science.gov (United States)

    Wolbers, Kimberly A.; Dostal, Hannah M.; Graham, Steve; Cihak, David; Kilpatrick, Jennifer R.; Saulsburry, Rachel

    2015-01-01

    Strategic and Interactive Writing Instruction (SIWI) has led to improved writing and language outcomes among deaf and hard of hearing (DHH) middle grades students. The purpose of this study was to examine the effects of SIWI on the written expression of DHH elementary students across recount/personal narrative, information report, and persuasive…

  19. Computers in writing instruction

    NARCIS (Netherlands)

    Schwartz, Helen J.; van der Geest, Thea; Smit-Kreuzen, Marlies

    1992-01-01

    For computers to be useful in writing instruction, innovations should be valuable for students and feasible for teachers to implement. Research findings yield contradictory results in measuring the effects of different uses of computers in writing, in part because of the methodological complexity of

  20. Writing History in Exile

    NARCIS (Netherlands)

    de Baets, Antoon; Berger, Stefan

    2016-01-01

    WRITING HISTORY IN EXILE * Stefan Berger and Antoon De Baets, Reflections on Exile Historiography 11 * Antoon De Baets, Plutarch’s Thesis : the Contribution of Refugee Historians to Historical Writing (1945-2015) 27 * Peter Burke, Silver Lining : on Some Intellectual Benefits of Exile 39 * Ragnar

  1. Writing for Science Literacy

    Science.gov (United States)

    Chamberlin, Shannon Marie

    Scientific literacy is the foundation on which both California's currently adopted science standards and the recommended new standards for science are based (CDE, 2000; NRC, 2011). The Writing for Science Literacy (WSL) curriculum focuses on a series of writing and discussion tasks aimed at increasing students' scientific literacy. These tasks are based on three teaching and learning constructs: thought and language, scaffolding, and meta-cognition. To this end, WSL is focused on incorporating several strategies from the Rhetorical Approach to Reading, Writing, Listening and Speaking to engage students in activities designed to increase their scientific literacy; their ability to both identify an author's claim and evidence and to develop their own arguments based on a claim and evidence. Students participated in scaffolded activities designed to strengthen their written and oral discourse, hone their rhetorical skills and improve their meta-cognition. These activities required students to participate in both writing and discussion tasks to create meaning and build their science content knowledge. Students who participated in the WSL curriculum increased their written and oral fluency and were able to accurately write an evidence-based conclusion all while increasing their conceptual knowledge. This finding implies that a discourse rich curriculum can lead to an increase in scientific knowledge.

  2. Reader-Centered Technical Writing

    Science.gov (United States)

    Narayanan, M.

    2012-12-01

    Technical writing is an essential part of professional communication and in recent years it has shifted from a genre-based approach. Formerly, technical writing primarily focused on generating templates of documents and sometimes it was creating or reproducing traditional forms with minor modifications and updates. Now, technical writing looks at the situations surrounding the need to write. This involves deep thinking about the goals and objectives of the project on hand. Furthermore, one observes that it is very important for any participatory process to have the full support of management. This support needs to be well understood and believed by employees. Professional writing may be very persuasive in some cases. When presented in the appropriate context, technical writing can persuade a company to improve work conditions ensuring employee safety and timely production. However, one must recognize that lot of professional writing still continues to make use of reports and instruction manuals. Normally, technical and professional writing addresses four aspects. Objective: The need for generating a given professionally written technical document and the goals the document is expected to achieve and accomplish. Clientele: The clientele who will utilize the technical document. This may include the people in the organization. This may also include "unintended readers." Customers: The population that may be affected by the content of the technical document generated. This includes the stakeholders who will be influenced. Environment: The background in which the document is created. Also, the nature of the situation that warranted the generation of the document. Swiss Psychologist Jean Piaget's view of Learning focuses on three aspects. The author likes to extend Jean Piaget's ideas to students, who are asked to prepare and submit Reader-Centered Technical Writing reports and exercises. Assimilation: Writers may benefit specifically, by assimilating a new object into

  3. Dissociation of writing processes: functional magnetic resonance imaging during writing of Japanese ideographic characters.

    Science.gov (United States)

    Matsuo, K; Nakai, T; Kato, C; Moriya, T; Isoda, H; Takehara, Y; Sakahara, H

    2000-06-01

    Dissociation between copying letters and writing to dictation has been reported in the clinical neuropsychological literature. Functional magnetic resonance imaging (fMRI) was conducted in normal volunteers to detect the neurofunctional differences between 'copying Kanji', the Japanese ideographic characters, and 'writing Kanji corresponding to phonological information'. Four tasks were conducted: the copying-Kanji task, the writing-Kanji-corresponding-to-phonogram task, the Kanji-grapheme-puzzle task, and the control task. The right superior parietal lobule was extensively activated during the copying-Kanji task (a model of the copying letters process) and the Kanji-grapheme-puzzle task. These observations suggested that this area was involved in referring the visual stimuli closely related to the ongoing handwriting movements. On the other hand, Broca's area, which is crucial for language production, was extensively activated during the writing-Kanji-corresponding-to-phonogram task (a model of the writing-to-dictation process). The Kanji-grapheme-puzzle task activated the bilateral border portions between the inferior parietal lobule and the occipital lobe, the left premotor area, and the bilateral supplementary motor area (SMA). Since the Kanji-grapheme-puzzle task involved manipulospatial characteristics, these results suggested cooperation between visuospatial and motor executive functions, which may be extensively utilized in demanding visual language processing. The neurofunctional difference between 'copying Kanji' and 'writing Kanji corresponding to phonogram' was efficiently demonstrated by this fMRI experiment.

  4. Teaching Process Writing in an Online Environment

    Science.gov (United States)

    Carolan, Fergal; Kyppö, Anna

    2015-01-01

    This reflective practice paper offers some insights into teaching an interdisciplinary academic writing course aimed at promoting process writing. The study reflects on students' acquisition of writing skills and the teacher's support practices in a digital writing environment. It presents writers' experiences related to various stages of process…

  5. Why Literature Students Should Practise Life Writing

    Science.gov (United States)

    Cardell, Kylie; Douglas, Kate

    2018-01-01

    This article considers our experiences teaching a hybrid literature/creative writing subject called "Life Writing." We consider the value of literature students engaging in creative writing practice--in this instance, the nonfiction subgenre of life writing--as part of their critical literary studies. We argue that in practicing life…

  6. Genre-Based Tasks in Foreign Language Writing: Developing Writers' Genre Awareness, Linguistic Knowledge, and Writing Competence

    Science.gov (United States)

    Yasuda, Sachiko

    2011-01-01

    This study examines how novice foreign language (FL) writers develop their genre awareness, linguistic knowledge, and writing competence in a genre-based writing course that incorporates email-writing tasks. To define genre, the study draws on systemic functional linguistics (SFL) that sees language as a resource for making meaning in a particular…

  7. ‘Looting killed’ the audience: Africanlanguage writing, performance, publishing and the audience

    Directory of Open Access Journals (Sweden)

    T. J. Selepe

    2001-06-01

    Full Text Available This article examines the role played by African-language writing, performance and publishing, including critical practice, in the demise of the indigenous audience in African-language literary practice. Using implicit materialism the argument is premised on the developments wrought by the era of Modernism that has lead to a univocal writing of world history, and the era of Postmodernism that has ushered in the era of a multivocal writing of world history. The transition from oral literature to written literature will also be used to advance the argument about the subsequent exclusion of the indigenous African- language audience from literary practice. This exclusion is considered to have a direct bearing on the under-development of African societies. Finally, possible solutions will be sought by revisiting some of the causes that characterize the African language problem as a medium of communication and research.

  8. How effective are expressive writing interventions for adolescents? A meta-analytic review.

    Science.gov (United States)

    Travagin, Gabriele; Margola, Davide; Revenson, Tracey A

    2015-03-01

    This meta-analysis evaluated the effects of the expressive writing intervention (EW; Pennebaker & Beall, 1986) among adolescents. Twenty-one independent studies that assessed the efficacy of expressive writing on youth samples aged 10-18 ears were collected and analyzed. Results indicated an overall mean g-effect size that was positive in direction but relatively small (0.127), as well as significant g-effect sizes ranging from 0.107 to 0.246 for the outcome domains of Emotional Distress, Problem Behavior, Social Adjustment, and School Participation. Few significant effects were found within specific outcome domains for putative moderator variables that included characteristics of the participants, intervention instructions, or research design. Studies involving adolescents with high levels of emotional problems at baseline reported larger effects on school performance. Studies that implemented a higher dosage intervention (i.e., greater number and, to some extent, greater spacing of sessions) reported larger effects on somatic complaints. Overall, the findings suggest that expressive writing tends to produce small yet significant improvements on adolescents' well-being. The findings highlight the importance of modifying the traditional expressive writing protocol to enhance its efficacy and reduce potential detrimental effects. At this stage of research the evidence on expressive writing as a viable intervention for adolescents is promising but not decisive. Copyright © 2015 Elsevier Ltd. All rights reserved.

  9. Learning to Write and Writing to Learn Social Work Concepts: Application of Writing across the Curriculum Strategies and Techniques to a Course for Undergraduate Social Work Students

    Science.gov (United States)

    Horton, E. Gail; Diaz, Naelys

    2011-01-01

    Although writing is of great importance to effective social work practice, many students entering social work education programs experience serious academic difficulties related to writing effectively and thinking critically. The purpose of this article is to present an introductory social work course that integrates Writing Across the Curriculum…

  10. Source-Based Tasks in Writing Independent and Integrated Essays

    Directory of Open Access Journals (Sweden)

    Javad Gholami

    2017-07-01

    Full Text Available Integrated writing tasks have gained considerable attention in ESL and EFL writing assessment and are frequently needed and used in academic settings and daily life. However, they are very rarely practiced and promoted in writing classes. This paper explored the effects of source-based writing practice on EFL learners’ composing abilities and investigated the probable differences between those tasks and independent writing ones in improving Iranian EFL learners’ essay writing abilities. To this end, a quasi-experimental design was implemented to gauge EFL learners’ writing improvements using a pretest-posttest layout. Twenty female learners taking a TOEFL iBT preparation course were randomly divided into an only-writing group with just independent writing instruction and essay practice, and a hybrid-writing-approach group receiving instruction and practice on independent writing plus source-based essay writing for ten sessions. Based on the findings, the participants with hybrid writing practice outperformed their counterparts in integrated essay tests. Their superior performance was not observed in the case of traditional independent writing tasks. The present study calls for incorporating more source-based writing tasks in writing courses.

  11. Writing proofs in analysis

    CERN Document Server

    Kane, Jonathan M

    2016-01-01

    This is a textbook on proof writing in the area of analysis, balancing a survey of the core concepts of mathematical proof with a tight, rigorous examination of the specific tools needed for an understanding of analysis. Instead of the standard "transition" approach to teaching proofs, wherein students are taught fundamentals of logic, given some common proof strategies such as mathematical induction, and presented with a series of well-written proofs to mimic, this textbook teaches what a student needs to be thinking about when trying to construct a proof. Covering the fundamentals of analysis sufficient for a typical beginning Real Analysis course, it never loses sight of the fact that its primary focus is about proof writing skills. This book aims to give the student precise training in the writing of proofs by explaining exactly what elements make up a correct proof, how one goes about constructing an acceptable proof, and, by learning to recognize a correct proof, how to avoid writing incorrect proofs. T...

  12. The Writing Staff as Faculty Compost Pile.

    Science.gov (United States)

    Dorenkamp, Angela G.

    Misconceptions about the teaching of writing prevail on many college campuses, partially because writing teachers fail to communicate with their colleagues. It is especially important for writing teachers to let their colleagues know that learning to write is a long term developmental process that needs support and reinforcement from the entire…

  13. Engaging Young Adolescents in School-Based Writing

    Science.gov (United States)

    Yost, Deborah S.; Liang, Ling L.; Vogel, Robert

    2014-01-01

    How might middle school teachers and schools more appropriately engage early adolescent students in the writing process so that they are motivated and engaged to "want" to write and write well? This article introduces "Writers Matter," an approach designed to engage and motivate young adolescents in the writing process,…

  14. More than words: applying the discipline of literary creative writing to the practice of reflective writing in health care education.

    Science.gov (United States)

    Kerr, Lisa

    2010-12-01

    This paper examines definitions and uses of reflective and creative writing in health care education classrooms and professional development settings. A review of articles related to writing in health care reveals that when teaching narrative competence is the goal, creative writing may produce the best outcomes. Ultimately, the paper describes the importance of defining literary creative writing as a distinct form of writing and recommends scholars interested in using literary creative writing to teach narrative competence study pedagogy of the field.

  15. The Teaching of EFL Writing in Indonesia

    Directory of Open Access Journals (Sweden)

    Ariyanti Ariyanti

    2016-12-01

    Full Text Available Writing is one of the most important aspects in English language acquisition. Teaching writing has its own challenges since there are some steps and requirements that teachers should prepare to undertake in the classroom. This article is aimed to discuss teaching and learning writing in the classroom based on theoretical conceptualisation. In addition, curriculum of teaching writing will be another important factor to consider as well as research and practice in teaching writing. Based on comparison to many theoretical concepts from various researchers, it shows that most of Indonesian students still struggle to figure out their problems of grammatical area. The biggest challenge is derived from the difference in cultural backgrounds between the students’ mother tongue and English, so it is possible to know the production of their writing does not ‘sound’ well in appropriate culture of English. Several problems also occur when the teachers have big classes to teach and the result of teaching writing to the students may be defeated. In this case, time also being a big challenge for the teachers to have the students’ writing improve because to accomplish a good composition in English, it needs complex steps such as brainstorming, prewriting, drafting, and editing. However, new techniques in teaching writing are needed to develop the students’ writing outcomes.

  16. Evaluating undergraduate nursing students' self-efficacy and competence in writing: Effects of a writing intensive intervention.

    Science.gov (United States)

    Miller, Louise C; Russell, Cynthia L; Cheng, An-Lin; Skarbek, Anita J

    2015-05-01

    While professional nurses are expected to communicate clearly, these skills are often not explicitly taught in undergraduate nursing education. In this research study, writing self-efficacy and writing competency were evaluated in 52 nontraditional undergraduate baccalaureate completion students in two distance-mediated 16-week capstone courses. The intervention group (n = 44) experienced various genres and modalities of written assignments set in the context of evidence-based nursing practice; the comparison group (n = 8) received usual writing undergraduate curriculum instruction. Self-efficacy, measured by the Post Secondary Writerly Self-Efficacy Scale, indicated significant improvements for all self-efficacy items (all p's = 0.00). Writing competency, assessed in the intervention group using a primary trait scoring rubric (6 + 1 Trait Writing Model(®) of Instruction and Assessment), found significant differences in competency improvement on five of seven items. This pilot study demonstrated writing skills can improve in nontraditional undergraduate students with guided instruction. Further investigation with larger, culturally diverse samples is indicated to validate these results. Copyright © 2014 Elsevier Ltd. All rights reserved.

  17. Moving beyond Journaling to Dialogues in Writing

    Science.gov (United States)

    Hail, Cindy; George, Sue; Hail, John

    2013-01-01

    The last two decades have produced theoretical-based methodology models emphasizing student-centered and learner-controlled writing experiences. During the 1990s, writing evolved into a function of learning. As more was learned about the writing process, it became evident that writing led to clarifying thinking and served as a forum for revealing…

  18. Teaching Writing in Economics

    Science.gov (United States)

    Schmeiser, Katherine

    2017-01-01

    In this article, the author provides motivation and a template for integrating and teaching writing in a variety of economics courses: core theory or introductory courses, topic courses, and economic writing/research courses. For each assignment, pedagogical reasoning and syllabus integration are discussed. Additionally, the author shows that…

  19. Writing in Preliterate Children.

    Science.gov (United States)

    Gombert, Jean Emile; Fayol, Michel

    1992-01-01

    Dictated words and pictures by 48 young French children, aged 3 to 6 years, demonstrated that young children have the capacity to produce graphics that exhibit some of the characteristics of writing. Developmental stages in children's recognition that their own efforts were not true writing were identified. (SLD)

  20. Academic Writing : Examples from BUV

    OpenAIRE

    Engdahl, Ingrid

    2016-01-01

    This guide is an introduction to academic writing that describes features of scientific writing that are recommended for students in Teacher Education Programmes and in Child and Youth Studies. It includes a style guide, how to structure your text, and an APA Publication Manual for referencing, as well as guides for writing an outline for a study, advice for serving as opponent(s) and respondent(s) and an agenda for a thesis/examining seminar.

  1. STRENGTHENING STUDENTS’ LITERACY THROUGH REFLECTIVE ESSAY WRITING: AN IMPLEMENTATION OF WRITING TO READ PROGRAM IN HIGHER EDUCATION

    Directory of Open Access Journals (Sweden)

    Arina Shofiya

    2017-11-01

    Full Text Available Literacy is a condition where a person has capability to read for knowledge, write to share knowledge, and think critically. Students’ literacy is a never-end issue in the field of English Language Teaching. Studies have been carried out to investigate literacy practices in various level of education including higher education. Among the problems of students’ literacy in higher education are the amount of their reading and writing practices and their motivation to read and write. The current paper is intended to share an experience in strengthening students’ literacy at the English Department of State Islamic Institute (Institut Agama Islam Negeri/IAIN Tulungagung, East Java. The preliminary investigation of the present study revealed that many students have low motivation to read. In addition, their comprehension was relatively low as represented in their paper works. Under a Classroom Action Research Design, the present study was conducted to propose writing to read program to strengthen the students’ literacy. In such program, the students were required to write a reflective essay based on the selected topics that they had to read prior to classes. The findings showed that writing reflective essay helped students strengthen their literacy as well as improve their motivation to read and to write because the reading and writing activities were done in a more relax and supportive environment that was at home.

  2. Partnering with Parents in the Writing Classroom

    Science.gov (United States)

    Zurcher, Melinda A.

    2016-01-01

    Writing is a complex act that requires students' concentrated time and effort to master--time and effort that teachers strain to find in a crowded curriculum. Despite this struggle to prioritize writing, students in the 21st century need writing skills to participate in the workplace, academia, economy, and democracy. If writing skills really are…

  3. A predictive thermal dynamic model for parameter generation in the laser assisted direct write process

    International Nuclear Information System (INIS)

    Shang Shuo; Fearon, Eamonn; Wellburn, Dan; Sato, Taku; Edwardson, Stuart; Dearden, G; Watkins, K G

    2011-01-01

    The laser assisted direct write (LADW) method can be used to generate electrical circuitry on a substrate by depositing metallic ink and curing the ink thermally by a laser. Laser curing has emerged over recent years as a novel yet efficient alternative to oven curing. This method can be used in situ, over complicated 3D contours of large parts (e.g. aircraft wings) and selectively cure over heat sensitive substrates, with little or no thermal damage. In previous studies, empirical methods have been used to generate processing windows for this technique, relating to the several interdependent processing parameters on which the curing quality and efficiency strongly depend. Incorrect parameters can result in a track that is cured in some areas and uncured in others, or in damaged substrates. This paper addresses the strong need for a quantitative model which can systematically output the processing conditions for a given combination of ink, substrate and laser source; transforming the LADW technique from a purely empirical approach, to a simple, repeatable, mathematically sound, efficient and predictable process. The method comprises a novel and generic finite element model (FEM) that for the first time predicts the evolution of the thermal profile of the ink track during laser curing and thus generates a parametric map which indicates the most suitable combination of parameters for process optimization. Experimental data are compared with simulation results to verify the accuracy of the model.

  4. Students’ Attitude on The Use of Facebook And Blog In Writing Class and Their Writing Competence

    Directory of Open Access Journals (Sweden)

    Irfan Rifai

    2010-05-01

    Full Text Available Article aims to investigate the relationship between students’ attitudes on the use of Facebook and blog as learning tools in writing class. Two groups of students were made as experimental and control group. The experimental group used Facebook and blog in as learning tools for thirteen sessions while the control group only used Binusmaya, local multi channel learning. It was assumed that Facebook and blog would be able to help students in three level of writing mastery: the vocabulary, the accuracy and the fluency in writing. Students’ attitudes were gathered through survey and the results compared to their final test scores. The result shows that students’ lack of enthusiasm was in line with students’ level of achievement in writing and that Facebook and blog did not give significant influence on improving students’ writing competence.   

  5. Dream and Creative Writing

    Institute of Scientific and Technical Information of China (English)

    杨公建

    2015-01-01

    Freud asserts that the unconscious will express its suppressed wishes and desires. The unconscious will then redirect andreshape these concealed wishes into acceptable social activities, presenting them in the form of images or symbols in our dreams and/or our writings. Dream is the unconscious which promotes the creative writing.

  6. P.S. Write Soon! Teachers' Notes.

    Science.gov (United States)

    Edwards, Pat

    Prepared to accompany an Australian letter writing guide for students, this teachers' guide provides suggestions for integrating letter writing into the school curriculum, either through regularly scheduled activities during the school year, or through special letter writing units of a few weeks. Topics covered in the guide include: (1) the craft…

  7. National Writing Project. 2011-2012 Report

    Science.gov (United States)

    National Writing Project (NJ1), 2012

    2012-01-01

    This National Writing Project 2011-2012 Report describes how Writing Project teacher-leaders study and share effective practices that enhance student writing and learning, work collaboratively with other educators, design resources, and take on new roles in effecting positive change. It includes a financial summary for years ended September 30 for…

  8. Embodied Writing: Choreographic Composition as Methodology

    Science.gov (United States)

    Ulmer, Jasmine B.

    2015-01-01

    This paper seeks to examine how embodied methodological approaches might inform dance education practice and research. Through a series of examples, this paper explores how choreographic writing might function as an embodied writing methodology. Here, choreographic writing is envisioned as a form of visual word choreography in which words move,…

  9. Writing argumentative texts: The effects of electronic outlining on students’ writing product and process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2012-01-01

    De Smet, M. J. R., Brand-Gruwel, S., Leijten, M., & Kirschner, P. A. (2012, November). Writing argumentative texts: The effects of electronic outlining on students’ writing product and process. Paper presentation at ICO Fall School 2012, Girona, Spain.

  10. Writing and Related Problems for EFL Students

    Directory of Open Access Journals (Sweden)

    Hassan Edalat

    2008-05-01

    Full Text Available ESL students who write in English may present written material in a rhetorical and organizational mode that reflects the pattern which is valued in their native culture and rhetoric. Considering the violation of English code of writing in the writings of Iranian students, we will notice one common characteristic: They are reluctant (or ignorant of to write a unified paragraph. Their writing consists of one whole page or two. They do not divide their writing into separate paragraphs. The knowledge of the writer on any subject begins and ends as much as the time or space for writing allows with no paragraph separation. The length of sentences is extraordinary, and the position of modifiers does not seem natural according to the code of English sentence pattern. This means that elements transferred from L1 rhetoric result in a production which does not match the English language style and rhetoric, despite the fact that some students lack grammatical competence. As a result, this type of writing is labeled unacceptable, vague or erroneous by English language standards. The focus of this study is to use English major students' writings to identify the elements which violate English language pattern of writing. The sources of errors responsible for non-English language rhetoric will be classified after a short theoretical review in the literature and finally suggestions for the elimination of errors will be presented.

  11. Impact of a Technology-Infused Middle School Writing Program on Sixth-Grade Students' Writing Ability and Engagement

    Science.gov (United States)

    Goldenberg, Lauren; Meade, Terri; Midouhas, Emily; Cooperman, Naomi

    2011-01-01

    Process-oriented approaches are increasingly used in schools to improve writing. One of these approaches, known as the writing workshop model, is challenging for teachers to implement without supports. This quasi-experimental study evaluated the effectiveness of a middle school writing program that incorporates this model along with technological…

  12. Reducing resistance and emotional blocks in writing

    OpenAIRE

    Majda Cencič

    1996-01-01

    Writing is as much a communicative as it is a cognitive process an active individual is involved in. In this paper some characteristics of writing as a communicative process and some characteristics of writing as a cognitive process are stated. Further a model engaging both communicative and cognitive elements of writing is added, these elements being connected with linguistic resources. The author considers some prejudices, myths or emotional blocks to be destroyed as not to hinder the write...

  13. Supervisor and Student Co-Writing: An Apprenticeship Perspective

    Directory of Open Access Journals (Sweden)

    Charlotte Wegener

    2013-09-01

    Full Text Available This is a story about the creation of a co-authored research article. The purpose is to emphasize co-writing as a significant pedagogic practice within doctoral supervision. Regarding apprenticeship as a pedagogical methodology as well as a theoretical framework makes co-writing more than an output-driven technique for increasing productivity. Becoming a researcher requires intense involvement in real research, and it is difficult to teach somebody how to do it. It entails practice. This practice is presented by means of an e-mail correspondence between a doctoral student and her supervisor during the article production. These real-time reflections show that effective apprenticeship in this context is a matter of giving access to the practice of doing research, opening up the field and thereby providing direction. However, it is also a theoretical perspective concerned with adding materiality and real tasks to the relational space between supervisor and the novice researcher. URN: http://nbn-resolving.de/urn:nbn:de:0114-fqs1303149

  14. Equivalent Circuit for Magnetoelectric Read and Write Operations

    Science.gov (United States)

    Camsari, Kerem Y.; Faria, Rafatul; Hassan, Orchi; Sutton, Brian M.; Datta, Supriyo

    2018-04-01

    We describe an equivalent circuit model applicable to a wide variety of magnetoelectric phenomena and use spice simulations to benchmark this model against experimental data. We use this model to suggest a different mode of operation where the 1 and 0 states are represented not by states with net magnetization (like mx , my, or mz) but by different easy axes, quantitatively described by (mx2-my2), which switches from 0 to 1 through the write voltage. This change is directly detected as a read signal through the inverse effect. The use of (mx2-my2) to represent a bit is a radical departure from the standard convention of using the magnetization (m ) to represent information. We then show how the equivalent circuit can be used to build a device exhibiting tunable randomness and suggest possibilities for extending it to nonvolatile memory with read and write capabilities, without the use of external magnetic fields or magnetic tunnel junctions.

  15. [How to write an article: formal aspects].

    Science.gov (United States)

    Corral de la Calle, M A; Encinas de la Iglesia, J

    2013-06-01

    Scientific research and the publication of the results of the studies go hand in hand. Exquisite research methods can only be adequately reflected in formal publication with the optimum structure. To ensure the success of this process, it is necessary to follow orderly steps, including selecting the journal in which to publish and following the instructions to authors strictly as well as the guidelines elaborated by diverse societies of editors and other institutions. It is also necessary to structure the contents of the article in a logical and attractive way and to use an accurate, clear, and concise style of language. Although not all the authors are directly involved in the actual writing, elaborating a scientific article is a collective undertaking that does not finish until the article is published. This article provides practical advice about formal and not-so-formal details to take into account when writing a scientific article as well as references that will help readers find more information in greater detail. Copyright © 2012 SERAM. Published by Elsevier Espana. All rights reserved.

  16. TEACHING WRITING IN ENGLISH AS A FOREIGN LANGUAGE

    Directory of Open Access Journals (Sweden)

    I Made Purna Wijaya

    2015-11-01

    Full Text Available This article aims at describing about teaching writing in English as a foreign Language. The reasons for teaching writing to students of English as a Foreign language include reinforcement, language development, learning style and the most importantly, writing as skill in its own right. Like many other aspects of English language teaching, the type of writing that students should do, will depend on their age, interest and level. These include writing post cards, letters of various kinds, filling in forms such as job applications, writing narrative compositions, report, newspaper and magazine article. The result showed that the students’ success of writing such matters absolutely depend on their motivations.

  17. Developing Business Writing Skills and Reducing Writing Anxiety of EFL Learners through Wikis

    Science.gov (United States)

    Kassem, Mohamed Ali Mohamed

    2017-01-01

    The present study aimed at investigating the effect of using wikis on developing business writing skills and reducing writing anxiety of Business Administration students at Prince Sattam bin Abdul Aziz University, KSA. Sixty students, who were randomly chosen and divided into two equivalent groups: control and experimental, participated in the…

  18. Genre Analysis and Writing Skill: Improving Iranian EFL Learners Writing Performance through the Tenets of Genre Analysis

    Directory of Open Access Journals (Sweden)

    Nazanin Naderi Kalali

    2015-12-01

    Full Text Available The main thrust of this study was to determine whether a genre-based instruction improve the writing proficiency of Iranian EFL learners. To this end, 30 homogenous Iranian BA learners studying English at Islamic Azad University, Bandar Abbas Branch were selected as the participants of the study through a version of TOEFL test as the proficiency test. The selected participants were 15 females and 15 males who were randomly divided into two groups of experimental and control. The both experimental and control groups were asked to write on a topic determined by the researcher which were considered as the pre-test. The writing of the students were scored using holistic scoring procedure. The subjects received sixteen hours instruction—the experimental group using a genre-based pedagogy and the control group through the traditional methodology which was followed by a post-test—the subjects were, this time, asked to write on the same topic which they were asked to write before instruction. Their post-writings were also scored through the holistic scoring procedures. In analyzing the data, t-test statistic was utilized for comparing the performances of the two groups. It was found that there is statistically significant difference between the writing ability of the participants who go under a genre-based instruction and who don’t. The study, however, didn’t find any significant role for gender. Keywords: genre analysis, writing skill, holistic scoring procedure, pre-test, post-test, t-test

  19. Writing "Dinosaur" Large and "Mosquito" Small: Prephonological Spellers' Use of Semantic Information

    Science.gov (United States)

    Zhang, Lan; Treiman, Rebecca

    2015-01-01

    One influential theory of literacy development, the constructivist perspective, claims that young children believe that writing represents meaning directly and that the appearance of a written word should reflect characteristics of its referent. There has not been strong evidence supporting this idea, however. Circumventing several methodological…

  20. Psychiatric/ psychological forensic report writing.

    Science.gov (United States)

    Young, Gerald

    Approaches to forensic report writing in psychiatry, psychology, and related mental health disciplines have moved from an organization, content, and stylistic framework to considering ethical and other codes, evidentiary standards, and practice considerations. The first part of the article surveys different approaches to forensic report writing, including that of forensic mental health assessment and psychiatric ethics. The second part deals especially with psychological ethical approaches. The American Psychological Association's Ethical Principles and Code of Conduct (2002) provide one set of principles on which to base forensic report writing. The U.S. Federal Rules of Evidence (2014) and related state rules provide another basis. The American Psychological Association's Specialty Guidelines for Forensic Psychology (2013) provide a third source. Some work has expanded the principles in ethics codes; and, in the third part of this article, these additions are applied to forensic report writing. Other work that could help with the question of forensic report writing concerns the 4 Ds in psychological injury assessments (e.g., conduct oneself with Dignity, avoid the adversary Divide, get the needed reliable Data, Determine interpretations and conclusions judiciously). One overarching ethical principle that is especially applicable in forensic report writing is to be comprehensive, scientific, and impartial. As applied to forensic report writing, the overall principle that applies is that the work process and product should reflect integrity in its ethics, law, and science. Four principles that derive from this meta-principle concern: Competency and Communication; Procedure and Protection; Dignity and Distance; and Data Collection and Determination. The standards or rules associated with each of these principles are reviewed. Crown Copyright © 2016. Published by Elsevier Ltd. All rights reserved.